precheck clean-up & mac timing constraints cleanup
diff --git a/gds/user_project_wrapper.gds.gz b/gds/user_project_wrapper.gds.gz
index ba62c85..57e93ac 100644
--- a/gds/user_project_wrapper.gds.gz
+++ b/gds/user_project_wrapper.gds.gz
Binary files differ
diff --git a/lef/user_project_wrapper.lef.gz b/lef/user_project_wrapper.lef.gz
index 97c7e2c..675a160 100644
--- a/lef/user_project_wrapper.lef.gz
+++ b/lef/user_project_wrapper.lef.gz
Binary files differ
diff --git a/openlane/mac_wrapper/base.sdc b/openlane/mac_wrapper/base.sdc
index 25354a8..0a7529f 100644
--- a/openlane/mac_wrapper/base.sdc
+++ b/openlane/mac_wrapper/base.sdc
@@ -7,9 +7,9 @@
 # Timing Constraints
 ###############################################################################
 create_clock -name  app_clk -period 10.0000 [get_ports {app_clk}]
-create_clock -name  phy_tx_clk -period 10.0000 [get_ports {phy_tx_clk}]
-create_clock -name  phy_rx_clk -period 10.0000 [get_ports {phy_rx_clk}]
-create_clock -name  mdio_clk -period 10.0000 [get_ports {mdio_clk}]
+create_clock -name  phy_tx_clk -period 40.0000 [get_ports {phy_tx_clk}]
+create_clock -name  phy_rx_clk -period 40.0000 [get_ports {phy_rx_clk}]
+create_clock -name  mdio_clk -period 100.0000 [get_ports {mdio_clk}]
 
 set_clock_transition 0.1500 [all_clocks]
 set_clock_uncertainty -setup 0.5000 [all_clocks]
@@ -58,10 +58,10 @@
 ########################################
 # phy_rx_clk Clock Domain
 ########################################
-set_input_delay -max 6.0000 -clock [get_clocks {phy_rx_clk}] -add_delay [get_ports {phy_crs}]
-set_input_delay -max 6.0000 -clock [get_clocks {phy_rx_clk}] -add_delay [get_ports {phy_rx_dv}]
-set_input_delay -max 6.0000 -clock [get_clocks {phy_rx_clk}] -add_delay [get_ports {phy_rx_er}]
-set_input_delay -max 6.0000 -clock [get_clocks {phy_rx_clk}] -add_delay [get_ports {phy_rxd[*]}]
+set_input_delay -max 20.0000 -clock [get_clocks {phy_rx_clk}] -add_delay [get_ports {phy_crs}]
+set_input_delay -max 20.0000 -clock [get_clocks {phy_rx_clk}] -add_delay [get_ports {phy_rx_dv}]
+set_input_delay -max 20.0000 -clock [get_clocks {phy_rx_clk}] -add_delay [get_ports {phy_rx_er}]
+set_input_delay -max 20.0000 -clock [get_clocks {phy_rx_clk}] -add_delay [get_ports {phy_rxd[*]}]
 
 set_input_delay -min -2.0000 -clock [get_clocks {phy_rx_clk}] -add_delay [get_ports {phy_crs}]
 set_input_delay -min -2.0000 -clock [get_clocks {phy_rx_clk}] -add_delay [get_ports {phy_rx_dv}]
@@ -73,9 +73,9 @@
 # phy_tx_clk Clock Domain
 ########################################
 
-set_output_delay -max 6.0000 -clock [get_clocks {phy_tx_clk}] -add_delay [get_ports {phy_tx_en}]
-set_output_delay -max 6.0000 -clock [get_clocks {phy_tx_clk}] -add_delay [get_ports {phy_tx_er}]
-set_output_delay -max 6.0000 -clock [get_clocks {phy_tx_clk}] -add_delay [get_ports {phy_txd[*]}]
+set_output_delay -max 20.0000 -clock [get_clocks {phy_tx_clk}] -add_delay [get_ports {phy_tx_en}]
+set_output_delay -max 20.0000 -clock [get_clocks {phy_tx_clk}] -add_delay [get_ports {phy_tx_er}]
+set_output_delay -max 20.0000 -clock [get_clocks {phy_tx_clk}] -add_delay [get_ports {phy_txd[*]}]
 
 set_output_delay -min -2.0000 -clock [get_clocks {phy_tx_clk}] -add_delay [get_ports {phy_tx_en}]
 set_output_delay -min -2.0000 -clock [get_clocks {phy_tx_clk}] -add_delay [get_ports {phy_tx_er}]
diff --git a/openlane/pinmux_top/config.tcl b/openlane/pinmux_top/config.tcl
index 4a4ef2c..8d15ccf 100755
--- a/openlane/pinmux_top/config.tcl
+++ b/openlane/pinmux_top/config.tcl
@@ -46,12 +46,14 @@
         $::env(DESIGN_DIR)/../../verilog/rtl/lib/ctech_cells.sv       \
         $::env(DESIGN_DIR)/../../verilog/rtl/lib/registers.v          \
         $::env(DESIGN_DIR)/../../verilog/rtl/lib/clk_ctl.v            \
-        $::env(DESIGN_DIR)/../../verilog/rtl/glbl/src/glbl_cfg.sv     \
         $::env(DESIGN_DIR)/../../verilog/rtl/pinmux/src/pinmux_top.sv \
+        $::env(DESIGN_DIR)/../../verilog/rtl/glbl/src/glbl_cfg.sv     \
         $::env(DESIGN_DIR)/../../verilog/rtl/pinmux/src/pinmux.sv     \
         $::env(DESIGN_DIR)/../../verilog/rtl/pinmux/src/clkgen.sv     \
 	"
 
+set ::env(VERILOG_INCLUDE_DIRS) [glob $::env(DESIGN_DIR)/../../verilog/rtl/ ]
+
 set ::env(SYNTH_READ_BLACKBOX_LIB) 1
 set ::env(SYNTH_DEFINES) [list SYNTHESIS ]
 set ::env(SDC_FILE) "$::env(DESIGN_DIR)/base.sdc"
diff --git a/openlane/wb_host/config.tcl b/openlane/wb_host/config.tcl
index f36d7e8..34e18d9 100755
--- a/openlane/wb_host/config.tcl
+++ b/openlane/wb_host/config.tcl
@@ -64,6 +64,7 @@
      $::env(DESIGN_DIR)/../../verilog/rtl/uart2wb/src/uart2_core.sv \
      $::env(DESIGN_DIR)/../../verilog/rtl/uart2wb/src/uart_msg_handler.v \
      "
+set ::env(VERILOG_INCLUDE_DIRS) [glob $::env(DESIGN_DIR)/../../verilog/rtl/ ]
 
 set ::env(SYNTH_READ_BLACKBOX_LIB) 1
 set ::env(SYNTH_DEFINES) [list SYNTHESIS ]
diff --git a/sdc/caravel.sdc b/sdc/caravel.sdc
index ad4ea45..4371121 100644
--- a/sdc/caravel.sdc
+++ b/sdc/caravel.sdc
@@ -15,10 +15,15 @@
 create_clock -name wbs_clk_i -period 10.0000 [get_pins {mprj/u_wb_host/wbs_clk_out}]
 create_clock -name lbist_clk -period 10.0000 [get_pins {mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X}]
 create_clock -name uart_clk -period 100.0000 [get_pins {mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X}]
+create_clock -name mdio_refclk -period 10.0000 [get_pins {mprj/u_pinmux/u_clkgen.u_mdio_ref_mux.u_mux_l10/X}]
 
 # Mac Tx and RX clock is 25Mhx-40ns
-create_clock -name mac_tx_clk -period 40.0000 [get_ports {mprj_io[5]}]
-create_clock -name mac_rx_clk -period 40.0000 [get_ports {mprj_io[12]}]
+create_clock -name pad_mac_tx_clk -period 40.0000  [get_ports {mprj_io[5]}]
+create_clock -name pad_mac_rx_clk -period 40.0000  [get_ports {mprj_io[12]}]
+create_clock -name mdio_clk   -period 100.0000 [get_pins {mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X}]
+
+create_generated_clock -name mac_tx_clk -add -source [get_ports {mprj_io[5]}]  -master_clock [get_clocks pad_mac_tx_clk] -divide_by 1 -comment {mac tx clock} [get_pins {mprj/u_pinmux/mac_tx_clk}]
+create_generated_clock -name mac_rx_clk -add -source [get_ports {mprj_io[12]}] -master_clock [get_clocks pad_mac_rx_clk] -divide_by 1 -comment {mac rx clock} [get_pins {mprj/u_pinmux/mac_rx_clk}]
 
 set_clock_uncertainty -setup 0.2500 [all_clocks]
 set_clock_uncertainty -hold  0.1000 [all_clocks]
@@ -30,8 +35,10 @@
    -group [get_clocks {wbs_clk_i}]\
    -group [get_clocks {uart_clk}]\
    -group [get_clocks {lbist_clk}]\
-   -group [get_clocks {mac_tx_clk}]\
-   -group [get_clocks {mac_rx_clk}]\
+   -group [get_clocks {mac_tx_clk pad_mac_tx_clk}]\
+   -group [get_clocks {mac_rx_clk pad_mac_rx_clk}]\
+   -group [get_clocks {mdio_refclk}]\
+   -group [get_clocks {mdio_clk}]\
    -group [get_clocks {hk_serial_clk}]\
    -group [get_clocks {hk_serial_load}]\
    -group [get_clocks {hkspi_clk}]
@@ -99,6 +106,48 @@
 
 # set_output_delay $output_delay_value  -clock [get_clocks {hkspi_clk}] -add_delay [get_ports {mprj_io[1]}]
 
+########################################
+# phy_rx_clk Clock Domain
+########################################
+set_input_delay -max 20.0000 -clock [get_clocks {pad_mac_rx_clk}] -add_delay [get_ports {mprj_io[19]}] 
+set_input_delay -max 20.0000 -clock [get_clocks {pad_mac_rx_clk}] -add_delay [get_ports {mprj_io[18]}] 
+set_input_delay -max 20.0000 -clock [get_clocks {pad_mac_rx_clk}] -add_delay [get_ports {mprj_io[17]}] 
+set_input_delay -max 20.0000 -clock [get_clocks {pad_mac_rx_clk}] -add_delay [get_ports {mprj_io[16]}] 
+set_input_delay -max 20.0000 -clock [get_clocks {pad_mac_rx_clk}] -add_delay [get_ports {mprj_io[15]}] 
+set_input_delay -max 20.0000 -clock [get_clocks {pad_mac_rx_clk}] -add_delay [get_ports {mprj_io[14]}] 
+set_input_delay -max 20.0000 -clock [get_clocks {pad_mac_rx_clk}] -add_delay [get_ports {mprj_io[13]}] 
+
+set_input_delay -min 2.0000 -clock [get_clocks {pad_mac_rx_clk}] -add_delay [get_ports {mprj_io[19]}] 
+set_input_delay -min 2.0000 -clock [get_clocks {pad_mac_rx_clk}] -add_delay [get_ports {mprj_io[18]}] 
+set_input_delay -min 2.0000 -clock [get_clocks {pad_mac_rx_clk}] -add_delay [get_ports {mprj_io[17]}] 
+set_input_delay -min 2.0000 -clock [get_clocks {pad_mac_rx_clk}] -add_delay [get_ports {mprj_io[16]}] 
+set_input_delay -min 2.0000 -clock [get_clocks {pad_mac_rx_clk}] -add_delay [get_ports {mprj_io[15]}] 
+set_input_delay -min 2.0000 -clock [get_clocks {pad_mac_rx_clk}] -add_delay [get_ports {mprj_io[14]}] 
+set_input_delay -min 2.0000 -clock [get_clocks {pad_mac_rx_clk}] -add_delay [get_ports {mprj_io[13]}] 
+
+
+
+########################################
+# phy_tx_clk Clock Domain
+########################################
+
+set_output_delay -max 20.0000 -clock [get_clocks {pad_mac_tx_clk}] -add_delay [get_ports {mprj_io[6]}]  
+set_output_delay -max 20.0000 -clock [get_clocks {pad_mac_tx_clk}] -add_delay [get_ports {mprj_io[7]}]  
+set_output_delay -max 20.0000 -clock [get_clocks {pad_mac_tx_clk}] -add_delay [get_ports {mprj_io[8]}]  
+set_output_delay -max 20.0000 -clock [get_clocks {pad_mac_tx_clk}] -add_delay [get_ports {mprj_io[9]}]  
+set_output_delay -max 20.0000 -clock [get_clocks {pad_mac_tx_clk}] -add_delay [get_ports {mprj_io[10]}] 
+set_output_delay -max 20.0000 -clock [get_clocks {pad_mac_tx_clk}] -add_delay [get_ports {mprj_io[11]}] 
+
+set_output_delay -min -2.0000 -clock [get_clocks {pad_mac_tx_clk}] -add_delay [get_ports {mprj_io[6]}] 
+set_output_delay -min -2.0000 -clock [get_clocks {pad_mac_tx_clk}] -add_delay [get_ports {mprj_io[7]}] 
+set_output_delay -min -2.0000 -clock [get_clocks {pad_mac_tx_clk}] -add_delay [get_ports {mprj_io[8]}] 
+set_output_delay -min -2.0000 -clock [get_clocks {pad_mac_tx_clk}] -add_delay [get_ports {mprj_io[9]}] 
+set_output_delay -min -2.0000 -clock [get_clocks {pad_mac_tx_clk}] -add_delay [get_ports {mprj_io[10]}] 
+set_output_delay -min -2.0000 -clock [get_clocks {pad_mac_tx_clk}] -add_delay [get_ports {mprj_io[11]}] 
+
+
+
+
 set_max_fanout 12 [current_design]
 # synthesis max fanout should be less than 12 (7 maybe)
 
diff --git a/sdc/mac_wrapper.sdc b/sdc/mac_wrapper.sdc
index 00de317..1019eef 100644
--- a/sdc/mac_wrapper.sdc
+++ b/sdc/mac_wrapper.sdc
@@ -1,6 +1,6 @@
 ###############################################################################
 # Created by write_sdc
-# Sun Dec 25 11:03:09 2022
+# Sat Dec 31 08:01:52 2022
 ###############################################################################
 current_design mac_wrapper
 ###############################################################################
@@ -11,17 +11,17 @@
 set_clock_uncertainty -setup 0.5000 app_clk
 set_clock_uncertainty -hold 0.2500 app_clk
 set_propagated_clock [get_clocks {app_clk}]
-create_clock -name phy_tx_clk -period 10.0000 [get_ports {phy_tx_clk}]
+create_clock -name phy_tx_clk -period 40.0000 [get_ports {phy_tx_clk}]
 set_clock_transition 0.1500 [get_clocks {phy_tx_clk}]
 set_clock_uncertainty -setup 0.5000 phy_tx_clk
 set_clock_uncertainty -hold 0.2500 phy_tx_clk
 set_propagated_clock [get_clocks {phy_tx_clk}]
-create_clock -name phy_rx_clk -period 10.0000 [get_ports {phy_rx_clk}]
+create_clock -name phy_rx_clk -period 40.0000 [get_ports {phy_rx_clk}]
 set_clock_transition 0.1500 [get_clocks {phy_rx_clk}]
 set_clock_uncertainty -setup 0.5000 phy_rx_clk
 set_clock_uncertainty -hold 0.2500 phy_rx_clk
 set_propagated_clock [get_clocks {phy_rx_clk}]
-create_clock -name mdio_clk -period 10.0000 [get_ports {mdio_clk}]
+create_clock -name mdio_clk -period 100.0000 [get_ports {mdio_clk}]
 set_clock_transition 0.1500 [get_clocks {mdio_clk}]
 set_clock_uncertainty -setup 0.5000 mdio_clk
 set_clock_uncertainty -hold 0.2500 mdio_clk
@@ -42,27 +42,27 @@
 set_input_delay -2.0000 -clock [get_clocks {mdio_clk}] -min -add_delay [get_ports {mdio_in}]
 set_input_delay 6.0000 -clock [get_clocks {mdio_clk}] -max -add_delay [get_ports {mdio_in}]
 set_input_delay -2.0000 -clock [get_clocks {phy_rx_clk}] -min -add_delay [get_ports {phy_crs}]
-set_input_delay 6.0000 -clock [get_clocks {phy_rx_clk}] -max -add_delay [get_ports {phy_crs}]
+set_input_delay 20.0000 -clock [get_clocks {phy_rx_clk}] -max -add_delay [get_ports {phy_crs}]
 set_input_delay -2.0000 -clock [get_clocks {phy_rx_clk}] -min -add_delay [get_ports {phy_rx_dv}]
-set_input_delay 6.0000 -clock [get_clocks {phy_rx_clk}] -max -add_delay [get_ports {phy_rx_dv}]
+set_input_delay 20.0000 -clock [get_clocks {phy_rx_clk}] -max -add_delay [get_ports {phy_rx_dv}]
 set_input_delay -2.0000 -clock [get_clocks {phy_rx_clk}] -min -add_delay [get_ports {phy_rx_er}]
-set_input_delay 6.0000 -clock [get_clocks {phy_rx_clk}] -max -add_delay [get_ports {phy_rx_er}]
+set_input_delay 20.0000 -clock [get_clocks {phy_rx_clk}] -max -add_delay [get_ports {phy_rx_er}]
 set_input_delay -2.0000 -clock [get_clocks {phy_rx_clk}] -min -add_delay [get_ports {phy_rxd[0]}]
-set_input_delay 6.0000 -clock [get_clocks {phy_rx_clk}] -max -add_delay [get_ports {phy_rxd[0]}]
+set_input_delay 20.0000 -clock [get_clocks {phy_rx_clk}] -max -add_delay [get_ports {phy_rxd[0]}]
 set_input_delay -2.0000 -clock [get_clocks {phy_rx_clk}] -min -add_delay [get_ports {phy_rxd[1]}]
-set_input_delay 6.0000 -clock [get_clocks {phy_rx_clk}] -max -add_delay [get_ports {phy_rxd[1]}]
+set_input_delay 20.0000 -clock [get_clocks {phy_rx_clk}] -max -add_delay [get_ports {phy_rxd[1]}]
 set_input_delay -2.0000 -clock [get_clocks {phy_rx_clk}] -min -add_delay [get_ports {phy_rxd[2]}]
-set_input_delay 6.0000 -clock [get_clocks {phy_rx_clk}] -max -add_delay [get_ports {phy_rxd[2]}]
+set_input_delay 20.0000 -clock [get_clocks {phy_rx_clk}] -max -add_delay [get_ports {phy_rxd[2]}]
 set_input_delay -2.0000 -clock [get_clocks {phy_rx_clk}] -min -add_delay [get_ports {phy_rxd[3]}]
-set_input_delay 6.0000 -clock [get_clocks {phy_rx_clk}] -max -add_delay [get_ports {phy_rxd[3]}]
+set_input_delay 20.0000 -clock [get_clocks {phy_rx_clk}] -max -add_delay [get_ports {phy_rxd[3]}]
 set_input_delay -2.0000 -clock [get_clocks {phy_rx_clk}] -min -add_delay [get_ports {phy_rxd[4]}]
-set_input_delay 6.0000 -clock [get_clocks {phy_rx_clk}] -max -add_delay [get_ports {phy_rxd[4]}]
+set_input_delay 20.0000 -clock [get_clocks {phy_rx_clk}] -max -add_delay [get_ports {phy_rxd[4]}]
 set_input_delay -2.0000 -clock [get_clocks {phy_rx_clk}] -min -add_delay [get_ports {phy_rxd[5]}]
-set_input_delay 6.0000 -clock [get_clocks {phy_rx_clk}] -max -add_delay [get_ports {phy_rxd[5]}]
+set_input_delay 20.0000 -clock [get_clocks {phy_rx_clk}] -max -add_delay [get_ports {phy_rxd[5]}]
 set_input_delay -2.0000 -clock [get_clocks {phy_rx_clk}] -min -add_delay [get_ports {phy_rxd[6]}]
-set_input_delay 6.0000 -clock [get_clocks {phy_rx_clk}] -max -add_delay [get_ports {phy_rxd[6]}]
+set_input_delay 20.0000 -clock [get_clocks {phy_rx_clk}] -max -add_delay [get_ports {phy_rxd[6]}]
 set_input_delay -2.0000 -clock [get_clocks {phy_rx_clk}] -min -add_delay [get_ports {phy_rxd[7]}]
-set_input_delay 6.0000 -clock [get_clocks {phy_rx_clk}] -max -add_delay [get_ports {phy_rxd[7]}]
+set_input_delay 20.0000 -clock [get_clocks {phy_rx_clk}] -max -add_delay [get_ports {phy_rxd[7]}]
 set_input_delay 1.0000 -clock [get_clocks {app_clk}] -min -add_delay [get_ports {wbm_grx_ack_i}]
 set_input_delay 6.0000 -clock [get_clocks {app_clk}] -max -add_delay [get_ports {wbm_grx_ack_i}]
 set_input_delay 1.0000 -clock [get_clocks {app_clk}] -min -add_delay [get_ports {wbm_grx_dat_i[0]}]
@@ -344,25 +344,25 @@
 set_output_delay -2.0000 -clock [get_clocks {mdio_clk}] -min -add_delay [get_ports {mdio_out_en}]
 set_output_delay 6.0000 -clock [get_clocks {mdio_clk}] -max -add_delay [get_ports {mdio_out_en}]
 set_output_delay -2.0000 -clock [get_clocks {phy_tx_clk}] -min -add_delay [get_ports {phy_tx_en}]
-set_output_delay 6.0000 -clock [get_clocks {phy_tx_clk}] -max -add_delay [get_ports {phy_tx_en}]
+set_output_delay 20.0000 -clock [get_clocks {phy_tx_clk}] -max -add_delay [get_ports {phy_tx_en}]
 set_output_delay -2.0000 -clock [get_clocks {phy_tx_clk}] -min -add_delay [get_ports {phy_tx_er}]
-set_output_delay 6.0000 -clock [get_clocks {phy_tx_clk}] -max -add_delay [get_ports {phy_tx_er}]
+set_output_delay 20.0000 -clock [get_clocks {phy_tx_clk}] -max -add_delay [get_ports {phy_tx_er}]
 set_output_delay -2.0000 -clock [get_clocks {phy_tx_clk}] -min -add_delay [get_ports {phy_txd[0]}]
-set_output_delay 6.0000 -clock [get_clocks {phy_tx_clk}] -max -add_delay [get_ports {phy_txd[0]}]
+set_output_delay 20.0000 -clock [get_clocks {phy_tx_clk}] -max -add_delay [get_ports {phy_txd[0]}]
 set_output_delay -2.0000 -clock [get_clocks {phy_tx_clk}] -min -add_delay [get_ports {phy_txd[1]}]
-set_output_delay 6.0000 -clock [get_clocks {phy_tx_clk}] -max -add_delay [get_ports {phy_txd[1]}]
+set_output_delay 20.0000 -clock [get_clocks {phy_tx_clk}] -max -add_delay [get_ports {phy_txd[1]}]
 set_output_delay -2.0000 -clock [get_clocks {phy_tx_clk}] -min -add_delay [get_ports {phy_txd[2]}]
-set_output_delay 6.0000 -clock [get_clocks {phy_tx_clk}] -max -add_delay [get_ports {phy_txd[2]}]
+set_output_delay 20.0000 -clock [get_clocks {phy_tx_clk}] -max -add_delay [get_ports {phy_txd[2]}]
 set_output_delay -2.0000 -clock [get_clocks {phy_tx_clk}] -min -add_delay [get_ports {phy_txd[3]}]
-set_output_delay 6.0000 -clock [get_clocks {phy_tx_clk}] -max -add_delay [get_ports {phy_txd[3]}]
+set_output_delay 20.0000 -clock [get_clocks {phy_tx_clk}] -max -add_delay [get_ports {phy_txd[3]}]
 set_output_delay -2.0000 -clock [get_clocks {phy_tx_clk}] -min -add_delay [get_ports {phy_txd[4]}]
-set_output_delay 6.0000 -clock [get_clocks {phy_tx_clk}] -max -add_delay [get_ports {phy_txd[4]}]
+set_output_delay 20.0000 -clock [get_clocks {phy_tx_clk}] -max -add_delay [get_ports {phy_txd[4]}]
 set_output_delay -2.0000 -clock [get_clocks {phy_tx_clk}] -min -add_delay [get_ports {phy_txd[5]}]
-set_output_delay 6.0000 -clock [get_clocks {phy_tx_clk}] -max -add_delay [get_ports {phy_txd[5]}]
+set_output_delay 20.0000 -clock [get_clocks {phy_tx_clk}] -max -add_delay [get_ports {phy_txd[5]}]
 set_output_delay -2.0000 -clock [get_clocks {phy_tx_clk}] -min -add_delay [get_ports {phy_txd[6]}]
-set_output_delay 6.0000 -clock [get_clocks {phy_tx_clk}] -max -add_delay [get_ports {phy_txd[6]}]
+set_output_delay 20.0000 -clock [get_clocks {phy_tx_clk}] -max -add_delay [get_ports {phy_txd[6]}]
 set_output_delay -2.0000 -clock [get_clocks {phy_tx_clk}] -min -add_delay [get_ports {phy_txd[7]}]
-set_output_delay 6.0000 -clock [get_clocks {phy_tx_clk}] -max -add_delay [get_ports {phy_txd[7]}]
+set_output_delay 20.0000 -clock [get_clocks {phy_tx_clk}] -max -add_delay [get_ports {phy_txd[7]}]
 set_output_delay 1.0000 -clock [get_clocks {app_clk}] -min -add_delay [get_ports {wbm_grx_adr_o[0]}]
 set_output_delay 6.0000 -clock [get_clocks {app_clk}] -max -add_delay [get_ports {wbm_grx_adr_o[0]}]
 set_output_delay 1.0000 -clock [get_clocks {app_clk}] -min -add_delay [get_ports {wbm_grx_adr_o[10]}]
diff --git a/sdc/pinmux_top.sdc b/sdc/pinmux_top.sdc
index 496da89..f08cfc5 100644
--- a/sdc/pinmux_top.sdc
+++ b/sdc/pinmux_top.sdc
@@ -1,6 +1,6 @@
 ###############################################################################
 # Created by write_sdc
-# Sun Dec 25 14:12:46 2022
+# Sat Dec 31 03:58:51 2022
 ###############################################################################
 current_design pinmux_top
 ###############################################################################
diff --git a/sdc/user_project_wrapper.sdc b/sdc/user_project_wrapper.sdc
index 7b98d7b..a371c21 100644
--- a/sdc/user_project_wrapper.sdc
+++ b/sdc/user_project_wrapper.sdc
@@ -1,6 +1,6 @@
 ###############################################################################
 # Created by write_sdc
-# Sat Dec 31 03:25:00 2022
+# Sat Dec 31 10:51:33 2022
 ###############################################################################
 current_design user_project_wrapper
 ###############################################################################
diff --git a/sdc/wb_host.sdc b/sdc/wb_host.sdc
index 2186c75..30fc1b4 100644
--- a/sdc/wb_host.sdc
+++ b/sdc/wb_host.sdc
@@ -1,6 +1,6 @@
 ###############################################################################
 # Created by write_sdc
-# Sat Dec 24 13:52:44 2022
+# Sat Dec 31 06:48:36 2022
 ###############################################################################
 current_design wb_host
 ###############################################################################
diff --git a/sta/scripts/caravel_timing.tcl b/sta/scripts/caravel_timing.tcl
index c0a36db..f338234 100644
--- a/sta/scripts/caravel_timing.tcl
+++ b/sta/scripts/caravel_timing.tcl
@@ -56,6 +56,10 @@
     read_verilog $::env(USER_ROOT)/verilog/gl/pinmux_top.v
     read_verilog $::env(USER_ROOT)/verilog/gl/mbist_wrapper.v
     read_verilog $::env(USER_ROOT)/verilog/gl/mac_wrapper.v  
+    read_verilog $::env(USER_ROOT)/verilog/gl/bus_rep_north.v
+    read_verilog $::env(USER_ROOT)/verilog/gl/bus_rep_south.v
+    read_verilog $::env(USER_ROOT)/verilog/gl/bus_rep_east.v
+    read_verilog $::env(USER_ROOT)/verilog/gl/bus_rep_west.v
     read_verilog $::env(USER_ROOT)/verilog/gl/user_project_wrapper.v  
 
 
@@ -115,6 +119,10 @@
     read_spef -path mprj/u_mbist0        $::env(USER_ROOT)/signoff/mbist_wrapper/openlane-signoff/spef/mbist_wrapper.min.spef
     read_spef -path mprj/u_mbist1        $::env(USER_ROOT)/signoff/mbist_wrapper/openlane-signoff/spef/mbist_wrapper.min.spef
     read_spef -path mprj/u_pinmux        $::env(USER_ROOT)/signoff/pinmux_top/openlane-signoff/spef/pinmux_top.min.spef
+	read_spef -path mprj/u_rp_north      $::env(USER_ROOT)/signoff/bus_rep_north/openlane-signoff/spef/bus_rep_north.nom.spef
+	read_spef -path mprj/u_rp_south      $::env(USER_ROOT)/signoff/bus_rep_south/openlane-signoff/spef/bus_rep_south.nom.spef
+	read_spef -path mprj/u_rp_east       $::env(USER_ROOT)/signoff/bus_rep_east/openlane-signoff/spef/bus_rep_east.nom.spef
+	read_spef -path mprj/u_rp_west       $::env(USER_ROOT)/signoff/bus_rep_west/openlane-signoff/spef/bus_rep_west.nom.spef
     read_spef -path mprj                 $::env(USER_ROOT)/signoff/user_project_wrapper/openlane-signoff/spef/user_project_wrapper.min.spef
 
     read_spef $::env(CARAVEL_ROOT)/signoff/caravel/openlane-signoff/spef/caravel.min.spef
@@ -181,4 +189,35 @@
 	#set sram_oport [concat $sram_oport [get_pins {soc/core/sky130_sram_2kbyte_1rw1r_32x512_8/dout1[*]}]]
     ### Caravel SRAM Path ######################################
    
+    #MAC RX Path
+    report_checks -path_group mac_rx_clk -path_delay max -fields {slew cap input nets fanout} -format full_clock_expanded -from [get_ports {mprj_io[19]}] -through [get_pins mprj/u_mac_wrap/phy_rxd[3]] > mac.vio.rpt
+    report_checks -path_group mac_rx_clk -path_delay max -fields {slew cap input nets fanout} -format full_clock_expanded -from [get_ports {mprj_io[18]}] -through [get_pins mprj/u_mac_wrap/phy_rxd[2]] >> mac.vio.rpt
+    report_checks -path_group mac_rx_clk -path_delay max -fields {slew cap input nets fanout} -format full_clock_expanded -from [get_ports {mprj_io[17]}] -through [get_pins mprj/u_mac_wrap/phy_rxd[1]] >> mac.vio.rpt
+    report_checks -path_group mac_rx_clk -path_delay max -fields {slew cap input nets fanout} -format full_clock_expanded -from [get_ports {mprj_io[16]}] -through [get_pins mprj/u_mac_wrap/phy_rxd[0]] >> mac.vio.rpt
+    report_checks -path_group mac_rx_clk -path_delay max -fields {slew cap input nets fanout} -format full_clock_expanded -from [get_ports {mprj_io[15]}] -through [get_pins mprj/u_mac_wrap/phy_crs]    >> mac.vio.rpt
+    report_checks -path_group mac_rx_clk -path_delay max -fields {slew cap input nets fanout} -format full_clock_expanded -from [get_ports {mprj_io[14]}] -through [get_pins mprj/u_mac_wrap/phy_rx_er]  >> mac.vio.rpt
+    report_checks -path_group mac_rx_clk -path_delay max -fields {slew cap input nets fanout} -format full_clock_expanded -from [get_ports {mprj_io[13]}] -through [get_pins mprj/u_mac_wrap/phy_rx_dv]  >> mac.vio.rpt
+
+    report_checks -path_group mac_rx_clk -path_delay min -fields {slew cap input nets fanout} -format full_clock_expanded -from [get_ports {mprj_io[19]}] -through [get_pins mprj/u_mac_wrap/phy_rxd[3]] >> mac.vio.rpt
+    report_checks -path_group mac_rx_clk -path_delay min -fields {slew cap input nets fanout} -format full_clock_expanded -from [get_ports {mprj_io[18]}] -through [get_pins mprj/u_mac_wrap/phy_rxd[2]] >> mac.vio.rpt
+    report_checks -path_group mac_rx_clk -path_delay min -fields {slew cap input nets fanout} -format full_clock_expanded -from [get_ports {mprj_io[17]}] -through [get_pins mprj/u_mac_wrap/phy_rxd[1]] >> mac.vio.rpt
+    report_checks -path_group mac_rx_clk -path_delay min -fields {slew cap input nets fanout} -format full_clock_expanded -from [get_ports {mprj_io[16]}] -through [get_pins mprj/u_mac_wrap/phy_rxd[0]] >> mac.vio.rpt
+    report_checks -path_group mac_rx_clk -path_delay min -fields {slew cap input nets fanout} -format full_clock_expanded -from [get_ports {mprj_io[15]}] -through [get_pins mprj/u_mac_wrap/phy_crs]    >> mac.vio.rpt
+    report_checks -path_group mac_rx_clk -path_delay min -fields {slew cap input nets fanout} -format full_clock_expanded -from [get_ports {mprj_io[14]}] -through [get_pins mprj/u_mac_wrap/phy_rx_er]  >> mac.vio.rpt
+    report_checks -path_group mac_rx_clk -path_delay min -fields {slew cap input nets fanout} -format full_clock_expanded -from [get_ports {mprj_io[13]}] -through [get_pins mprj/u_mac_wrap/phy_rx_dv]  >> mac.vio.rpt
+
+    #MAC TX Path
+    report_checks -path_group pad_mac_tx_clk -path_delay max -fields {slew cap input nets fanout} -format full_clock_expanded -to [get_ports {mprj_io[6]}]  -through [get_pins mprj/u_mac_wrap/phy_tx_en] >> mac.vio.rpt
+    report_checks -path_group pad_mac_tx_clk -path_delay max -fields {slew cap input nets fanout} -format full_clock_expanded -to [get_ports {mprj_io[7]}]  -through [get_pins mprj/u_mac_wrap/phy_tx_er] >> mac.vio.rpt
+    report_checks -path_group pad_mac_tx_clk -path_delay max -fields {slew cap input nets fanout} -format full_clock_expanded -to [get_ports {mprj_io[8]}]  -through [get_pins mprj/u_mac_wrap/phy_txd[0]] >> mac.vio.rpt
+    report_checks -path_group pad_mac_tx_clk -path_delay max -fields {slew cap input nets fanout} -format full_clock_expanded -to [get_ports {mprj_io[9]}]  -through [get_pins mprj/u_mac_wrap/phy_txd[1]] >> mac.vio.rpt
+    report_checks -path_group pad_mac_tx_clk -path_delay max -fields {slew cap input nets fanout} -format full_clock_expanded -to [get_ports {mprj_io[10]}] -through [get_pins mprj/u_mac_wrap/phy_txd[2]] >> mac.vio.rpt
+    report_checks -path_group pad_mac_tx_clk -path_delay max -fields {slew cap input nets fanout} -format full_clock_expanded -to [get_ports {mprj_io[11]}] -through [get_pins mprj/u_mac_wrap/phy_txd[3]]  >> mac.vio.rpt
+
+    report_checks -path_group pad_mac_tx_clk -path_delay min -fields {slew cap input nets fanout} -format full_clock_expanded -to [get_ports {mprj_io[6]}]  -through [get_pins mprj/u_mac_wrap/phy_tx_en] >> mac.vio.rpt
+    report_checks -path_group pad_mac_tx_clk -path_delay min -fields {slew cap input nets fanout} -format full_clock_expanded -to [get_ports {mprj_io[7]}]  -through [get_pins mprj/u_mac_wrap/phy_tx_er] >> mac.vio.rpt
+    report_checks -path_group pad_mac_tx_clk -path_delay min -fields {slew cap input nets fanout} -format full_clock_expanded -to [get_ports {mprj_io[8]}]  -through [get_pins mprj/u_mac_wrap/phy_txd[0]] >> mac.vio.rpt
+    report_checks -path_group pad_mac_tx_clk -path_delay min -fields {slew cap input nets fanout} -format full_clock_expanded -to [get_ports {mprj_io[9]}]  -through [get_pins mprj/u_mac_wrap/phy_txd[1]] >> mac.vio.rpt
+    report_checks -path_group pad_mac_tx_clk -path_delay min -fields {slew cap input nets fanout} -format full_clock_expanded -to [get_ports {mprj_io[10]}] -through [get_pins mprj/u_mac_wrap/phy_txd[2]] >> mac.vio.rpt
+    report_checks -path_group pad_mac_tx_clk -path_delay min -fields {slew cap input nets fanout} -format full_clock_expanded -to [get_ports {mprj_io[11]}] -through [get_pins mprj/u_mac_wrap/phy_txd[3]]  >> mac.vio.rpt
 
diff --git a/sta/slew.cap.fanout.vio.rpt b/sta/slew.cap.fanout.vio.rpt
index e58f107..f3d4394 100644
--- a/sta/slew.cap.fanout.vio.rpt
+++ b/sta/slew.cap.fanout.vio.rpt
@@ -249,8 +249,6 @@
 soc/core.RAM128/BLOCK[1].RAM32.SLICE[3].RAM8.WORD[0].W.BYTE[3].B.BIT[4].OBUF0/Z    1.52    2.37   -0.85 (VIOLATED)
 soc/core.RAM128/ANTENNA_BLOCK[1].RAM32.Do0_REG.OUTREG_BYTE[3].Do_FF[5]_D/DIODE    1.50    2.35   -0.85 (VIOLATED)
 soc/core.RAM128/BLOCK[1].RAM32.Do0_REG.OUTREG_BYTE[3].Do_FF[5]/D    1.50    2.35   -0.85 (VIOLATED)
-mprj/u_mbist1/ANTENNA_hold89_A/DIODE    1.50    2.34   -0.84 (VIOLATED)
-mprj/u_mbist1/hold89/A                  1.50    2.34   -0.84 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.BIT[2].OBUF0/Z    1.52    2.36   -0.84 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[2].W.BYTE[2].B.BIT[2].OBUF0/Z    1.52    2.36   -0.84 (VIOLATED)
 soc/core.RAM128/BLOCK[1].RAM32.SLICE[1].RAM8.WORD[2].W.BYTE[3].B.BIT[4].OBUF0/Z    1.52    2.36   -0.84 (VIOLATED)
@@ -384,396 +382,33 @@
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[2].RAM32.SLICE[0].RAM8.WORD[4].W.BYTE[2].B.BIT[6].OBUF0/Z    1.52    2.32   -0.80 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[2].RAM32.SLICE[0].RAM8.WORD[3].W.BYTE[2].B.BIT[6].OBUF0/Z    1.52    2.32   -0.80 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[2].RAM32.SLICE[0].RAM8.WORD[5].W.BYTE[2].B.BIT[6].OBUF0/Z    1.52    2.32   -0.80 (VIOLATED)
-mprj/u_wb_host/_6349_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6349__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6287__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6287_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6286_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6286__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6288_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6288__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6423_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6423__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6424_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6424__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6425_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6425__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6422_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6422__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6523_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6523__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_5595_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6401_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5595__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6402_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6402__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6524_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6524__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6401__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6525_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6525__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6426_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6358_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6358__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_5642_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_5607_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6259_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6426__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6372_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6372__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5642__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6359_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6362_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6362__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6359__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6464_/CLK               1.50    2.29   -0.79 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[2].RAM32.SLICE[2].RAM8.WORD[7].W.BYTE[2].B.BIT[6].OBUF0/Z    1.52    2.31   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6464__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5607__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6465_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_5602_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5602__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_5548_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5548__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6465__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6311__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6345_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6345__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6290__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6310__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6291__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6292__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6292_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6522__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6314__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6310_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6318__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6343_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6343__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_5553_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6311_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6290_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6522_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6296_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6313_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6315__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5553__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6350_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6350__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6294_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6427_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6427__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6312_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6317_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6291_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6296__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6316__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6313__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6319__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6294__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6312__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6295__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_5902_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6298_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6293_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6297_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6293__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6317__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6439__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6439_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6434__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6434_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6521_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6521__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5902__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6298__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6321_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6368_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6368__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5550__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_5550_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6259__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6347_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6347__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_5894_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5894__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6301_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6297__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6300_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_5901_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6301__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6300__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5901__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6318_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6319_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6322_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6321__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6323_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6314_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6325_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6315_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6295_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6324_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6323__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6316_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6327__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6320__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6302_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6320_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6299__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6322__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6324__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_5900_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_5549_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_5547_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6299_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5549__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6346_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6346__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6344_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6303_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6344__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6303__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5547__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5603__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_5603_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6348__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6348_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5551__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_5551_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5605__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_5605_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5900__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6360__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6302__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_5552_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_5606_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5552__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6361__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5606__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6361_/CLK               1.50    2.29   -0.79 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[2].B.BIT[6].OBUF0/Z    1.52    2.31   -0.79 (VIOLATED)
-mprj/u_wb_host/_6360_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6397_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6397__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[4].W.BYTE[2].B.BIT[6].OBUF0/Z    1.52    2.31   -0.79 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.BIT[6].OBUF0/Z    1.52    2.31   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6373__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6373_/CLK               1.50    2.29   -0.79 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[2].B.BIT[6].OBUF0/Z    1.52    2.31   -0.79 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[3].W.BYTE[2].B.BIT[6].OBUF0/Z    1.52    2.31   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6421__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6421_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6437_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6377__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6420_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6420__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[2].B.BIT[6].OBUF0/Z    1.52    2.31   -0.79 (VIOLATED)
-mprj/u_wb_host/_6306_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6305_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6325__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6304_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6305__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6377_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6309_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6304__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6308_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6309__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6405_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6380_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6380__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6308__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6405__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6394_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6333__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6332_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6404_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6333_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6330__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6307_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6329__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6438_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6329_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6404__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6331__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6394__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6383_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6383__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6306__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6374__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6374_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6326__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6398__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6398_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6307__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6328__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6433_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6387_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6387__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5899__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_5899_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6428__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6428_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_5896_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6429__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5896__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6429_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6433__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_5888_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5891__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_5891_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6449__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5888__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6449_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_5889_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5889__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6430__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6435_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6435__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6385_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6447__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6447_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6463__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6430_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6431_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6390__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6390_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6431__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6385__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6384__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6384_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6379_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6379__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5890__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6391__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6391_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6438__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_5890_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6389_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6382_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6381_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6382__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6376__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6376_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6328_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6463_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6432_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6432__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6436_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6436__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6450_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6450__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_5895_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5895__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6378_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6378__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5898__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6437__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_5898_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_5903_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5903__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6375_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6400_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_5609_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6400__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6352_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6352__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6395__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6399__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6395_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6399_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6388__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_5892_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5892__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_5599_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5599__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6392__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5897__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6388_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6392_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6375__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6326_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6327_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6381__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
 soc/core.RAM256/ANTENNA_BANK128[1].RAM128.BLOCK[2].RAM32.Do0_REG.OUTREG_BYTE[1].Do_FF[3]_D/DIODE    1.50    2.29   -0.79 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[2].RAM32.Do0_REG.OUTREG_BYTE[1].Do_FF[3]/D    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6389__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_5897_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6386_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6393_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6330_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_5893_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6393__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6335__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5893__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6370__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6386__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6370_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6335_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6331_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6396_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6396__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6334__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6369__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6334_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5640__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_5640_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5641__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_5641_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6332__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6403_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6369_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6336_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6403__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[0].W.BYTE[2].B.BIT[7].OBUF0/Z    1.52    2.31   -0.79 (VIOLATED)
-mprj/u_wb_host/_6339_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6338_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_5598_/CLK               1.50    2.29   -0.79 (VIOLATED)
 soc/core.RAM256/ANTENNA_BANK128[1].RAM128.BLOCK[2].RAM32.Do0_REG.OUTREG_BYTE[2].Do_FF[3]_D/DIODE    1.50    2.29   -0.79 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[2].RAM32.Do0_REG.OUTREG_BYTE[2].Do_FF[3]/D    1.50    2.29   -0.79 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[2].B.BIT[7].OBUF0/Z    1.52    2.31   -0.79 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[2].W.BYTE[2].B.BIT[7].OBUF0/Z    1.52    2.31   -0.79 (VIOLATED)
-mprj/u_wb_host/_5594_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6371__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6336__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[2].RAM32.SLICE[2].RAM8.WORD[1].W.BYTE[2].B.BIT[7].OBUF0/Z    1.52    2.31   -0.79 (VIOLATED)
-mprj/u_wb_host/_6337_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6371_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6340_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5598__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[2].RAM32.SLICE[0].RAM8.WORD[2].W.BYTE[2].B.BIT[7].OBUF0/Z    1.52    2.31   -0.79 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[2].RAM32.SLICE[2].RAM8.WORD[0].W.BYTE[2].B.BIT[7].OBUF0/Z    1.52    2.31   -0.79 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[2].RAM32.SLICE[2].RAM8.WORD[7].W.BYTE[2].B.BIT[7].OBUF0/Z    1.52    2.31   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5594__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[2].RAM32.SLICE[2].RAM8.WORD[4].W.BYTE[2].B.BIT[7].OBUF0/Z    1.52    2.31   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6355__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6355_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_5613_/CLK               1.50    2.29   -0.79 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[2].B.BIT[7].OBUF0/Z    1.52    2.31   -0.79 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[2].RAM32.SLICE[0].RAM8.WORD[1].W.BYTE[2].B.BIT[7].OBUF0/Z    1.52    2.31   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5609__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/_6356_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5613__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6356__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6261__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6340__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5600__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6338__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6339__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[2].W.BYTE[2].B.BIT[7].OBUF0/Z    1.52    2.31   -0.79 (VIOLATED)
 soc/core.RAM128/BLOCK[0].RAM32.SLICE[2].RAM8.WORD[3].W.BYTE[2].B.BIT[5].OBUF0/Z    1.52    2.31   -0.79 (VIOLATED)
-mprj/u_wb_host/_5600_/CLK               1.50    2.29   -0.79 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6337__CLK/DIODE    1.50    2.29   -0.79 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[2].RAM32.SLICE[2].RAM8.WORD[2].W.BYTE[2].B.BIT[7].OBUF0/Z    1.52    2.31   -0.79 (VIOLATED)
-mprj/u_wb_host/_6353_/CLK               1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/_6261_/CLK               1.50    2.28   -0.78 (VIOLATED)
 soc/core.RAM128/ANTENNA_BLOCK[1].RAM32.Do0_REG.OUTREG_BYTE[2].Do_FF[1]_D/DIODE    1.50    2.28   -0.78 (VIOLATED)
 soc/core.RAM128/BLOCK[1].RAM32.Do0_REG.OUTREG_BYTE[2].Do_FF[1]/D    1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6353__CLK/DIODE    1.50    2.28   -0.78 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[2].RAM32.SLICE[0].RAM8.WORD[3].W.BYTE[2].B.BIT[7].OBUF0/Z    1.52    2.30   -0.78 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5596__CLK/DIODE    1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/_6341_/CLK               1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/_5596_/CLK               1.50    2.28   -0.78 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[2].RAM32.SLICE[0].RAM8.WORD[7].W.BYTE[2].B.BIT[7].OBUF0/Z    1.52    2.30   -0.78 (VIOLATED)
 soc/core.RAM128/BLOCK[0].RAM32.SLICE[0].RAM8.WORD[0].W.BYTE[2].B.BIT[5].OBUF0/Z    1.52    2.30   -0.78 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[2].B.BIT[7].OBUF0/Z    1.52    2.30   -0.78 (VIOLATED)
@@ -781,12 +416,8 @@
 soc/core.RAM128/BLOCK[0].RAM32.SLICE[2].RAM8.WORD[6].W.BYTE[2].B.BIT[5].OBUF0/Z    1.52    2.30   -0.78 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[2].B.BIT[7].OBUF0/Z    1.52    2.30   -0.78 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[2].RAM32.BYTE[2].FLOATBUF0[20].cell/Z    1.52    2.30   -0.78 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5633__CLK/DIODE    1.50    2.28   -0.78 (VIOLATED)
 soc/core.RAM128/BLOCK[0].RAM32.SLICE[1].RAM8.WORD[3].W.BYTE[2].B.BIT[5].OBUF0/Z    1.52    2.30   -0.78 (VIOLATED)
-mprj/u_wb_host/_5597_/CLK               1.50    2.28   -0.78 (VIOLATED)
 soc/core.RAM128/BLOCK[0].RAM32.SLICE[3].RAM8.WORD[0].W.BYTE[2].B.BIT[5].OBUF0/Z    1.52    2.30   -0.78 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6341__CLK/DIODE    1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/_5633_/CLK               1.50    2.28   -0.78 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[2].RAM32.SLICE[0].RAM8.WORD[4].W.BYTE[2].B.BIT[7].OBUF0/Z    1.52    2.30   -0.78 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.BIT[7].OBUF0/Z    1.52    2.30   -0.78 (VIOLATED)
 soc/core.RAM128/BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[2].B.BIT[5].OBUF0/Z    1.52    2.30   -0.78 (VIOLATED)
@@ -797,7 +428,6 @@
 soc/core.RAM128/BLOCK[0].RAM32.SLICE[0].RAM8.WORD[3].W.BYTE[2].B.BIT[5].OBUF0/Z    1.52    2.30   -0.78 (VIOLATED)
 soc/core.RAM128/BLOCK[0].RAM32.SLICE[0].RAM8.WORD[6].W.BYTE[2].B.BIT[5].OBUF0/Z    1.52    2.30   -0.78 (VIOLATED)
 soc/core.RAM128/BLOCK[0].RAM32.SLICE[0].RAM8.WORD[2].W.BYTE[2].B.BIT[5].OBUF0/Z    1.52    2.30   -0.78 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5597__CLK/DIODE    1.50    2.28   -0.78 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[2].RAM32.SLICE[0].RAM8.WORD[5].W.BYTE[2].B.BIT[7].OBUF0/Z    1.52    2.30   -0.78 (VIOLATED)
 soc/core.RAM128/BLOCK[0].RAM32.SLICE[2].RAM8.WORD[0].W.BYTE[2].B.BIT[5].OBUF0/Z    1.52    2.30   -0.78 (VIOLATED)
 soc/core.RAM128/BLOCK[0].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.BIT[5].OBUF0/Z    1.52    2.30   -0.78 (VIOLATED)
@@ -807,243 +437,32 @@
 soc/core.RAM128/BLOCK[0].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[2].B.BIT[5].OBUF0/Z    1.52    2.30   -0.78 (VIOLATED)
 soc/core.RAM128/BLOCK[0].RAM32.SLICE[2].RAM8.WORD[5].W.BYTE[2].B.BIT[5].OBUF0/Z    1.52    2.30   -0.78 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[2].B.BIT[7].OBUF0/Z    1.52    2.30   -0.78 (VIOLATED)
-mprj/u_wb_host/_5635_/CLK               1.50    2.28   -0.78 (VIOLATED)
 soc/core.RAM128/BLOCK[0].RAM32.SLICE[0].RAM8.WORD[1].W.BYTE[2].B.BIT[5].OBUF0/Z    1.52    2.30   -0.78 (VIOLATED)
 soc/core.RAM128/BLOCK[0].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[2].B.BIT[5].OBUF0/Z    1.52    2.30   -0.78 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5635__CLK/DIODE    1.50    2.28   -0.78 (VIOLATED)
 soc/core.RAM128/BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[2].B.BIT[5].OBUF0/Z    1.52    2.30   -0.78 (VIOLATED)
 soc/core.RAM128/BLOCK[0].RAM32.SLICE[2].RAM8.WORD[7].W.BYTE[2].B.BIT[5].OBUF0/Z    1.52    2.30   -0.78 (VIOLATED)
 soc/core.RAM128/BLOCK[0].RAM32.SLICE[0].RAM8.WORD[7].W.BYTE[2].B.BIT[5].OBUF0/Z    1.52    2.30   -0.78 (VIOLATED)
 soc/core.RAM128/BLOCK[0].RAM32.SLICE[0].RAM8.WORD[4].W.BYTE[2].B.BIT[5].OBUF0/Z    1.52    2.30   -0.78 (VIOLATED)
-mprj/u_wb_host/_6260_/CLK               1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6260__CLK/DIODE    1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/_6289_/CLK               1.50    2.28   -0.78 (VIOLATED)
 soc/core.RAM128/BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.BIT[5].OBUF0/Z    1.52    2.30   -0.78 (VIOLATED)
-mprj/u_wb_host/_6491_/CLK               1.50    2.28   -0.78 (VIOLATED)
 soc/core.RAM128/BLOCK[0].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[2].B.BIT[5].OBUF0/Z    1.52    2.30   -0.78 (VIOLATED)
-mprj/u_wb_host/_6357_/CLK               1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6357__CLK/DIODE    1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/_6351_/CLK               1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6351__CLK/DIODE    1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/_5621_/CLK               1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/_5608_/CLK               1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/_5612_/CLK               1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5619__CLK/DIODE    1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/_5619_/CLK               1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5612__CLK/DIODE    1.50    2.28   -0.78 (VIOLATED)
 soc/core.RAM128/BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[2].B.BIT[5].OBUF0/Z    1.52    2.30   -0.78 (VIOLATED)
-mprj/u_wb_host/_5614_/CLK               1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5614__CLK/DIODE    1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/_5611_/CLK               1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5634__CLK/DIODE    1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/_6354_/CLK               1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6354__CLK/DIODE    1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/_5626_/CLK               1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5626__CLK/DIODE    1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/_5628_/CLK               1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5628__CLK/DIODE    1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/_5634_/CLK               1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/_6504_/CLK               1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6491__CLK/DIODE    1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6504__CLK/DIODE    1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/_6505_/CLK               1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6289__CLK/DIODE    1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6505__CLK/DIODE    1.50    2.28   -0.78 (VIOLATED)
 soc/core.RAM128/BLOCK[0].RAM32.SLICE[1].RAM8.WORD[2].W.BYTE[2].B.BIT[5].OBUF0/Z    1.52    2.30   -0.78 (VIOLATED)
-mprj/u_wb_host/_6511_/CLK               1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/_6342_/CLK               1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/_6514_/CLK               1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/_6517_/CLK               1.50    2.28   -0.78 (VIOLATED)
 soc/core.RAM128/BLOCK[0].RAM32.SLICE[3].RAM8.WORD[2].W.BYTE[2].B.BIT[5].OBUF0/Z    1.52    2.30   -0.78 (VIOLATED)
-mprj/u_wb_host/_6499_/CLK               1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6499__CLK/DIODE    1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/_6497_/CLK               1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/_6503_/CLK               1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6503__CLK/DIODE    1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/_6502_/CLK               1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6497__CLK/DIODE    1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/_6492_/CLK               1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/_6495_/CLK               1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6502__CLK/DIODE    1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/_6507_/CLK               1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/_6501_/CLK               1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6495__CLK/DIODE    1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6507__CLK/DIODE    1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/_6500_/CLK               1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6501__CLK/DIODE    1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/_6508_/CLK               1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6511__CLK/DIODE    1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6517__CLK/DIODE    1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6514__CLK/DIODE    1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6500__CLK/DIODE    1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6492__CLK/DIODE    1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/_6489_/CLK               1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6490__CLK/DIODE    1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6506__CLK/DIODE    1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6509__CLK/DIODE    1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/_6493_/CLK               1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6488__CLK/DIODE    1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/_6496_/CLK               1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6493__CLK/DIODE    1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6342__CLK/DIODE    1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/_6488_/CLK               1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/_6490_/CLK               1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/_6512_/CLK               1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/_6506_/CLK               1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/_6515_/CLK               1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6513__CLK/DIODE    1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6512__CLK/DIODE    1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/_6509_/CLK               1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/_6513_/CLK               1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6508__CLK/DIODE    1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/_6471_/CLK               1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6472__CLK/DIODE    1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6476__CLK/DIODE    1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/_6474_/CLK               1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6473__CLK/DIODE    1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/_6472_/CLK               1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/_6473_/CLK               1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/_6476_/CLK               1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/_6479_/CLK               1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6479__CLK/DIODE    1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6480__CLK/DIODE    1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/_6480_/CLK               1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6419__CLK/DIODE    1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/_6419_/CLK               1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/_6519_/CLK               1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5629__CLK/DIODE    1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/_5629_/CLK               1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/_5636_/CLK               1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5636__CLK/DIODE    1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5601__CLK/DIODE    1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/_5601_/CLK               1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6519__CLK/DIODE    1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/_6365_/CLK               1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6365__CLK/DIODE    1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/_6516_/CLK               1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6448__CLK/DIODE    1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/_6448_/CLK               1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6363__CLK/DIODE    1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/_6363_/CLK               1.50    2.28   -0.78 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[2].B.BIT[7].OBUF0/Z    1.52    2.30   -0.78 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6516__CLK/DIODE    1.50    2.28   -0.78 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6515__CLK/DIODE    1.50    2.28   -0.78 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[5].W.BYTE[2].B.BIT[7].OBUF0/Z    1.52    2.30   -0.78 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[2].RAM32.SLICE[2].RAM8.WORD[6].W.BYTE[2].B.BIT[7].OBUF0/Z    1.52    2.30   -0.78 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.BIT[7].OBUF0/Z    1.52    2.30   -0.78 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[3].W.BYTE[2].B.BIT[7].OBUF0/Z    1.52    2.30   -0.78 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[2].RAM32.SLICE[2].RAM8.WORD[5].W.BYTE[2].B.BIT[7].OBUF0/Z    1.52    2.30   -0.78 (VIOLATED)
-mprj/u_wb_host/_6518_/CLK               1.50    2.27   -0.77 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[4].W.BYTE[2].B.BIT[7].OBUF0/Z    1.52    2.29   -0.77 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6469__CLK/DIODE    1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/_6469_/CLK               1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/_6475_/CLK               1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/_6366_/CLK               1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/_6467_/CLK               1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6367__CLK/DIODE    1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/_6367_/CLK               1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6366__CLK/DIODE    1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6518__CLK/DIODE    1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6510__CLK/DIODE    1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/_6510_/CLK               1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/_6494_/CLK               1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6496__CLK/DIODE    1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6494__CLK/DIODE    1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6498__CLK/DIODE    1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/_5618_/CLK               1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/_6498_/CLK               1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5616__CLK/DIODE    1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/_5616_/CLK               1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/_5623_/CLK               1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/_5588_/CLK               1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6489__CLK/DIODE    1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5588__CLK/DIODE    1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/_5639_/CLK               1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6446__CLK/DIODE    1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/_6446_/CLK               1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6443__CLK/DIODE    1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5623__CLK/DIODE    1.50    2.27   -0.77 (VIOLATED)
 soc/core.RAM128/BLOCK[0].RAM32.SLICE[2].RAM8.WORD[4].W.BYTE[2].B.BIT[5].OBUF0/Z    1.52    2.29   -0.77 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5589__CLK/DIODE    1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/_5589_/CLK               1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5610__CLK/DIODE    1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/_5617_/CLK               1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5617__CLK/DIODE    1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5618__CLK/DIODE    1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/_5590_/CLK               1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/_5625_/CLK               1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5625__CLK/DIODE    1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5590__CLK/DIODE    1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/_6443_/CLK               1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5639__CLK/DIODE    1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/_6445_/CLK               1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5632__CLK/DIODE    1.50    2.27   -0.77 (VIOLATED)
 soc/core.RAM128/BLOCK[0].RAM32.SLICE[2].RAM8.WORD[2].W.BYTE[2].B.BIT[5].OBUF0/Z    1.52    2.29   -0.77 (VIOLATED)
-mprj/u_wb_host/_5632_/CLK               1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5591__CLK/DIODE    1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5611__CLK/DIODE    1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5627__CLK/DIODE    1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/_5591_/CLK               1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/_5627_/CLK               1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5592__CLK/DIODE    1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5608__CLK/DIODE    1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5621__CLK/DIODE    1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/_5593_/CLK               1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5593__CLK/DIODE    1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/_5592_/CLK               1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/_5615_/CLK               1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5615__CLK/DIODE    1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/_5620_/CLK               1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5620__CLK/DIODE    1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/_5610_/CLK               1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5587__CLK/DIODE    1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/_5587_/CLK               1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/_5622_/CLK               1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5622__CLK/DIODE    1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5638__CLK/DIODE    1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/_5638_/CLK               1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5631__CLK/DIODE    1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/_5631_/CLK               1.50    2.27   -0.77 (VIOLATED)
 soc/core.RAM128/BLOCK[0].RAM32.SLICE[0].RAM8.WORD[5].W.BYTE[2].B.BIT[5].OBUF0/Z    1.52    2.29   -0.77 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5624__CLK/DIODE    1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/_5624_/CLK               1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/_5630_/CLK               1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5630__CLK/DIODE    1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/_5604_/CLK               1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5604__CLK/DIODE    1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6364__CLK/DIODE    1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/ANTENNA__5637__CLK/DIODE    1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/_5637_/CLK               1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/_6364_/CLK               1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6475__CLK/DIODE    1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/_6520_/CLK               1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6520__CLK/DIODE    1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6445__CLK/DIODE    1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/_6466_/CLK               1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6466__CLK/DIODE    1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6440__CLK/DIODE    1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6441__CLK/DIODE    1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/_6440_/CLK               1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/_6441_/CLK               1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6442__CLK/DIODE    1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/_6442_/CLK               1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6471__CLK/DIODE    1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6474__CLK/DIODE    1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6470__CLK/DIODE    1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/_6470_/CLK               1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/_6468_/CLK               1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6468__CLK/DIODE    1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/_6444_/CLK               1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6444__CLK/DIODE    1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/ANTENNA__6467__CLK/DIODE    1.50    2.27   -0.77 (VIOLATED)
 soc/core.RAM128/ANTENNA_BLOCK[0].RAM32.Do0_REG.OUTREG_BYTE[1].Do_FF[4]_D/DIODE    1.50    2.27   -0.77 (VIOLATED)
 soc/core.RAM128/BLOCK[0].RAM32.Do0_REG.OUTREG_BYTE[1].Do_FF[4]/D    1.50    2.27   -0.77 (VIOLATED)
 soc/core.RAM128/BLOCK[0].RAM32.SLICE[1].RAM8.WORD[4].W.BYTE[2].B.BIT[5].OBUF0/Z    1.52    2.29   -0.77 (VIOLATED)
 soc/core.RAM128/BLOCK[0].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[2].B.BIT[5].OBUF0/Z    1.52    2.29   -0.77 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[2].RAM32.SLICE[2].RAM8.WORD[3].W.BYTE[2].B.BIT[7].OBUF0/Z    1.52    2.29   -0.77 (VIOLATED)
-mprj/u_mbist0/ANTENNA_hold89_A/DIODE    1.50    2.27   -0.77 (VIOLATED)
-mprj/u_mbist0/hold89/A                  1.50    2.27   -0.77 (VIOLATED)
-mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X    1.51    2.27   -0.77 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[1].B.BIT[3].OBUF0/Z    1.52    2.29   -0.77 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[2].RAM32.SLICE[0].RAM8.WORD[3].W.BYTE[1].B.BIT[3].OBUF0/Z    1.52    2.29   -0.77 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[2].W.BYTE[1].B.BIT[3].OBUF0/Z    1.52    2.28   -0.76 (VIOLATED)
@@ -5279,7 +4698,6 @@
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[2].B.BIT[6].OBUF0/Z    1.52    2.10   -0.58 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[1].B.BIT[3].OBUF0/Z    1.52    2.10   -0.58 (VIOLATED)
 soc/core.RAM128/BLOCK[0].RAM32.SLICE[1].RAM8.WORD[4].W.BYTE[0].B.BIT[1].OBUF0/Z    1.52    2.10   -0.58 (VIOLATED)
-mprj/u_wb_host/_4057_/Y                 1.49    2.08   -0.58 (VIOLATED)
 soc/core.RAM128/BLOCK[0].RAM32.SLICE[1].RAM8.WORD[2].W.BYTE[0].B.BIT[1].OBUF0/Z    1.52    2.10   -0.58 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.SLICE[0].RAM8.WORD[1].W.BYTE[0].B.BIT[6].OBUF0/Z    1.52    2.10   -0.58 (VIOLATED)
 soc/core.RAM128/BLOCK[0].RAM32.SLICE[3].RAM8.WORD[4].W.BYTE[3].B.BIT[6].OBUF0/Z    1.52    2.10   -0.58 (VIOLATED)
@@ -5429,95 +4847,21 @@
 soc/core.RAM256/ANTENNA_BANK128[0].RAM128.BLOCK[3].RAM32.Do0_REG.OUTREG_BYTE[1].Do_FF[1]_D/DIODE    1.50    2.08   -0.58 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.Do0_REG.OUTREG_BYTE[1].Do_FF[1]/D    1.50    2.08   -0.58 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[1].B.BIT[0].OBUF0/Z    1.52    2.10   -0.58 (VIOLATED)
-mprj/u_wb_host/_4084_/A                 1.50    2.08   -0.58 (VIOLATED)
-mprj/u_wb_host/ANTENNA__4084__A/DIODE    1.50    2.08   -0.58 (VIOLATED)
-mprj/u_wb_host/ANTENNA__4082__A/DIODE    1.50    2.08   -0.58 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[0].RAM8.WORD[3].W.BYTE[0].B.BIT[4].OBUF0/Z    1.52    2.10   -0.58 (VIOLATED)
-mprj/u_wb_host/_4082_/A                 1.50    2.08   -0.58 (VIOLATED)
-mprj/u_wb_host/_4334_/B                 1.50    2.08   -0.58 (VIOLATED)
-mprj/u_wb_host/ANTENNA__4334__B/DIODE    1.50    2.08   -0.58 (VIOLATED)
 soc/core.RAM256/ANTENNA_BANK128[0].RAM128.BLOCK[0].RAM32.Do0_REG.OUTREG_BYTE[2].Do_FF[5]_D/DIODE    1.50    2.08   -0.58 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[0].RAM32.Do0_REG.OUTREG_BYTE[2].Do_FF[5]/D    1.50    2.08   -0.58 (VIOLATED)
-mprj/u_wb_host/_4390_/A2                1.50    2.08   -0.58 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[2].RAM8.WORD[4].W.BYTE[2].B.BIT[3].OBUF0/Z    1.52    2.10   -0.58 (VIOLATED)
-mprj/u_wb_host/ANTENNA__4386__A2/DIODE    1.50    2.08   -0.58 (VIOLATED)
-mprj/u_wb_host/ANTENNA__4063__A/DIODE    1.50    2.08   -0.58 (VIOLATED)
-mprj/u_wb_host/ANTENNA__4390__A2/DIODE    1.50    2.08   -0.58 (VIOLATED)
-mprj/u_wb_host/_4386_/A2                1.50    2.08   -0.58 (VIOLATED)
-mprj/u_wb_host/ANTENNA__4362__A2/DIODE    1.50    2.08   -0.58 (VIOLATED)
-mprj/u_wb_host/_4063_/A                 1.50    2.08   -0.58 (VIOLATED)
-mprj/u_wb_host/_4394_/A2                1.50    2.08   -0.58 (VIOLATED)
-mprj/u_wb_host/_4362_/A2                1.50    2.08   -0.58 (VIOLATED)
-mprj/u_wb_host/ANTENNA__4394__A2/DIODE    1.50    2.08   -0.58 (VIOLATED)
-mprj/u_wb_host/_4370_/A2                1.50    2.08   -0.58 (VIOLATED)
 soc/core.RAM128/BLOCK[0].RAM32.SLICE[2].RAM8.WORD[2].W.BYTE[0].B.BIT[1].OBUF0/Z    1.52    2.10   -0.58 (VIOLATED)
-mprj/u_wb_host/ANTENNA__4370__A2/DIODE    1.50    2.08   -0.58 (VIOLATED)
-mprj/u_wb_host/_4374_/A2                1.50    2.08   -0.58 (VIOLATED)
 soc/core.RAM128/BLOCK[2].RAM32.SLICE[2].RAM8.WORD[0].W.BYTE[1].B.BIT[5].OBUF0/Z    1.52    2.10   -0.58 (VIOLATED)
-mprj/u_wb_host/ANTENNA__4374__A2/DIODE    1.50    2.08   -0.58 (VIOLATED)
 soc/core.RAM128/BLOCK[0].RAM32.SLICE[2].RAM8.WORD[6].W.BYTE[3].B.BIT[6].OBUF0/Z    1.52    2.10   -0.58 (VIOLATED)
 soc/core.RAM128/BLOCK[2].RAM32.SLICE[2].RAM8.WORD[4].W.BYTE[1].B.BIT[5].OBUF0/Z    1.52    2.10   -0.58 (VIOLATED)
-mprj/u_wb_host/ANTENNA__4353__A2/DIODE    1.50    2.08   -0.58 (VIOLATED)
-mprj/u_wb_host/_4353_/A2                1.50    2.08   -0.58 (VIOLATED)
 soc/core.RAM128/BLOCK[2].RAM32.BYTE[2].FLOATBUF0[22].__cell__/Z    1.52    2.10   -0.58 (VIOLATED)
 soc/core.RAM128/BLOCK[2].RAM32.SLICE[2].RAM8.WORD[6].W.BYTE[1].B.BIT[5].OBUF0/Z    1.52    2.10   -0.58 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[2].RAM32.SLICE[2].RAM8.WORD[0].W.BYTE[2].B.BIT[1].OBUF0/Z    1.52    2.10   -0.58 (VIOLATED)
 soc/core.RAM128/BLOCK[2].RAM32.SLICE[2].RAM8.WORD[7].W.BYTE[1].B.BIT[5].OBUF0/Z    1.52    2.10   -0.58 (VIOLATED)
-mprj/u_wb_host/_4366_/A2                1.50    2.08   -0.58 (VIOLATED)
-mprj/u_wb_host/ANTENNA__4366__A2/DIODE    1.50    2.08   -0.58 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[0].RAM8.WORD[0].W.BYTE[0].B.BIT[4].OBUF0/Z    1.52    2.10   -0.58 (VIOLATED)
-mprj/u_wb_host/ANTENNA__4387__A2/DIODE    1.50    2.08   -0.58 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[2].RAM8.WORD[5].W.BYTE[2].B.BIT[3].OBUF0/Z    1.52    2.10   -0.58 (VIOLATED)
-mprj/u_wb_host/_4387_/A2                1.50    2.08   -0.58 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.BIT[3].OBUF0/Z    1.52    2.10   -0.58 (VIOLATED)
-mprj/u_wb_host/_4352_/A                 1.50    2.08   -0.58 (VIOLATED)
-mprj/u_wb_host/ANTENNA__4352__A/DIODE    1.50    2.08   -0.58 (VIOLATED)
-mprj/u_wb_host/_4383_/A2                1.50    2.08   -0.58 (VIOLATED)
-mprj/u_wb_host/ANTENNA__4391__A2/DIODE    1.50    2.08   -0.58 (VIOLATED)
-mprj/u_wb_host/_4391_/A2                1.50    2.08   -0.58 (VIOLATED)
-mprj/u_wb_host/ANTENNA__4383__A2/DIODE    1.50    2.08   -0.58 (VIOLATED)
-mprj/u_wb_host/ANTENNA__4402__A2/DIODE    1.50    2.08   -0.58 (VIOLATED)
-mprj/u_wb_host/_4398_/A2                1.50    2.08   -0.58 (VIOLATED)
-mprj/u_wb_host/ANTENNA__4414__A2/DIODE    1.50    2.08   -0.58 (VIOLATED)
-mprj/u_wb_host/_4414_/A2                1.50    2.08   -0.58 (VIOLATED)
-mprj/u_wb_host/ANTENNA__4398__A2/DIODE    1.50    2.08   -0.58 (VIOLATED)
-mprj/u_wb_host/ANTENNA__4382__A2/DIODE    1.50    2.08   -0.58 (VIOLATED)
-mprj/u_wb_host/_4382_/A2                1.50    2.08   -0.58 (VIOLATED)
-mprj/u_wb_host/_4378_/A2                1.50    2.08   -0.58 (VIOLATED)
-mprj/u_wb_host/_4410_/A2                1.50    2.08   -0.58 (VIOLATED)
-mprj/u_wb_host/ANTENNA__4378__A2/DIODE    1.50    2.08   -0.58 (VIOLATED)
-mprj/u_wb_host/_4358_/A2                1.50    2.08   -0.58 (VIOLATED)
-mprj/u_wb_host/ANTENNA__4410__A2/DIODE    1.50    2.08   -0.58 (VIOLATED)
-mprj/u_wb_host/ANTENNA__4367__A2/DIODE    1.50    2.08   -0.58 (VIOLATED)
-mprj/u_wb_host/_4367_/A2                1.50    2.08   -0.58 (VIOLATED)
-mprj/u_wb_host/_4395_/A2                1.50    2.08   -0.58 (VIOLATED)
-mprj/u_wb_host/ANTENNA__4354__A2/DIODE    1.50    2.08   -0.58 (VIOLATED)
-mprj/u_wb_host/_4354_/A2                1.50    2.08   -0.58 (VIOLATED)
-mprj/u_wb_host/ANTENNA__4358__A2/DIODE    1.50    2.08   -0.58 (VIOLATED)
-mprj/u_wb_host/_4359_/A2                1.50    2.08   -0.58 (VIOLATED)
-mprj/u_wb_host/ANTENNA__4395__A2/DIODE    1.50    2.08   -0.58 (VIOLATED)
-mprj/u_wb_host/ANTENNA__4406__A2/DIODE    1.50    2.08   -0.58 (VIOLATED)
-mprj/u_wb_host/_4402_/A2                1.50    2.08   -0.58 (VIOLATED)
-mprj/u_wb_host/ANTENNA__4371__A2/DIODE    1.50    2.08   -0.58 (VIOLATED)
-mprj/u_wb_host/_4406_/A2                1.50    2.08   -0.58 (VIOLATED)
-mprj/u_wb_host/_4371_/A2                1.50    2.08   -0.58 (VIOLATED)
-mprj/u_wb_host/ANTENNA__4359__A2/DIODE    1.50    2.08   -0.58 (VIOLATED)
-mprj/u_wb_host/_4375_/A2                1.50    2.08   -0.58 (VIOLATED)
-mprj/u_wb_host/ANTENNA__4375__A2/DIODE    1.50    2.08   -0.58 (VIOLATED)
-mprj/u_wb_host/ANTENNA__4363__A2/DIODE    1.50    2.08   -0.58 (VIOLATED)
-mprj/u_wb_host/_4363_/A2                1.50    2.08   -0.58 (VIOLATED)
-mprj/u_wb_host/_4399_/A2                1.50    2.08   -0.58 (VIOLATED)
-mprj/u_wb_host/ANTENNA__4379__A2/DIODE    1.50    2.08   -0.58 (VIOLATED)
-mprj/u_wb_host/_4379_/A2                1.50    2.08   -0.58 (VIOLATED)
-mprj/u_wb_host/_4403_/A2                1.50    2.08   -0.58 (VIOLATED)
-mprj/u_wb_host/ANTENNA__4403__A2/DIODE    1.50    2.08   -0.58 (VIOLATED)
-mprj/u_wb_host/ANTENNA__4415__A2/DIODE    1.50    2.08   -0.58 (VIOLATED)
-mprj/u_wb_host/ANTENNA__4399__A2/DIODE    1.50    2.08   -0.58 (VIOLATED)
-mprj/u_wb_host/_4407_/A2                1.50    2.08   -0.58 (VIOLATED)
-mprj/u_wb_host/_4415_/A2                1.50    2.08   -0.58 (VIOLATED)
-mprj/u_wb_host/ANTENNA__4407__A2/DIODE    1.50    2.08   -0.58 (VIOLATED)
-mprj/u_wb_host/_4411_/A2                1.50    2.08   -0.58 (VIOLATED)
-mprj/u_wb_host/ANTENNA__4411__A2/DIODE    1.50    2.08   -0.58 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.BIT[1].OBUF0/Z    1.52    2.10   -0.58 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[1].RAM8.WORD[5].W.BYTE[0].B.BIT[4].OBUF0/Z    1.52    2.10   -0.58 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[4].W.BYTE[0].B.BIT[4].OBUF0/Z    1.52    2.10   -0.58 (VIOLATED)
@@ -5835,7 +5179,6 @@
 soc/core.RAM128/BLOCK[1].RAM32.SLICE[0].RAM8.WORD[5].W.BYTE[2].B.BIT[5].OBUF0/Z    1.52    2.09   -0.57 (VIOLATED)
 soc/core.RAM128/BLOCK[2].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[4].OBUF0/Z    1.52    2.09   -0.57 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[2].RAM8.WORD[0].W.BYTE[2].B.BIT[0].OBUF0/Z    1.52    2.09   -0.57 (VIOLATED)
-mprj/u_sram0_2kb/addr0[4]               0.04    0.61   -0.57 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[0].RAM8.WORD[2].W.BYTE[1].B.BIT[5].OBUF0/Z    1.52    2.09   -0.57 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[0].RAM8.WORD[4].W.BYTE[1].B.BIT[5].OBUF0/Z    1.52    2.09   -0.57 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.BIT[5].OBUF0/Z    1.52    2.09   -0.57 (VIOLATED)
@@ -6799,7 +6142,6 @@
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[2].W.BYTE[0].B.BIT[5].OBUF0/Z    1.52    2.06   -0.54 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[4].W.BYTE[3].B.BIT[6].OBUF0/Z    1.52    2.06   -0.54 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[1].B.BIT[6].OBUF0/Z    1.52    2.06   -0.54 (VIOLATED)
-mprj/u_sram0_2kb/addr0[8]               0.04    0.58   -0.54 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[5].W.BYTE[2].B.BIT[3].OBUF0/Z    1.52    2.06   -0.54 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[5].W.BYTE[0].B.BIT[5].OBUF0/Z    1.52    2.06   -0.54 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[2].RAM32.SLICE[0].RAM8.WORD[2].W.BYTE[0].B.BIT[6].OBUF0/Z    1.52    2.06   -0.54 (VIOLATED)
@@ -6985,7 +6327,6 @@
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.SLICE[2].RAM8.WORD[7].W.BYTE[0].B.BIT[2].OBUF0/Z    1.52    2.06   -0.54 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[2].RAM8.WORD[4].W.BYTE[0].B.BIT[3].OBUF0/Z    1.52    2.06   -0.54 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[1].OBUF0/Z    1.52    2.06   -0.54 (VIOLATED)
-mprj/u_sram1_2kb/addr0[7]               0.04    0.58   -0.54 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[2].RAM32.SLICE[0].RAM8.WORD[3].W.BYTE[0].B.BIT[7].OBUF0/Z    1.52    2.06   -0.54 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[0].RAM32.SLICE[0].RAM8.WORD[6].W.BYTE[2].B.BIT[3].OBUF0/Z    1.52    2.06   -0.54 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[0].RAM32.SLICE[2].RAM8.WORD[1].W.BYTE[1].B.BIT[1].OBUF0/Z    1.52    2.06   -0.54 (VIOLATED)
@@ -7135,7 +6476,6 @@
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[0].RAM8.WORD[0].W.BYTE[0].B.BIT[0].OBUF0/Z    1.52    2.05   -0.53 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[2].RAM32.SLICE[2].RAM8.WORD[4].W.BYTE[0].B.BIT[6].OBUF0/Z    1.52    2.05   -0.53 (VIOLATED)
 soc/core.RAM128/BLOCK[0].RAM32.SLICE[0].RAM8.WORD[3].W.BYTE[0].B.BIT[4].OBUF0/Z    1.52    2.05   -0.53 (VIOLATED)
-mprj/u_sram0_2kb/addr0[7]               0.04    0.57   -0.53 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[0].RAM8.WORD[7].W.BYTE[0].B.BIT[0].OBUF0/Z    1.52    2.05   -0.53 (VIOLATED)
 soc/core.RAM128/BLOCK[2].RAM32.SLICE[0].RAM8.WORD[3].W.BYTE[1].B.BIT[1].OBUF0/Z    1.52    2.05   -0.53 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[0].B.BIT[2].OBUF0/Z    1.52    2.05   -0.53 (VIOLATED)
@@ -7197,10 +6537,8 @@
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.Do0_REG.OUTREG_BYTE[3].Do_FF[2]/D    1.50    2.03   -0.53 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[1].B.BIT[1].OBUF0/Z    1.52    2.05   -0.53 (VIOLATED)
 soc/core.RAM128/BLOCK[2].RAM32.SLICE[0].RAM8.WORD[6].W.BYTE[1].B.BIT[1].OBUF0/Z    1.52    2.05   -0.53 (VIOLATED)
-mprj/u_wb_host/output135/X              1.51    2.04   -0.53 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[2].RAM8.WORD[7].W.BYTE[0].B.BIT[0].OBUF0/Z    1.52    2.05   -0.53 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[4].W.BYTE[0].B.BIT[0].OBUF0/Z    1.52    2.05   -0.53 (VIOLATED)
-mprj/u_sram2_2kb/addr0[8]               0.04    0.57   -0.53 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[2].RAM32.Do0_REG.OUTREG_BYTE[0].Do_FF[4]/D    1.50    2.03   -0.53 (VIOLATED)
 soc/core.RAM256/ANTENNA_BANK128[0].RAM128.BLOCK[2].RAM32.Do0_REG.OUTREG_BYTE[0].Do_FF[4]_D/DIODE    1.50    2.03   -0.53 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[2].RAM32.SLICE[2].RAM8.WORD[2].W.BYTE[0].B.BIT[6].OBUF0/Z    1.52    2.05   -0.53 (VIOLATED)
@@ -7353,7 +6691,6 @@
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.SLICE[2].RAM8.WORD[6].W.BYTE[1].B.BIT[1].OBUF0/Z    1.52    2.04   -0.52 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[1].RAM8.WORD[2].W.BYTE[0].B.BIT[1].OBUF0/Z    1.52    2.04   -0.52 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[1].RAM8.WORD[4].W.BYTE[0].B.BIT[1].OBUF0/Z    1.52    2.04   -0.52 (VIOLATED)
-mprj/u_sram0_2kb/addr0[3]               0.04    0.56   -0.52 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[4].W.BYTE[0].B.BIT[1].OBUF0/Z    1.52    2.04   -0.52 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[3].W.BYTE[0].B.BIT[6].OBUF0/Z    1.52    2.04   -0.52 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.SLICE[0].RAM8.WORD[1].W.BYTE[1].B.BIT[2].OBUF0/Z    1.52    2.04   -0.52 (VIOLATED)
@@ -7503,7 +6840,6 @@
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[2].RAM8.WORD[1].W.BYTE[0].B.BIT[2].OBUF0/Z    1.52    2.04   -0.52 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[2].RAM8.WORD[3].W.BYTE[0].B.BIT[2].OBUF0/Z    1.52    2.04   -0.52 (VIOLATED)
 soc/core.RAM128/BLOCK[2].RAM32.SLICE[1].RAM8.WORD[2].W.BYTE[1].B.BIT[7].OBUF0/Z    1.52    2.04   -0.52 (VIOLATED)
-mprj/u_sram2_2kb/addr0[5]               0.04    0.56   -0.52 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.BYTE[1].FLOATBUF0[10].cell/Z    1.52    2.04   -0.52 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.SLICE[2].RAM8.WORD[0].W.BYTE[1].B.BIT[1].OBUF0/Z    1.52    2.04   -0.52 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.BIT[1].OBUF0/Z    1.52    2.04   -0.52 (VIOLATED)
@@ -7694,7 +7030,6 @@
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[0].B.BIT[4].OBUF0/Z    1.52    2.03   -0.51 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[0].RAM32.SLICE[2].RAM8.WORD[5].W.BYTE[1].B.BIT[7].OBUF0/Z    1.52    2.03   -0.51 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[2].W.BYTE[1].B.BIT[7].OBUF0/Z    1.52    2.03   -0.51 (VIOLATED)
-mprj/u_sram0_2kb/addr0[5]               0.04    0.55   -0.51 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[0].RAM8.WORD[0].W.BYTE[3].B.BIT[1].OBUF0/Z    1.52    2.03   -0.51 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[2].RAM8.WORD[4].W.BYTE[1].B.BIT[7].OBUF0/Z    1.52    2.03   -0.51 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[1].B.BIT[7].OBUF0/Z    1.52    2.03   -0.51 (VIOLATED)
@@ -7729,7 +7064,6 @@
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[5].W.BYTE[3].B.BIT[1].OBUF0/Z    1.52    2.03   -0.51 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[2].RAM8.WORD[2].W.BYTE[3].B.BIT[1].OBUF0/Z    1.52    2.03   -0.51 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[3].B.BIT[3].OBUF0/Z    1.52    2.03   -0.51 (VIOLATED)
-mprj/u_sram6_2kb/addr0[6]               0.04    0.55   -0.51 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[1].RAM8.WORD[5].W.BYTE[1].B.BIT[7].OBUF0/Z    1.52    2.03   -0.51 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.SLICE[2].RAM8.WORD[5].W.BYTE[1].B.BIT[2].OBUF0/Z    1.52    2.03   -0.51 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[0].RAM8.WORD[4].W.BYTE[0].B.BIT[2].OBUF0/Z    1.52    2.03   -0.51 (VIOLATED)
@@ -7744,7 +7078,6 @@
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[0].RAM8.WORD[3].W.BYTE[1].B.BIT[7].OBUF0/Z    1.52    2.03   -0.51 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[2].RAM8.WORD[5].W.BYTE[3].B.BIT[4].OBUF0/Z    1.52    2.03   -0.51 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[0].RAM8.WORD[2].W.BYTE[3].B.BIT[2].OBUF0/Z    1.52    2.03   -0.51 (VIOLATED)
-mprj/u_sram7_2kb/addr0[8]               0.04    0.55   -0.51 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[0].B.BIT[4].OBUF0/Z    1.52    2.03   -0.51 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[2].RAM32.SLICE[0].RAM8.WORD[4].W.BYTE[0].B.BIT[4].OBUF0/Z    1.52    2.03   -0.51 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[2].RAM8.WORD[1].W.BYTE[3].B.BIT[4].OBUF0/Z    1.52    2.03   -0.51 (VIOLATED)
@@ -7774,7 +7107,6 @@
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[3].B.BIT[4].OBUF0/Z    1.52    2.03   -0.51 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[0].B.BIT[4].OBUF0/Z    1.52    2.03   -0.51 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[0].B.BIT[4].OBUF0/Z    1.52    2.03   -0.51 (VIOLATED)
-mprj/u_sram4_2kb/addr0[2]               0.04    0.55   -0.51 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[3].B.BIT[2].OBUF0/Z    1.52    2.03   -0.51 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.SLICE[2].RAM8.WORD[7].W.BYTE[1].B.BIT[0].OBUF0/Z    1.52    2.03   -0.51 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[0].B.BIT[4].OBUF0/Z    1.52    2.03   -0.51 (VIOLATED)
@@ -7795,7 +7127,6 @@
 soc/core.RAM256/ANTENNA_BANK128[0].RAM128.BLOCK[1].RAM32.Do0_REG.OUTREG_BYTE[3].Do_FF[0]_D/DIODE    1.50    2.01   -0.51 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.Do0_REG.OUTREG_BYTE[3].Do_FF[0]/D    1.50    2.01   -0.51 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[4].W.BYTE[3].B.BIT[3].OBUF0/Z    1.52    2.03   -0.51 (VIOLATED)
-mprj/u_sram7_2kb/addr0[5]               0.04    0.55   -0.51 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[0].RAM32.SLICE[0].RAM8.WORD[2].W.BYTE[1].B.BIT[7].OBUF0/Z    1.52    2.03   -0.51 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[2].RAM8.WORD[2].W.BYTE[3].B.BIT[4].OBUF0/Z    1.52    2.03   -0.51 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.BIT[0].OBUF0/Z    1.52    2.03   -0.51 (VIOLATED)
@@ -7888,7 +7219,6 @@
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[2].RAM8.WORD[4].W.BYTE[1].B.BIT[0].OBUF0/Z    1.52    2.02   -0.50 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[3].B.BIT[4].OBUF0/Z    1.52    2.02   -0.50 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.BIT[1].OBUF0/Z    1.52    2.02   -0.50 (VIOLATED)
-mprj/u_sram6_2kb/addr0[8]               0.04    0.54   -0.50 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[1].RAM8.WORD[2].W.BYTE[1].B.BIT[1].OBUF0/Z    1.52    2.02   -0.50 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.SLICE[0].RAM8.WORD[7].W.BYTE[1].B.BIT[0].OBUF0/Z    1.52    2.02   -0.50 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[3].B.BIT[2].OBUF0/Z    1.52    2.02   -0.50 (VIOLATED)
@@ -7961,7 +7291,6 @@
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[0].RAM32.SLICE[2].RAM8.WORD[5].W.BYTE[2].B.BIT[2].OBUF0/Z    1.52    2.02   -0.50 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[1].B.BIT[0].OBUF0/Z    1.52    2.02   -0.50 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[3].RAM8.WORD[4].W.BYTE[1].B.BIT[1].OBUF0/Z    1.52    2.02   -0.50 (VIOLATED)
-mprj/u_sram0_2kb/addr0[6]               0.04    0.54   -0.50 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[2].W.BYTE[3].B.BIT[7].OBUF0/Z    1.52    2.02   -0.50 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[1].B.BIT[0].OBUF0/Z    1.52    2.02   -0.50 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[1].RAM8.WORD[5].W.BYTE[1].B.BIT[0].OBUF0/Z    1.52    2.02   -0.50 (VIOLATED)
@@ -8052,9 +7381,7 @@
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[3].W.BYTE[3].B.BIT[7].OBUF0/Z    1.52    2.02   -0.50 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[0].RAM8.WORD[6].W.BYTE[1].B.BIT[1].OBUF0/Z    1.52    2.02   -0.50 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[0].RAM32.SLICE[2].RAM8.WORD[0].W.BYTE[2].B.BIT[4].OBUF0/Z    1.52    2.02   -0.50 (VIOLATED)
-mprj/u_sram7_2kb/addr0[7]               0.04    0.54   -0.50 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[0].RAM8.WORD[3].W.BYTE[1].B.BIT[1].OBUF0/Z    1.52    2.02   -0.50 (VIOLATED)
-mprj/u_sram0_2kb/addr0[2]               0.04    0.54   -0.50 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.SLICE[2].RAM8.WORD[4].W.BYTE[3].B.BIT[2].OBUF0/Z    1.52    2.02   -0.50 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.SLICE[0].RAM8.WORD[2].W.BYTE[3].B.BIT[7].OBUF0/Z    1.52    2.02   -0.50 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.SLICE[0].RAM8.WORD[0].W.BYTE[3].B.BIT[7].OBUF0/Z    1.52    2.02   -0.50 (VIOLATED)
@@ -8096,7 +7423,6 @@
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[2].RAM32.SLICE[0].RAM8.WORD[1].W.BYTE[0].B.BIT[3].OBUF0/Z    1.52    2.02   -0.50 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[0].RAM32.SLICE[0].RAM8.WORD[0].W.BYTE[2].B.BIT[4].OBUF0/Z    1.52    2.02   -0.50 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[0].RAM8.WORD[6].W.BYTE[3].B.BIT[5].OBUF0/Z    1.52    2.02   -0.50 (VIOLATED)
-mprj/u_sram1_2kb/addr0[8]               0.04    0.54   -0.50 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[3].B.BIT[2].OBUF0/Z    1.52    2.02   -0.50 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[0].RAM8.WORD[1].W.BYTE[1].B.BIT[1].OBUF0/Z    1.52    2.02   -0.50 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[2].W.BYTE[1].B.BIT[1].OBUF0/Z    1.52    2.01   -0.49 (VIOLATED)
@@ -8138,7 +7464,6 @@
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[3].W.BYTE[0].B.BIT[3].OBUF0/Z    1.52    2.01   -0.49 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.SLICE[2].RAM8.WORD[7].W.BYTE[3].B.BIT[2].OBUF0/Z    1.52    2.01   -0.49 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[0].RAM8.WORD[3].W.BYTE[3].B.BIT[5].OBUF0/Z    1.52    2.01   -0.49 (VIOLATED)
-mprj/u_sram6_2kb/addr0[4]               0.04    0.53   -0.49 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.SLICE[0].RAM8.WORD[3].W.BYTE[3].B.BIT[2].OBUF0/Z    1.52    2.01   -0.49 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[0].RAM8.WORD[2].W.BYTE[3].B.BIT[5].OBUF0/Z    1.52    2.01   -0.49 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[2].RAM8.WORD[0].W.BYTE[1].B.BIT[1].OBUF0/Z    1.52    2.01   -0.49 (VIOLATED)
@@ -8212,7 +7537,6 @@
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[2].RAM32.SLICE[0].RAM8.WORD[2].W.BYTE[0].B.BIT[3].OBUF0/Z    1.52    2.01   -0.49 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[2].B.BIT[4].OBUF0/Z    1.52    2.01   -0.49 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[3].B.BIT[7].OBUF0/Z    1.52    2.01   -0.49 (VIOLATED)
-mprj/u_sram1_2kb/addr0[2]               0.04    0.53   -0.49 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[0].RAM8.WORD[3].W.BYTE[3].B.BIT[2].OBUF0/Z    1.52    2.01   -0.49 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[2].RAM32.SLICE[0].RAM8.WORD[4].W.BYTE[0].B.BIT[3].OBUF0/Z    1.52    2.01   -0.49 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[0].RAM8.WORD[4].W.BYTE[3].B.BIT[5].OBUF0/Z    1.52    2.01   -0.49 (VIOLATED)
@@ -8281,6 +7605,7 @@
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[0].RAM32.SLICE[0].RAM8.WORD[6].W.BYTE[3].B.BIT[3].OBUF0/Z    1.52    2.01   -0.49 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[0].W.BYTE[2].B.BIT[2].OBUF0/Z    1.52    2.01   -0.49 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[0].RAM32.SLICE[2].RAM8.WORD[7].W.BYTE[3].B.BIT[3].OBUF0/Z    1.52    2.01   -0.49 (VIOLATED)
+mprj/u_sram2_2kb/addr0[8]               0.04    0.53   -0.49 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.BIT[7].OBUF0/Z    1.52    2.01   -0.49 (VIOLATED)
 soc/core.RAM256/ANTENNA_BANK128[0].RAM128.BLOCK[0].RAM32.Do0_REG.OUTREG_BYTE[2].Do_FF[0]_D/DIODE    1.50    1.99   -0.49 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[0].RAM32.Do0_REG.OUTREG_BYTE[2].Do_FF[0]/D    1.50    1.99   -0.49 (VIOLATED)
@@ -8457,7 +7782,6 @@
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[2].RAM32.SLICE[0].RAM8.WORD[3].W.BYTE[0].B.BIT[7].OBUF0/Z    1.52    2.00   -0.48 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[2].W.BYTE[1].B.BIT[6].OBUF0/Z    1.52    2.00   -0.48 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[2].B.BIT[6].OBUF0/Z    1.52    2.00   -0.48 (VIOLATED)
-mprj/u_sram4_2kb/addr0[4]               0.04    0.52   -0.48 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[2].W.BYTE[3].B.BIT[2].OBUF0/Z    1.52    2.00   -0.48 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[3].B.BIT[0].OBUF0/Z    1.52    2.00   -0.48 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[2].RAM32.SLICE[0].RAM8.WORD[5].W.BYTE[0].B.BIT[7].OBUF0/Z    1.52    2.00   -0.48 (VIOLATED)
@@ -8486,7 +7810,6 @@
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[2].B.BIT[7].OBUF0/Z    1.52    2.00   -0.48 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[1].RAM8.WORD[3].W.BYTE[1].B.BIT[6].OBUF0/Z    1.52    2.00   -0.48 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[0].RAM8.WORD[0].W.BYTE[1].B.BIT[6].OBUF0/Z    1.52    2.00   -0.48 (VIOLATED)
-mprj/u_sram5_2kb/addr0[8]               0.04    0.52   -0.48 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[2].RAM8.WORD[4].W.BYTE[1].B.BIT[6].OBUF0/Z    1.52    2.00   -0.48 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[0].RAM8.WORD[1].W.BYTE[3].B.BIT[0].OBUF0/Z    1.52    2.00   -0.48 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[0].W.BYTE[3].B.BIT[0].OBUF0/Z    1.52    2.00   -0.48 (VIOLATED)
@@ -8507,7 +7830,6 @@
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[1].RAM8.WORD[5].W.BYTE[2].B.BIT[7].OBUF0/Z    1.52    2.00   -0.48 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[3].B.BIT[0].OBUF0/Z    1.52    2.00   -0.48 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[2].B.BIT[7].OBUF0/Z    1.52    2.00   -0.48 (VIOLATED)
-mprj/u_sram4_2kb/addr0[7]               0.04    0.52   -0.48 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.SLICE[0].RAM8.WORD[2].W.BYTE[3].B.BIT[4].OBUF0/Z    1.52    2.00   -0.48 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[3].B.BIT[4].OBUF0/Z    1.52    2.00   -0.48 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[2].RAM8.WORD[5].W.BYTE[3].B.BIT[0].OBUF0/Z    1.52    2.00   -0.48 (VIOLATED)
@@ -8534,7 +7856,6 @@
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[3].B.BIT[4].OBUF0/Z    1.52    2.00   -0.48 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[2].B.BIT[6].OBUF0/Z    1.52    2.00   -0.48 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.BYTE[1].FLOATBUF0[8].cell/Z    1.52    2.00   -0.48 (VIOLATED)
-mprj/u_sram2_2kb/addr0[4]               0.04    0.52   -0.48 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[0].RAM8.WORD[2].W.BYTE[1].B.BIT[6].OBUF0/Z    1.52    2.00   -0.48 (VIOLATED)
 soc/core.RAM128/BLOCK[2].RAM32.Do0_REG.OUTREG_BYTE[3].Do_FF[1]/D    1.50    1.98   -0.48 (VIOLATED)
 soc/core.RAM128/ANTENNA_BLOCK[2].RAM32.Do0_REG.OUTREG_BYTE[3].Do_FF[1]_D/DIODE    1.50    1.98   -0.48 (VIOLATED)
@@ -8553,6 +7874,7 @@
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.SLICE[2].RAM8.WORD[6].W.BYTE[3].B.BIT[7].OBUF0/Z    1.52    2.00   -0.48 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[0].RAM32.SLICE[0].RAM8.WORD[2].W.BYTE[1].B.BIT[0].OBUF0/Z    1.52    2.00   -0.48 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[3].B.BIT[0].OBUF0/Z    1.52    2.00   -0.48 (VIOLATED)
+mprj/u_sram0_2kb/addr0[3]               0.04    0.52   -0.48 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[4].W.BYTE[3].B.BIT[0].OBUF0/Z    1.52    2.00   -0.48 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[4].W.BYTE[3].B.BIT[3].OBUF0/Z    1.52    2.00   -0.48 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[1].RAM8.WORD[4].W.BYTE[1].B.BIT[6].OBUF0/Z    1.52    2.00   -0.48 (VIOLATED)
@@ -8585,7 +7907,6 @@
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[0].RAM8.WORD[7].W.BYTE[1].B.BIT[2].OBUF0/Z    1.52    2.00   -0.48 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[2].RAM8.WORD[4].W.BYTE[1].B.BIT[2].OBUF0/Z    1.52    2.00   -0.48 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[1].RAM8.WORD[2].W.BYTE[2].B.BIT[7].OBUF0/Z    1.52    2.00   -0.48 (VIOLATED)
-mprj/u_sram5_2kb/addr0[4]               0.04    0.52   -0.48 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[0].RAM8.WORD[1].W.BYTE[1].B.BIT[2].OBUF0/Z    1.52    2.00   -0.48 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[4].W.BYTE[1].B.BIT[0].OBUF0/Z    1.52    2.00   -0.48 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[1].RAM8.WORD[4].W.BYTE[0].B.BIT[3].OBUF0/Z    1.52    2.00   -0.48 (VIOLATED)
@@ -8680,7 +8001,6 @@
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[3].RAM8.WORD[0].W.BYTE[1].B.BIT[2].OBUF0/Z    1.52    2.00   -0.48 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[1].RAM8.WORD[3].W.BYTE[2].B.BIT[5].OBUF0/Z    1.52    2.00   -0.48 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.BIT[2].OBUF0/Z    1.52    2.00   -0.48 (VIOLATED)
-mprj/u_sram4_2kb/addr0[8]               0.04    0.52   -0.48 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[2].RAM8.WORD[4].W.BYTE[2].B.BIT[7].OBUF0/Z    1.52    2.00   -0.48 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[0].RAM8.WORD[5].W.BYTE[2].B.BIT[5].OBUF0/Z    1.52    2.00   -0.48 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[0].RAM8.WORD[7].W.BYTE[2].B.BIT[5].OBUF0/Z    1.52    2.00   -0.48 (VIOLATED)
@@ -8691,7 +8011,6 @@
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.BIT[7].OBUF0/Z    1.52    2.00   -0.48 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[2].RAM8.WORD[0].W.BYTE[3].B.BIT[7].OBUF0/Z    1.52    2.00   -0.48 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[0].RAM8.WORD[2].W.BYTE[3].B.BIT[7].OBUF0/Z    1.52    2.00   -0.48 (VIOLATED)
-mprj/u_sram4_2kb/addr0[6]               0.04    0.52   -0.48 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[2].RAM8.WORD[3].W.BYTE[3].B.BIT[0].OBUF0/Z    1.52    2.00   -0.48 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[2].RAM8.WORD[3].W.BYTE[1].B.BIT[2].OBUF0/Z    1.52    2.00   -0.48 (VIOLATED)
 soc/core.RAM128/ANTENNA_BLOCK[3].RAM32.Do0_REG.OUTREG_BYTE[2].Do_FF[3]_D/DIODE    1.50    1.98   -0.48 (VIOLATED)
@@ -8714,6 +8033,7 @@
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[0].RAM8.WORD[5].W.BYTE[3].B.BIT[7].OBUF0/Z    1.52    1.99   -0.47 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.Do0_REG.OUTREG_BYTE[2].Do_FF[5]/D    1.50    1.97   -0.47 (VIOLATED)
 soc/core.RAM256/ANTENNA_BANK128[0].RAM128.BLOCK[3].RAM32.Do0_REG.OUTREG_BYTE[2].Do_FF[5]_D/DIODE    1.50    1.97   -0.47 (VIOLATED)
+mprj/u_sram2_2kb/addr0[7]               0.04    0.51   -0.47 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[2].RAM8.WORD[0].W.BYTE[1].B.BIT[6].OBUF0/Z    1.52    1.99   -0.47 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[2].RAM8.WORD[6].W.BYTE[1].B.BIT[2].OBUF0/Z    1.52    1.99   -0.47 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[2].RAM8.WORD[6].W.BYTE[1].B.BIT[6].OBUF0/Z    1.52    1.99   -0.47 (VIOLATED)
@@ -8819,7 +8139,6 @@
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.SLICE[2].RAM8.WORD[7].W.BYTE[3].B.BIT[3].OBUF0/Z    1.52    1.99   -0.47 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[3].B.BIT[3].OBUF0/Z    1.52    1.99   -0.47 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[2].RAM8.WORD[1].W.BYTE[3].B.BIT[7].OBUF0/Z    1.52    1.99   -0.47 (VIOLATED)
-mprj/u_sram6_2kb/addr0[7]               0.04    0.51   -0.47 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[0].B.BIT[1].OBUF0/Z    1.52    1.99   -0.47 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[0].RAM8.WORD[2].W.BYTE[2].B.BIT[3].OBUF0/Z    1.52    1.99   -0.47 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[0].RAM8.WORD[1].W.BYTE[2].B.BIT[3].OBUF0/Z    1.52    1.99   -0.47 (VIOLATED)
@@ -8854,9 +8173,12 @@
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[0].RAM8.WORD[0].W.BYTE[2].B.BIT[2].OBUF0/Z    1.52    1.99   -0.47 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.SLICE[2].RAM8.WORD[4].W.BYTE[3].B.BIT[1].OBUF0/Z    1.52    1.99   -0.47 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[3].B.BIT[7].OBUF0/Z    1.52    1.99   -0.47 (VIOLATED)
+mprj/u_sram0_2kb/addr0[8]               0.04    0.51   -0.47 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[2].W.BYTE[0].B.BIT[1].OBUF0/Z    1.52    1.99   -0.47 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.SLICE[2].RAM8.WORD[0].W.BYTE[3].B.BIT[1].OBUF0/Z    1.52    1.99   -0.47 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[3].B.BIT[1].OBUF0/Z    1.52    1.99   -0.47 (VIOLATED)
+mprj/u_sram4_2kb/addr0[6]               0.04    0.51   -0.47 (VIOLATED)
+mprj/u_sram2_2kb/addr0[6]               0.04    0.51   -0.47 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[2].RAM32.SLICE[0].RAM8.WORD[6].W.BYTE[0].B.BIT[1].OBUF0/Z    1.52    1.99   -0.47 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[0].RAM8.WORD[3].W.BYTE[2].B.BIT[3].OBUF0/Z    1.52    1.99   -0.47 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[2].RAM8.WORD[7].W.BYTE[1].B.BIT[2].OBUF0/Z    1.52    1.99   -0.47 (VIOLATED)
@@ -8889,7 +8211,6 @@
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[5].W.BYTE[0].B.BIT[1].OBUF0/Z    1.52    1.99   -0.47 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[0].RAM8.WORD[7].W.BYTE[2].B.BIT[3].OBUF0/Z    1.52    1.99   -0.47 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[0].B.BIT[1].OBUF0/Z    1.52    1.99   -0.47 (VIOLATED)
-mprj/u_sram1_2kb/addr0[3]               0.04    0.51   -0.47 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[3].B.BIT[3].OBUF0/Z    1.52    1.99   -0.47 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[1].B.BIT[2].OBUF0/Z    1.52    1.99   -0.47 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[3].B.BIT[1].OBUF0/Z    1.52    1.99   -0.47 (VIOLATED)
@@ -8912,14 +8233,13 @@
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[2].B.BIT[3].OBUF0/Z    1.52    1.99   -0.47 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[0].RAM8.WORD[4].W.BYTE[2].B.BIT[5].OBUF0/Z    1.52    1.99   -0.47 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.SLICE[0].RAM8.WORD[0].W.BYTE[3].B.BIT[3].OBUF0/Z    1.52    1.99   -0.47 (VIOLATED)
-mprj/u_sram3_2kb/addr0[5]               0.04    0.51   -0.47 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[2].W.BYTE[3].B.BIT[1].OBUF0/Z    1.52    1.99   -0.47 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[3].B.BIT[3].OBUF0/Z    1.52    1.99   -0.47 (VIOLATED)
+mprj/u_sram6_2kb/addr0[7]               0.04    0.51   -0.47 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[2].RAM32.SLICE[0].RAM8.WORD[0].W.BYTE[0].B.BIT[1].OBUF0/Z    1.52    1.99   -0.47 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[0].RAM8.WORD[0].W.BYTE[2].B.BIT[5].OBUF0/Z    1.52    1.99   -0.47 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[1].RAM8.WORD[2].W.BYTE[2].B.BIT[3].OBUF0/Z    1.52    1.99   -0.47 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[3].B.BIT[3].OBUF0/Z    1.52    1.99   -0.47 (VIOLATED)
-mprj/u_sram6_2kb/addr0[5]               0.04    0.51   -0.47 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[2].B.BIT[3].OBUF0/Z    1.52    1.99   -0.47 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[2].RAM8.WORD[1].W.BYTE[2].B.BIT[3].OBUF0/Z    1.52    1.99   -0.47 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[2].RAM8.WORD[0].W.BYTE[2].B.BIT[3].OBUF0/Z    1.52    1.99   -0.47 (VIOLATED)
@@ -9014,12 +8334,12 @@
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[3].B.BIT[7].OBUF0/Z    1.52    1.98   -0.46 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.BIT[2].OBUF0/Z    1.52    1.98   -0.46 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[2].RAM32.SLICE[2].RAM8.WORD[1].W.BYTE[0].B.BIT[1].OBUF0/Z    1.52    1.98   -0.46 (VIOLATED)
-mprj/u_sram3_2kb/addr0[2]               0.04    0.50   -0.46 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[3].B.BIT[7].OBUF0/Z    1.52    1.98   -0.46 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[2].W.BYTE[1].B.BIT[1].OBUF0/Z    1.52    1.98   -0.46 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[5].W.BYTE[3].B.BIT[7].OBUF0/Z    1.52    1.98   -0.46 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[2].RAM32.SLICE[0].RAM8.WORD[7].W.BYTE[0].B.BIT[1].OBUF0/Z    1.52    1.98   -0.46 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[2].RAM8.WORD[5].W.BYTE[2].B.BIT[0].OBUF0/Z    1.52    1.98   -0.46 (VIOLATED)
+mprj/u_sram4_2kb/addr0[7]               0.04    0.50   -0.46 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[0].RAM8.WORD[5].W.BYTE[2].B.BIT[1].OBUF0/Z    1.52    1.98   -0.46 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[2].RAM32.SLICE[0].RAM8.WORD[3].W.BYTE[0].B.BIT[1].OBUF0/Z    1.52    1.98   -0.46 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[0].RAM32.SLICE[0].RAM8.WORD[0].W.BYTE[2].B.BIT[0].OBUF0/Z    1.52    1.98   -0.46 (VIOLATED)
@@ -9074,9 +8394,11 @@
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[2].RAM8.WORD[3].W.BYTE[2].B.BIT[3].OBUF0/Z    1.52    1.98   -0.46 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[2].B.BIT[0].OBUF0/Z    1.52    1.98   -0.46 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[1].RAM8.WORD[5].W.BYTE[2].B.BIT[0].OBUF0/Z    1.52    1.98   -0.46 (VIOLATED)
+mprj/u_sram2_2kb/addr0[5]               0.04    0.50   -0.46 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[4].W.BYTE[3].B.BIT[7].OBUF0/Z    1.52    1.98   -0.46 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[0].RAM32.SLICE[2].RAM8.WORD[6].W.BYTE[2].B.BIT[0].OBUF0/Z    1.52    1.98   -0.46 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[0].RAM32.SLICE[2].RAM8.WORD[3].W.BYTE[2].B.BIT[0].OBUF0/Z    1.52    1.98   -0.46 (VIOLATED)
+mprj/u_sram4_2kb/addr0[8]               0.04    0.50   -0.46 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[2].B.BIT[3].OBUF0/Z    1.52    1.98   -0.46 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[0].RAM32.SLICE[0].RAM8.WORD[7].W.BYTE[2].B.BIT[0].OBUF0/Z    1.52    1.98   -0.46 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[2].B.BIT[0].OBUF0/Z    1.52    1.98   -0.46 (VIOLATED)
@@ -9135,6 +8457,7 @@
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[0].RAM8.WORD[6].W.BYTE[1].B.BIT[2].OBUF0/Z    1.52    1.98   -0.46 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[2].RAM8.WORD[3].W.BYTE[1].B.BIT[2].OBUF0/Z    1.52    1.98   -0.46 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[1].RAM8.WORD[2].W.BYTE[2].B.BIT[0].OBUF0/Z    1.52    1.98   -0.46 (VIOLATED)
+mprj/u_sram4_2kb/addr0[4]               0.04    0.50   -0.46 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[0].RAM8.WORD[4].W.BYTE[3].B.BIT[7].OBUF0/Z    1.52    1.98   -0.46 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.BIT[0].OBUF0/Z    1.52    1.98   -0.46 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[4].W.BYTE[3].B.BIT[0].OBUF0/Z    1.52    1.98   -0.46 (VIOLATED)
@@ -9146,7 +8469,6 @@
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[0].RAM8.WORD[1].W.BYTE[1].B.BIT[1].OBUF0/Z    1.52    1.98   -0.46 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[0].RAM8.WORD[3].W.BYTE[2].B.BIT[0].OBUF0/Z    1.52    1.98   -0.46 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[0].RAM8.WORD[2].W.BYTE[1].B.BIT[2].OBUF0/Z    1.52    1.98   -0.46 (VIOLATED)
-mprj/u_sram2_2kb/addr0[7]               0.04    0.50   -0.46 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[1].B.BIT[1].OBUF0/Z    1.52    1.98   -0.46 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[1].RAM8.WORD[3].W.BYTE[2].B.BIT[2].OBUF0/Z    1.52    1.98   -0.46 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[0].RAM8.WORD[6].W.BYTE[2].B.BIT[1].OBUF0/Z    1.52    1.98   -0.46 (VIOLATED)
@@ -9331,7 +8653,6 @@
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[2].RAM8.WORD[2].W.BYTE[1].B.BIT[2].OBUF0/Z    1.52    1.98   -0.46 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[2].RAM8.WORD[2].W.BYTE[2].B.BIT[4].OBUF0/Z    1.52    1.98   -0.46 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[2].RAM8.WORD[4].W.BYTE[1].B.BIT[2].OBUF0/Z    1.52    1.98   -0.46 (VIOLATED)
-mprj/u_sram3_2kb/addr0[7]               0.04    0.50   -0.46 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[2].RAM8.WORD[1].W.BYTE[2].B.BIT[4].OBUF0/Z    1.52    1.98   -0.46 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[2].W.BYTE[3].B.BIT[0].OBUF0/Z    1.52    1.98   -0.46 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[2].B.BIT[4].OBUF0/Z    1.52    1.98   -0.46 (VIOLATED)
@@ -9343,12 +8664,14 @@
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.SLICE[0].RAM8.WORD[7].W.BYTE[1].B.BIT[3].OBUF0/Z    1.52    1.98   -0.46 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[0].RAM8.WORD[0].W.BYTE[3].B.BIT[5].OBUF0/Z    1.52    1.98   -0.46 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[1].RAM8.WORD[5].W.BYTE[1].B.BIT[2].OBUF0/Z    1.52    1.98   -0.46 (VIOLATED)
+mprj/u_sram0_2kb/addr0[5]               0.04    0.50   -0.46 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[0].RAM8.WORD[6].W.BYTE[2].B.BIT[2].OBUF0/Z    1.52    1.98   -0.46 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[0].B.BIT[0].OBUF0/Z    1.52    1.98   -0.46 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.BYTE[2].FLOATBUF0[19].cell/Z    1.52    1.98   -0.46 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[2].RAM8.WORD[2].W.BYTE[1].B.BIT[2].OBUF0/Z    1.52    1.98   -0.46 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[0].W.BYTE[1].B.BIT[2].OBUF0/Z    1.52    1.98   -0.46 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[2].B.BIT[4].OBUF0/Z    1.52    1.98   -0.46 (VIOLATED)
+mprj/u_sram6_2kb/addr0[3]               0.04    0.50   -0.46 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[0].RAM8.WORD[3].W.BYTE[2].B.BIT[4].OBUF0/Z    1.52    1.98   -0.46 (VIOLATED)
 soc/core.RAM128/BLOCK[2].RAM32.SLICE[1].RAM8.WORD[3].W.BYTE[3].B.BIT[1].OBUF0/Z    1.52    1.98   -0.46 (VIOLATED)
 soc/core.RAM128/BLOCK[2].RAM32.SLICE[0].RAM8.WORD[7].W.BYTE[3].B.BIT[1].OBUF0/Z    1.52    1.98   -0.46 (VIOLATED)
@@ -9399,6 +8722,7 @@
 soc/core.RAM128/BLOCK[2].RAM32.SLICE[1].RAM8.WORD[5].W.BYTE[3].B.BIT[1].OBUF0/Z    1.52    1.98   -0.46 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[2].RAM32.SLICE[2].RAM8.WORD[4].W.BYTE[0].B.BIT[0].OBUF0/Z    1.52    1.98   -0.46 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[2].RAM32.SLICE[2].RAM8.WORD[5].W.BYTE[0].B.BIT[0].OBUF0/Z    1.52    1.98   -0.46 (VIOLATED)
+mprj/u_sram6_2kb/addr0[5]               0.04    0.50   -0.46 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[2].RAM8.WORD[6].W.BYTE[1].B.BIT[1].OBUF0/Z    1.52    1.98   -0.46 (VIOLATED)
 soc/core.RAM128/BLOCK[2].RAM32.SLICE[0].RAM8.WORD[2].W.BYTE[3].B.BIT[1].OBUF0/Z    1.52    1.98   -0.46 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[0].RAM8.WORD[1].W.BYTE[1].B.BIT[2].OBUF0/Z    1.52    1.97   -0.45 (VIOLATED)
@@ -9406,7 +8730,6 @@
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[0].RAM8.WORD[5].W.BYTE[2].B.BIT[2].OBUF0/Z    1.52    1.97   -0.45 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[0].B.BIT[0].OBUF0/Z    1.52    1.97   -0.45 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.SLICE[2].RAM8.WORD[2].W.BYTE[1].B.BIT[3].OBUF0/Z    1.52    1.97   -0.45 (VIOLATED)
-mprj/u_sram3_2kb/addr0[4]               0.04    0.49   -0.45 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[2].RAM8.WORD[1].W.BYTE[1].B.BIT[2].OBUF0/Z    1.52    1.97   -0.45 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[0].RAM8.WORD[4].W.BYTE[2].B.BIT[3].OBUF0/Z    1.52    1.97   -0.45 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[0].RAM8.WORD[2].W.BYTE[1].B.BIT[2].OBUF0/Z    1.52    1.97   -0.45 (VIOLATED)
@@ -9460,7 +8783,6 @@
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[3].B.BIT[5].OBUF0/Z    1.52    1.97   -0.45 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[3].B.BIT[0].OBUF0/Z    1.52    1.97   -0.45 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[1].RAM8.WORD[2].W.BYTE[2].B.BIT[2].OBUF0/Z    1.52    1.97   -0.45 (VIOLATED)
-mprj/u_sram7_2kb/addr0[3]               0.04    0.49   -0.45 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[1].B.BIT[1].OBUF0/Z    1.52    1.97   -0.45 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[2].RAM8.WORD[1].W.BYTE[3].B.BIT[5].OBUF0/Z    1.52    1.97   -0.45 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[2].RAM8.WORD[5].W.BYTE[2].B.BIT[5].OBUF0/Z    1.52    1.97   -0.45 (VIOLATED)
@@ -9501,7 +8823,6 @@
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[4].W.BYTE[2].B.BIT[2].OBUF0/Z    1.52    1.97   -0.45 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[2].RAM8.WORD[5].W.BYTE[1].B.BIT[2].OBUF0/Z    1.52    1.97   -0.45 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[2].RAM32.SLICE[0].RAM8.WORD[4].W.BYTE[0].B.BIT[0].OBUF0/Z    1.52    1.97   -0.45 (VIOLATED)
-mprj/u_sram3_2kb/addr0[6]               0.04    0.49   -0.45 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[1].B.BIT[0].OBUF0/Z    1.52    1.97   -0.45 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[2].RAM8.WORD[0].W.BYTE[1].B.BIT[0].OBUF0/Z    1.52    1.97   -0.45 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[2].RAM8.WORD[6].W.BYTE[2].B.BIT[5].OBUF0/Z    1.52    1.97   -0.45 (VIOLATED)
@@ -9579,7 +8900,6 @@
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[0].RAM8.WORD[6].W.BYTE[2].B.BIT[3].OBUF0/Z    1.52    1.97   -0.45 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[0].RAM8.WORD[3].W.BYTE[2].B.BIT[5].OBUF0/Z    1.52    1.97   -0.45 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[2].RAM8.WORD[6].W.BYTE[2].B.BIT[3].OBUF0/Z    1.52    1.97   -0.45 (VIOLATED)
-mprj/u_sram3_2kb/addr0[3]               0.04    0.49   -0.45 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[2].RAM8.WORD[1].W.BYTE[1].B.BIT[5].OBUF0/Z    1.52    1.97   -0.45 (VIOLATED)
 soc/core.RAM128/BLOCK[2].RAM32.SLICE[1].RAM8.WORD[2].W.BYTE[3].B.BIT[1].OBUF0/Z    1.52    1.97   -0.45 (VIOLATED)
 soc/core.RAM128/BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[3].B.BIT[1].OBUF0/Z    1.52    1.97   -0.45 (VIOLATED)
@@ -9639,7 +8959,6 @@
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[2].B.BIT[3].OBUF0/Z    1.52    1.97   -0.45 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[2].RAM8.WORD[3].W.BYTE[2].B.BIT[3].OBUF0/Z    1.52    1.97   -0.45 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[3].RAM8.WORD[0].W.BYTE[2].B.BIT[3].OBUF0/Z    1.52    1.97   -0.45 (VIOLATED)
-mprj/u_sram7_2kb/addr0[6]               0.04    0.49   -0.45 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[1].RAM8.WORD[2].W.BYTE[2].B.BIT[4].OBUF0/Z    1.52    1.97   -0.45 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.BIT[0].OBUF0/Z    1.52    1.97   -0.45 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[4].W.BYTE[1].B.BIT[5].OBUF0/Z    1.52    1.97   -0.45 (VIOLATED)
@@ -9653,6 +8972,7 @@
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[1].B.BIT[4].OBUF0/Z    1.52    1.97   -0.45 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[4].W.BYTE[1].B.BIT[5].OBUF0/Z    1.52    1.97   -0.45 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[1].B.BIT[5].OBUF0/Z    1.52    1.97   -0.45 (VIOLATED)
+mprj/u_sram0_2kb/addr0[7]               0.04    0.49   -0.45 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[0].B.BIT[0].OBUF0/Z    1.52    1.97   -0.45 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[2].RAM8.WORD[0].W.BYTE[2].B.BIT[3].OBUF0/Z    1.52    1.97   -0.45 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.BIT[3].OBUF0/Z    1.52    1.97   -0.45 (VIOLATED)
@@ -9698,7 +9018,6 @@
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[2].B.BIT[3].OBUF0/Z    1.52    1.97   -0.45 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[2].B.BIT[3].OBUF0/Z    1.52    1.97   -0.45 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[0].W.BYTE[2].B.BIT[3].OBUF0/Z    1.52    1.97   -0.45 (VIOLATED)
-mprj/u_sram7_2kb/addr0[2]               0.04    0.49   -0.45 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[2].RAM8.WORD[4].W.BYTE[2].B.BIT[4].OBUF0/Z    1.52    1.97   -0.45 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[2].B.BIT[5].OBUF0/Z    1.52    1.97   -0.45 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.SLICE[2].RAM8.WORD[5].W.BYTE[2].B.BIT[0].OBUF0/Z    1.52    1.97   -0.45 (VIOLATED)
@@ -9776,6 +9095,7 @@
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.SLICE[0].RAM8.WORD[3].W.BYTE[2].B.BIT[0].OBUF0/Z    1.52    1.96   -0.44 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.SLICE[0].RAM8.WORD[3].W.BYTE[2].B.BIT[3].OBUF0/Z    1.52    1.96   -0.44 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[2].W.BYTE[2].B.BIT[0].OBUF0/Z    1.52    1.96   -0.44 (VIOLATED)
+mprj/u_sram6_2kb/addr0[8]               0.04    0.48   -0.44 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.SLICE[0].RAM8.WORD[1].W.BYTE[2].B.BIT[0].OBUF0/Z    1.52    1.96   -0.44 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[0].RAM8.WORD[2].W.BYTE[1].B.BIT[4].OBUF0/Z    1.52    1.96   -0.44 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[2].RAM8.WORD[7].W.BYTE[1].B.BIT[4].OBUF0/Z    1.52    1.96   -0.44 (VIOLATED)
@@ -9816,6 +9136,7 @@
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[2].B.BIT[1].OBUF0/Z    1.52    1.96   -0.44 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[0].RAM8.WORD[0].W.BYTE[1].B.BIT[4].OBUF0/Z    1.52    1.96   -0.44 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[0].RAM8.WORD[2].W.BYTE[2].B.BIT[5].OBUF0/Z    1.52    1.96   -0.44 (VIOLATED)
+mprj/u_sram2_2kb/addr0[3]               0.04    0.48   -0.44 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[2].B.BIT[0].OBUF0/Z    1.52    1.96   -0.44 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[4].W.BYTE[2].B.BIT[0].OBUF0/Z    1.52    1.96   -0.44 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[2].B.BIT[0].OBUF0/Z    1.52    1.96   -0.44 (VIOLATED)
@@ -9823,7 +9144,6 @@
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[0].RAM8.WORD[4].W.BYTE[2].B.BIT[5].OBUF0/Z    1.52    1.96   -0.44 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[4].W.BYTE[2].B.BIT[3].OBUF0/Z    1.52    1.96   -0.44 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[3].RAM8.WORD[4].W.BYTE[0].B.BIT[0].OBUF0/Z    1.52    1.96   -0.44 (VIOLATED)
-mprj/u_sram6_2kb/addr0[2]               0.04    0.48   -0.44 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[2].B.BIT[1].OBUF0/Z    1.52    1.96   -0.44 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.SLICE[0].RAM8.WORD[5].W.BYTE[2].B.BIT[6].OBUF0/Z    1.52    1.96   -0.44 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[4].W.BYTE[2].B.BIT[1].OBUF0/Z    1.52    1.96   -0.44 (VIOLATED)
@@ -9871,7 +9191,6 @@
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[0].RAM32.BYTE[2].FLOATBUF0[16].cell/Z    1.52    1.96   -0.44 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[3].W.BYTE[1].B.BIT[3].OBUF0/Z    1.52    1.96   -0.44 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[1].RAM8.WORD[2].W.BYTE[3].B.BIT[6].OBUF0/Z    1.52    1.96   -0.44 (VIOLATED)
-mprj/u_sram3_2kb/addr0[8]               0.04    0.48   -0.44 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[0].RAM8.WORD[4].W.BYTE[2].B.BIT[1].OBUF0/Z    1.52    1.96   -0.44 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[2].W.BYTE[3].B.BIT[5].OBUF0/Z    1.52    1.96   -0.44 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[0].RAM32.SLICE[0].RAM8.WORD[4].W.BYTE[0].B.BIT[3].OBUF0/Z    1.52    1.96   -0.44 (VIOLATED)
@@ -9887,6 +9206,7 @@
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[0].RAM8.WORD[4].W.BYTE[1].B.BIT[3].OBUF0/Z    1.52    1.96   -0.44 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[0].RAM8.WORD[1].W.BYTE[1].B.BIT[3].OBUF0/Z    1.52    1.96   -0.44 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.SLICE[0].RAM8.WORD[0].W.BYTE[2].B.BIT[6].OBUF0/Z    1.52    1.96   -0.44 (VIOLATED)
+mprj/u_sram4_2kb/addr0[2]               0.04    0.48   -0.44 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[2].B.BIT[1].OBUF0/Z    1.52    1.96   -0.44 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[2].RAM8.WORD[0].W.BYTE[1].B.BIT[3].OBUF0/Z    1.52    1.96   -0.44 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[3].B.BIT[5].OBUF0/Z    1.52    1.96   -0.44 (VIOLATED)
@@ -9898,6 +9218,7 @@
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[0].RAM32.SLICE[0].RAM8.WORD[2].W.BYTE[0].B.BIT[3].OBUF0/Z    1.52    1.96   -0.44 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[4].W.BYTE[3].B.BIT[5].OBUF0/Z    1.52    1.96   -0.44 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[2].B.BIT[6].OBUF0/Z    1.52    1.96   -0.44 (VIOLATED)
+mprj/u_sram4_2kb/addr0[3]               0.04    0.48   -0.44 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.BIT[1].OBUF0/Z    1.52    1.96   -0.44 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.SLICE[2].RAM8.WORD[5].W.BYTE[1].B.BIT[3].OBUF0/Z    1.52    1.96   -0.44 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[4].W.BYTE[1].B.BIT[4].OBUF0/Z    1.52    1.96   -0.44 (VIOLATED)
@@ -9945,6 +9266,7 @@
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[0].RAM8.WORD[3].W.BYTE[3].B.BIT[5].OBUF0/Z    1.52    1.96   -0.44 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[1].RAM8.WORD[4].W.BYTE[2].B.BIT[1].OBUF0/Z    1.52    1.96   -0.44 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[0].RAM8.WORD[2].W.BYTE[1].B.BIT[3].OBUF0/Z    1.52    1.96   -0.44 (VIOLATED)
+mprj/u_sram7_2kb/addr0[5]               0.04    0.48   -0.44 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[2].RAM8.WORD[5].W.BYTE[3].B.BIT[5].OBUF0/Z    1.52    1.96   -0.44 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[5].W.BYTE[0].B.BIT[3].OBUF0/Z    1.52    1.96   -0.44 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.SLICE[2].RAM8.WORD[0].W.BYTE[1].B.BIT[3].OBUF0/Z    1.52    1.96   -0.44 (VIOLATED)
@@ -10006,7 +9328,6 @@
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[0].RAM8.WORD[5].W.BYTE[2].B.BIT[7].OBUF0/Z    1.52    1.96   -0.44 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[3].B.BIT[6].OBUF0/Z    1.52    1.96   -0.44 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.BIT[3].OBUF0/Z    1.52    1.96   -0.44 (VIOLATED)
-mprj/u_sram2_2kb/addr0[2]               0.04    0.48   -0.44 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.BYTE[2].FLOATBUF0[19].cell/Z    1.52    1.96   -0.44 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[2].RAM8.WORD[2].W.BYTE[2].B.BIT[7].OBUF0/Z    1.52    1.96   -0.44 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[2].RAM8.WORD[5].W.BYTE[1].B.BIT[3].OBUF0/Z    1.52    1.96   -0.44 (VIOLATED)
@@ -10024,7 +9345,10 @@
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[0].B.BIT[3].OBUF0/Z    1.52    1.96   -0.44 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[3].RAM8.WORD[2].W.BYTE[2].B.BIT[7].OBUF0/Z    1.52    1.96   -0.44 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[0].B.BIT[3].OBUF0/Z    1.52    1.96   -0.44 (VIOLATED)
+mprj/u_mbist1/ANTENNA_hold45_A/DIODE    1.50    1.94   -0.44 (VIOLATED)
+mprj/u_mbist1/hold45/A                  1.50    1.94   -0.44 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[4].W.BYTE[3].B.BIT[6].OBUF0/Z    1.52    1.96   -0.44 (VIOLATED)
+mprj/u_sram5_2kb/addr0[3]               0.04    0.48   -0.44 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[2].B.BIT[7].OBUF0/Z    1.52    1.96   -0.44 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[0].RAM32.SLICE[0].RAM8.WORD[5].W.BYTE[0].B.BIT[3].OBUF0/Z    1.52    1.96   -0.44 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[1].B.BIT[3].OBUF0/Z    1.52    1.96   -0.44 (VIOLATED)
@@ -10068,7 +9392,6 @@
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[0].RAM8.WORD[1].W.BYTE[3].B.BIT[6].OBUF0/Z    1.52    1.96   -0.44 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[3].B.BIT[5].OBUF0/Z    1.52    1.96   -0.44 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[2].W.BYTE[3].B.BIT[5].OBUF0/Z    1.52    1.96   -0.44 (VIOLATED)
-mprj/u_sram2_2kb/addr0[6]               0.04    0.48   -0.44 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[2].RAM8.WORD[1].W.BYTE[2].B.BIT[7].OBUF0/Z    1.52    1.96   -0.44 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[0].RAM8.WORD[2].W.BYTE[3].B.BIT[6].OBUF0/Z    1.52    1.96   -0.44 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[0].RAM8.WORD[3].W.BYTE[3].B.BIT[6].OBUF0/Z    1.52    1.96   -0.44 (VIOLATED)
@@ -10079,7 +9402,6 @@
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[0].W.BYTE[2].B.BIT[1].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[2].B.BIT[7].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[0].RAM8.WORD[4].W.BYTE[3].B.BIT[6].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
-mprj/u_sram1_2kb/addr0[5]               0.04    0.47   -0.43 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.BIT[7].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[0].RAM8.WORD[2].W.BYTE[2].B.BIT[1].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[4].W.BYTE[0].B.BIT[3].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
@@ -10108,35 +9430,15 @@
 soc/core.RAM256/ANTENNA_BANK128[1].RAM128.BLOCK[3].RAM32.Do0_REG.OUTREG_BYTE[2].Do_FF[1]_D/DIODE    1.50    1.93   -0.43 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[2].RAM8.WORD[4].W.BYTE[2].B.BIT[2].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.BYTE[2].FLOATBUF0[19].cell/Z    1.52    1.95   -0.43 (VIOLATED)
-mprj/u_wb_host/_2914_/S0                1.50    1.93   -0.43 (VIOLATED)
-mprj/u_wb_host/ANTENNA__2914__S0/DIODE    1.50    1.93   -0.43 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[2].W.BYTE[3].B.BIT[7].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
-mprj/u_wb_host/ANTENNA__2926__S0/DIODE    1.50    1.93   -0.43 (VIOLATED)
-mprj/u_wb_host/_2917_/S0                1.50    1.93   -0.43 (VIOLATED)
-mprj/u_wb_host/_2926_/S0                1.50    1.93   -0.43 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3077__S0/DIODE    1.50    1.93   -0.43 (VIOLATED)
-mprj/u_wb_host/ANTENNA__2917__S0/DIODE    1.50    1.93   -0.43 (VIOLATED)
-mprj/u_wb_host/ANTENNA__2998__S0/DIODE    1.50    1.93   -0.43 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[2].B.BIT[6].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[4].W.BYTE[2].B.BIT[6].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
-mprj/u_wb_host/_3077_/S0                1.50    1.93   -0.43 (VIOLATED)
-mprj/u_wb_host/_3075_/S0                1.50    1.93   -0.43 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3075__S0/DIODE    1.50    1.93   -0.43 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3004__S0/DIODE    1.50    1.93   -0.43 (VIOLATED)
-mprj/u_wb_host/_3004_/S0                1.50    1.93   -0.43 (VIOLATED)
-mprj/u_wb_host/_3007_/S0                1.50    1.93   -0.43 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.BYTE[1].FLOATBUF0[8].__cell__/Z    1.52    1.95   -0.43 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3007__S0/DIODE    1.50    1.93   -0.43 (VIOLATED)
-mprj/u_wb_host/_2980_/S0                1.50    1.93   -0.43 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[3].B.BIT[7].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.BYTE[2].FLOATBUF0[20].__cell__/Z    1.52    1.95   -0.43 (VIOLATED)
-mprj/u_wb_host/ANTENNA__2980__S0/DIODE    1.50    1.93   -0.43 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[4].W.BYTE[2].B.BIT[0].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.SLICE[2].RAM8.WORD[6].W.BYTE[2].B.BIT[6].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[2].B.BIT[7].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
-mprj/u_wb_host/_2998_/S0                1.50    1.93   -0.43 (VIOLATED)
-mprj/u_wb_host/_3001_/S0                1.50    1.93   -0.43 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3001__S0/DIODE    1.50    1.93   -0.43 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[0].W.BYTE[3].B.BIT[7].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[0].B.BIT[3].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[2].RAM8.WORD[5].W.BYTE[1].B.BIT[4].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
@@ -10145,23 +9447,13 @@
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[0].RAM8.WORD[1].W.BYTE[3].B.BIT[7].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[2].RAM8.WORD[6].W.BYTE[3].B.BIT[7].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[4].W.BYTE[0].B.BIT[3].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
-mprj/u_wb_host/_2995_/S0                1.50    1.93   -0.43 (VIOLATED)
-mprj/u_wb_host/ANTENNA__2995__S0/DIODE    1.50    1.93   -0.43 (VIOLATED)
-mprj/u_wb_host/_2929_/S0                1.50    1.93   -0.43 (VIOLATED)
-mprj/u_wb_host/ANTENNA__2929__S0/DIODE    1.50    1.93   -0.43 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3079__S0/DIODE    1.50    1.93   -0.43 (VIOLATED)
-mprj/u_wb_host/_3079_/S0                1.50    1.93   -0.43 (VIOLATED)
+mprj/u_sram0_2kb/addr0[2]               0.04    0.47   -0.43 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[3].B.BIT[7].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[3].B.BIT[7].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[2].RAM8.WORD[1].W.BYTE[3].B.BIT[7].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[2].RAM8.WORD[4].W.BYTE[3].B.BIT[7].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[0].RAM8.WORD[5].W.BYTE[3].B.BIT[7].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
-mprj/u_wb_host/ANTENNA__2941__S0/DIODE    1.50    1.93   -0.43 (VIOLATED)
-mprj/u_wb_host/_2941_/S0                1.50    1.93   -0.43 (VIOLATED)
-mprj/u_wb_host/_3081_/S0                1.50    1.93   -0.43 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[1].RAM8.WORD[3].W.BYTE[1].B.BIT[4].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
-mprj/u_wb_host/ANTENNA__2983__S0/DIODE    1.50    1.93   -0.43 (VIOLATED)
-mprj/u_wb_host/_2983_/S0                1.50    1.93   -0.43 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[0].RAM8.WORD[7].W.BYTE[3].B.BIT[7].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.SLICE[2].RAM8.WORD[4].W.BYTE[2].B.BIT[6].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[1].RAM8.WORD[5].W.BYTE[1].B.BIT[4].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
@@ -10176,41 +9468,25 @@
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[0].RAM32.Do0_REG.OUTREG_BYTE[3].Do_FF[7]/D    1.50    1.93   -0.43 (VIOLATED)
 soc/core.RAM128/ANTENNA_BLOCK[2].RAM32.Do0_REG.OUTREG_BYTE[0].Do_FF[4]_D/DIODE    1.50    1.93   -0.43 (VIOLATED)
 soc/core.RAM128/BLOCK[2].RAM32.Do0_REG.OUTREG_BYTE[0].Do_FF[4]/D    1.50    1.93   -0.43 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3081__S0/DIODE    1.50    1.93   -0.43 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[5].W.BYTE[3].B.BIT[3].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[0].RAM8.WORD[2].W.BYTE[3].B.BIT[7].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[3].B.BIT[7].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
-mprj/u_wb_host/_2950_/S0                1.50    1.93   -0.43 (VIOLATED)
 soc/core.RAM128/BLOCK[2].RAM32.SLICE[0].RAM8.WORD[5].W.BYTE[3].B.BIT[2].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[2].RAM8.WORD[6].W.BYTE[1].B.BIT[4].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
-mprj/u_wb_host/ANTENNA__2950__S0/DIODE    1.50    1.93   -0.43 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.BYTE[0].FLOATBUF0[0].__cell__/Z    1.52    1.95   -0.43 (VIOLATED)
-mprj/u_wb_host/_3035_/S0                1.50    1.93   -0.43 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3035__S0/DIODE    1.50    1.93   -0.43 (VIOLATED)
-mprj/u_wb_host/ANTENNA__2953__S0/DIODE    1.50    1.93   -0.43 (VIOLATED)
-mprj/u_wb_host/_2953_/S0                1.50    1.93   -0.43 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[2].RAM8.WORD[2].W.BYTE[3].B.BIT[7].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
 soc/core.RAM128/BLOCK[2].RAM32.SLICE[3].RAM8.WORD[0].W.BYTE[3].B.BIT[2].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[3].B.BIT[3].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
-mprj/u_wb_host/_2935_/S0                1.50    1.93   -0.43 (VIOLATED)
-mprj/u_wb_host/ANTENNA__2935__S0/DIODE    1.50    1.93   -0.43 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[0].RAM8.WORD[7].W.BYTE[1].B.BIT[4].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
-mprj/u_wb_host/_2932_/S0                1.50    1.93   -0.43 (VIOLATED)
-mprj/u_wb_host/ANTENNA__2932__S0/DIODE    1.50    1.93   -0.43 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[4].W.BYTE[3].B.BIT[3].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[1].RAM8.WORD[2].W.BYTE[3].B.BIT[7].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
-mprj/u_wb_host/ANTENNA__2956__S0/DIODE    1.50    1.93   -0.43 (VIOLATED)
-mprj/u_wb_host/_2956_/S0                1.50    1.93   -0.43 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[3].RAM8.WORD[2].W.BYTE[1].B.BIT[4].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
-mprj/u_wb_host/_2944_/S0                1.50    1.93   -0.43 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[0].RAM8.WORD[5].W.BYTE[1].B.BIT[4].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[0].RAM8.WORD[3].W.BYTE[3].B.BIT[3].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
-mprj/u_wb_host/ANTENNA__2944__S0/DIODE    1.50    1.93   -0.43 (VIOLATED)
 soc/core.RAM128/BLOCK[2].RAM32.SLICE[0].RAM8.WORD[7].W.BYTE[3].B.BIT[2].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[2].RAM8.WORD[3].W.BYTE[1].B.BIT[4].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
 soc/core.RAM128/BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[3].B.BIT[2].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
 soc/core.RAM128/BLOCK[2].RAM32.SLICE[0].RAM8.WORD[1].W.BYTE[3].B.BIT[2].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
-mprj/u_wb_host/_2947_/S0                1.50    1.93   -0.43 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.BYTE[2].FLOATBUF0[21].cell/Z    1.52    1.95   -0.43 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[1].RAM8.WORD[3].W.BYTE[3].B.BIT[7].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.BIT[4].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
@@ -10218,8 +9494,9 @@
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[0].RAM8.WORD[4].W.BYTE[1].B.BIT[0].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[0].RAM8.WORD[3].W.BYTE[1].B.BIT[4].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[0].RAM8.WORD[3].W.BYTE[3].B.BIT[7].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
-mprj/u_wb_host/ANTENNA__2947__S0/DIODE    1.50    1.93   -0.43 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[0].RAM8.WORD[0].W.BYTE[2].B.BIT[6].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
+mprj/u_sram2_2kb/addr0[2]               0.04    0.47   -0.43 (VIOLATED)
+mprj/u_sram3_2kb/addr0[5]               0.04    0.47   -0.43 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[0].RAM8.WORD[6].W.BYTE[3].B.BIT[7].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[2].RAM8.WORD[6].W.BYTE[1].B.BIT[0].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
 soc/core.RAM256/ANTENNA_BANK128[1].RAM128.BLOCK[3].RAM32.Do0_REG.OUTREG_BYTE[2].Do_FF[4]_D/DIODE    1.50    1.93   -0.43 (VIOLATED)
@@ -10242,19 +9519,13 @@
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[2].RAM8.WORD[4].W.BYTE[3].B.BIT[3].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[0].RAM8.WORD[5].W.BYTE[1].B.BIT[3].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[0].RAM8.WORD[5].W.BYTE[2].B.BIT[6].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
-mprj/u_wb_host/ANTENNA__2962__S0/DIODE    1.50    1.93   -0.43 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[3].B.BIT[7].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[0].RAM8.WORD[5].W.BYTE[1].B.BIT[0].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
-mprj/u_wb_host/_2962_/S0                1.50    1.93   -0.43 (VIOLATED)
-mprj/u_wb_host/_2959_/S0                1.50    1.93   -0.43 (VIOLATED)
-mprj/u_wb_host/ANTENNA__2959__S0/DIODE    1.50    1.93   -0.43 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[3].B.BIT[3].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[0].RAM8.WORD[1].W.BYTE[3].B.BIT[3].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[0].RAM8.WORD[6].W.BYTE[1].B.BIT[0].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[0].RAM8.WORD[0].W.BYTE[1].B.BIT[4].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
-mprj/u_wb_host/_2938_/S0                1.50    1.93   -0.43 (VIOLATED)
 soc/core.RAM128/BLOCK[2].RAM32.SLICE[1].RAM8.WORD[4].W.BYTE[3].B.BIT[2].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
-mprj/u_wb_host/ANTENNA__2938__S0/DIODE    1.50    1.93   -0.43 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[0].RAM8.WORD[7].W.BYTE[1].B.BIT[3].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[2].RAM8.WORD[1].W.BYTE[1].B.BIT[0].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[3].RAM8.WORD[4].W.BYTE[1].B.BIT[4].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
@@ -10264,11 +9535,10 @@
 soc/core.RAM128/ANTENNA_BLOCK[1].RAM32.Do0_REG.OUTREG_BYTE[0].Do_FF[1]_D/DIODE    1.50    1.93   -0.43 (VIOLATED)
 soc/core.RAM128/BLOCK[1].RAM32.Do0_REG.OUTREG_BYTE[0].Do_FF[1]/D    1.50    1.93   -0.43 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[1].RAM8.WORD[2].W.BYTE[1].B.BIT[4].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
-mprj/u_wb_host/_2965_/S0                1.50    1.93   -0.43 (VIOLATED)
-mprj/u_wb_host/ANTENNA__2965__S0/DIODE    1.50    1.93   -0.43 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[3].RAM8.WORD[0].W.BYTE[1].B.BIT[4].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
 soc/core.RAM128/BLOCK[2].RAM32.SLICE[0].RAM8.WORD[6].W.BYTE[3].B.BIT[2].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[2].RAM8.WORD[4].W.BYTE[1].B.BIT[4].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
+mprj/u_sram6_2kb/addr0[6]               0.04    0.47   -0.43 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[2].RAM8.WORD[7].W.BYTE[1].B.BIT[0].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[3].B.BIT[3].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
 soc/core.RAM128/BLOCK[2].RAM32.SLICE[0].RAM8.WORD[0].W.BYTE[3].B.BIT[2].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
@@ -10282,71 +9552,48 @@
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[2].RAM8.WORD[7].W.BYTE[3].B.BIT[7].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[3].B.BIT[3].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[3].B.BIT[3].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
-mprj/u_wb_host/_3039_/S0                1.50    1.93   -0.43 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[1].B.BIT[4].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[2].W.BYTE[3].B.BIT[3].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[0].RAM8.WORD[1].W.BYTE[1].B.BIT[0].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[1].B.BIT[0].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[0].RAM8.WORD[2].W.BYTE[1].B.BIT[0].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[2].RAM8.WORD[5].W.BYTE[2].B.BIT[6].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
-mprj/u_wb_host/_3047_/S0                1.50    1.93   -0.43 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[0].RAM8.WORD[1].W.BYTE[2].B.BIT[6].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3047__S0/DIODE    1.50    1.93   -0.43 (VIOLATED)
 soc/core.RAM128/BLOCK[2].RAM32.SLICE[1].RAM8.WORD[3].W.BYTE[3].B.BIT[2].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[3].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
-mprj/u_wb_host/_3051_/S0                1.50    1.93   -0.43 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[0].RAM8.WORD[4].W.BYTE[1].B.BIT[4].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3039__S0/DIODE    1.50    1.93   -0.43 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[2].RAM8.WORD[1].W.BYTE[3].B.BIT[3].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3051__S0/DIODE    1.50    1.93   -0.43 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[2].RAM8.WORD[5].W.BYTE[3].B.BIT[3].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
 soc/core.RAM128/BLOCK[2].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[3].B.BIT[2].OBUF0/Z    1.52    1.95   -0.43 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[3].W.BYTE[1].B.BIT[0].OBUF0/Z    1.52    1.94   -0.43 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[3].B.BIT[3].OBUF0/Z    1.52    1.94   -0.43 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[0].RAM8.WORD[6].W.BYTE[3].B.BIT[3].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[3].B.BIT[3].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
-mprj/u_wb_host/_3029_/S0                1.50    1.92   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[0].RAM8.WORD[4].W.BYTE[3].B.BIT[3].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3029__S0/DIODE    1.50    1.92   -0.42 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[2].RAM8.WORD[1].W.BYTE[1].B.BIT[4].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[2].RAM8.WORD[6].W.BYTE[2].B.BIT[6].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[0].RAM8.WORD[1].W.BYTE[1].B.BIT[3].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[0].RAM8.WORD[4].W.BYTE[2].B.BIT[6].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[0].RAM8.WORD[6].W.BYTE[3].B.BIT[5].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
-mprj/u_wb_host/_2992_/S0                1.50    1.92   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[1].RAM8.WORD[3].W.BYTE[2].B.BIT[6].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[2].RAM8.WORD[2].W.BYTE[1].B.BIT[4].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[1].RAM8.WORD[5].W.BYTE[3].B.BIT[7].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
-mprj/u_wb_host/ANTENNA__2992__S0/DIODE    1.50    1.92   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[2].RAM8.WORD[6].W.BYTE[3].B.BIT[3].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
-mprj/u_sram5_2kb/addr0[5]               0.04    0.46   -0.42 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3033__S0/DIODE    1.50    1.92   -0.42 (VIOLATED)
-mprj/u_wb_host/_3033_/S0                1.50    1.92   -0.42 (VIOLATED)
-mprj/u_wb_host/_3037_/S0                1.50    1.92   -0.42 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3037__S0/DIODE    1.50    1.92   -0.42 (VIOLATED)
-mprj/u_wb_host/_3049_/S0                1.50    1.92   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[2].RAM8.WORD[0].W.BYTE[3].B.BIT[3].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM128/BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[3].B.BIT[2].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3049__S0/DIODE    1.50    1.92   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[2].B.BIT[6].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.BIT[4].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[3].B.BIT[5].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
-mprj/u_wb_host/ANTENNA__2974__S0/DIODE    1.50    1.92   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[2].RAM8.WORD[0].W.BYTE[1].B.BIT[0].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[2].RAM8.WORD[3].W.BYTE[1].B.BIT[3].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[2].B.BIT[6].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
-mprj/u_wb_host/_2974_/S0                1.50    1.92   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[2].RAM8.WORD[7].W.BYTE[3].B.BIT[3].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[2].RAM8.WORD[3].W.BYTE[1].B.BIT[0].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[0].RAM8.WORD[0].W.BYTE[1].B.BIT[3].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
-mprj/u_wb_host/_2920_/S0                1.50    1.92   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[0].RAM8.WORD[2].W.BYTE[3].B.BIT[5].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[3].B.BIT[5].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
-mprj/u_wb_host/ANTENNA__2920__S0/DIODE    1.50    1.92   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[0].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3043__S0/DIODE    1.50    1.92   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[2].RAM8.WORD[2].W.BYTE[3].B.BIT[5].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
-mprj/u_wb_host/_3043_/S0                1.50    1.92   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.BIT[0].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.BIT[2].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[2].RAM8.WORD[7].W.BYTE[2].B.BIT[6].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
@@ -10354,7 +9601,6 @@
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[2].RAM8.WORD[7].W.BYTE[3].B.BIT[5].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[1].B.BIT[0].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[0].RAM8.WORD[2].W.BYTE[1].B.BIT[3].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
-mprj/u_sram5_2kb/addr0[2]               0.04    0.46   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[2].B.BIT[6].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM128/BLOCK[2].RAM32.SLICE[1].RAM8.WORD[5].W.BYTE[3].B.BIT[2].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[2].B.BIT[6].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
@@ -10375,7 +9621,6 @@
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[2].W.BYTE[1].B.BIT[0].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.BIT[6].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[3].W.BYTE[0].B.BIT[2].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
-mprj/u_wb_host/_2977_/S0                1.50    1.92   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[1].RAM8.WORD[2].W.BYTE[2].B.BIT[6].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[0].RAM8.WORD[0].W.BYTE[1].B.BIT[0].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM128/BLOCK[2].RAM32.SLICE[2].RAM8.WORD[6].W.BYTE[3].B.BIT[2].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
@@ -10385,7 +9630,6 @@
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[4].W.BYTE[0].B.BIT[2].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[0].RAM8.WORD[3].W.BYTE[3].B.BIT[5].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.BIT[3].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
-mprj/u_wb_host/ANTENNA__2977__S0/DIODE    1.50    1.92   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[0].B.BIT[2].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[2].B.BIT[6].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[2].RAM8.WORD[6].W.BYTE[1].B.BIT[3].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
@@ -10407,6 +9651,7 @@
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[4].W.BYTE[1].B.BIT[0].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[2].RAM8.WORD[0].W.BYTE[1].B.BIT[5].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[1].B.BIT[3].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
+mprj/u_sram7_2kb/addr0[3]               0.04    0.46   -0.42 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[1].RAM8.WORD[3].W.BYTE[1].B.BIT[3].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[2].RAM8.WORD[3].W.BYTE[2].B.BIT[6].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[0].RAM8.WORD[7].W.BYTE[2].B.BIT[6].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
@@ -10433,6 +9678,7 @@
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[2].RAM8.WORD[2].W.BYTE[2].B.BIT[6].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[2].RAM32.SLICE[2].RAM8.WORD[7].W.BYTE[3].B.BIT[0].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.BYTE[2].FLOATBUF0[16].cell/Z    1.52    1.94   -0.42 (VIOLATED)
+mprj/u_sram0_2kb/addr0[6]               0.04    0.46   -0.42 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.BYTE[2].FLOATBUF0[23].__cell__/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[0].RAM32.Do0_REG.OUTREG_BYTE[3].Do_FF[2]/D    1.50    1.92   -0.42 (VIOLATED)
 soc/core.RAM256/ANTENNA_BANK128[0].RAM128.BLOCK[0].RAM32.Do0_REG.OUTREG_BYTE[3].Do_FF[2]_D/DIODE    1.50    1.92   -0.42 (VIOLATED)
@@ -10445,7 +9691,6 @@
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[3].B.BIT[0].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[2].RAM32.SLICE[2].RAM8.WORD[0].W.BYTE[3].B.BIT[0].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[2].W.BYTE[0].B.BIT[2].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
-mprj/u_wb_host/_3057_/S0                1.50    1.92   -0.42 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[1].RAM8.WORD[5].W.BYTE[1].B.BIT[3].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM128/BLOCK[2].RAM32.SLICE[0].RAM8.WORD[2].W.BYTE[3].B.BIT[2].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[3].W.BYTE[3].B.BIT[5].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
@@ -10460,7 +9705,6 @@
 soc/core.RAM128/ANTENNA_BLOCK[3].RAM32.Do0_REG.OUTREG_BYTE[1].Do_FF[6]_D/DIODE    1.50    1.92   -0.42 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.Do0_REG.OUTREG_BYTE[1].Do_FF[6]/D    1.50    1.92   -0.42 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[2].RAM8.WORD[5].W.BYTE[1].B.BIT[3].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3057__S0/DIODE    1.50    1.92   -0.42 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[2].RAM8.WORD[7].W.BYTE[1].B.BIT[4].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[3].B.BIT[5].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[2].RAM8.WORD[2].W.BYTE[3].B.BIT[3].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
@@ -10492,7 +9736,6 @@
 soc/core.RAM256/ANTENNA_BANK128[1].RAM128.BLOCK[1].RAM32.Do0_REG.OUTREG_BYTE[3].Do_FF[4]_D/DIODE    1.50    1.92   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[0].RAM8.WORD[5].W.BYTE[1].B.BIT[5].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.BIT[7].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
-mprj/u_wb_host/_3053_/S0                1.50    1.92   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[0].RAM8.WORD[2].W.BYTE[1].B.BIT[7].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[2].RAM32.SLICE[0].RAM8.WORD[4].W.BYTE[3].B.BIT[0].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[2].W.BYTE[0].B.BIT[2].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
@@ -10514,18 +9757,16 @@
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[2].RAM8.WORD[4].W.BYTE[1].B.BIT[5].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[0].RAM8.WORD[0].W.BYTE[2].B.BIT[2].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[0].RAM8.WORD[7].W.BYTE[1].B.BIT[7].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
+mprj/u_sram7_2kb/addr0[6]               0.04    0.46   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[3].B.BIT[5].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[2].RAM32.SLICE[2].RAM8.WORD[6].W.BYTE[0].B.BIT[2].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[2].W.BYTE[3].B.BIT[3].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
-mprj/u_wb_host/ANTENNA__2923__S0/DIODE    1.50    1.92   -0.42 (VIOLATED)
-mprj/u_wb_host/_2923_/S0                1.50    1.92   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[2].RAM8.WORD[5].W.BYTE[2].B.BIT[2].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[0].RAM8.WORD[7].W.BYTE[2].B.BIT[2].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[0].RAM8.WORD[5].W.BYTE[2].B.BIT[2].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[2].W.BYTE[1].B.BIT[5].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[2].RAM32.SLICE[0].RAM8.WORD[3].W.BYTE[3].B.BIT[0].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[0].RAM8.WORD[1].W.BYTE[3].B.BIT[5].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3053__S0/DIODE    1.50    1.92   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[2].RAM32.SLICE[0].RAM8.WORD[2].W.BYTE[3].B.BIT[0].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[0].B.BIT[2].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[2].B.BIT[2].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
@@ -10553,7 +9794,6 @@
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[2].RAM8.WORD[0].W.BYTE[1].B.BIT[3].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[1].B.BIT[5].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[2].RAM32.SLICE[0].RAM8.WORD[2].W.BYTE[0].B.BIT[2].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3045__S0/DIODE    1.50    1.92   -0.42 (VIOLATED)
 soc/core.RAM128/BLOCK[2].RAM32.SLICE[3].RAM8.WORD[0].W.BYTE[3].B.BIT[0].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM128/BLOCK[2].RAM32.SLICE[0].RAM8.WORD[6].W.BYTE[3].B.BIT[0].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[0].RAM8.WORD[6].W.BYTE[1].B.BIT[7].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
@@ -10569,7 +9809,6 @@
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[2].RAM32.SLICE[0].RAM8.WORD[1].W.BYTE[3].B.BIT[0].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[2].RAM8.WORD[1].W.BYTE[1].B.BIT[7].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[2].RAM8.WORD[6].W.BYTE[1].B.BIT[7].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
-mprj/u_wb_host/_3045_/S0                1.50    1.92   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[3].B.BIT[0].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[2].RAM8.WORD[7].W.BYTE[1].B.BIT[3].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[1].B.BIT[6].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
@@ -10582,7 +9821,6 @@
 soc/core.RAM128/BLOCK[1].RAM32.Do0_REG.OUTREG_BYTE[0].Do_FF[2]/D    1.50    1.92   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[0].RAM8.WORD[0].W.BYTE[1].B.BIT[7].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[3].B.BIT[0].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
-mprj/u_sram2_2kb/addr0[3]               0.04    0.46   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[0].RAM8.WORD[2].W.BYTE[2].B.BIT[2].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[2].RAM8.WORD[7].W.BYTE[1].B.BIT[7].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[0].RAM8.WORD[3].W.BYTE[1].B.BIT[5].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
@@ -10593,6 +9831,7 @@
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[0].RAM8.WORD[1].W.BYTE[2].B.BIT[2].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.BIT[5].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[0].RAM8.WORD[5].W.BYTE[1].B.BIT[7].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
+mprj/u_sram2_2kb/addr0[4]               0.04    0.46   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[2].RAM32.SLICE[0].RAM8.WORD[6].W.BYTE[0].B.BIT[2].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[2].RAM8.WORD[2].W.BYTE[1].B.BIT[7].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[2].W.BYTE[1].B.BIT[7].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
@@ -10605,14 +9844,8 @@
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[2].RAM32.SLICE[0].RAM8.WORD[7].W.BYTE[0].B.BIT[2].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[2].RAM32.SLICE[0].RAM8.WORD[4].W.BYTE[0].B.BIT[2].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[4].W.BYTE[2].B.BIT[2].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
-mprj/u_wb_host/_3041_/S0                1.50    1.92   -0.42 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3041__S0/DIODE    1.50    1.92   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[0].RAM8.WORD[6].W.BYTE[2].B.BIT[2].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[2].RAM8.WORD[4].W.BYTE[2].B.BIT[0].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
-mprj/u_wb_host/_2968_/S0                1.50    1.92   -0.42 (VIOLATED)
-mprj/u_wb_host/_3023_/S0                1.50    1.92   -0.42 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3023__S0/DIODE    1.50    1.92   -0.42 (VIOLATED)
-mprj/u_wb_host/ANTENNA__2968__S0/DIODE    1.50    1.92   -0.42 (VIOLATED)
 soc/core.RAM128/ANTENNA_BLOCK[3].RAM32.Do0_REG.OUTREG_BYTE[2].Do_FF[5]_D/DIODE    1.50    1.92   -0.42 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.Do0_REG.OUTREG_BYTE[2].Do_FF[5]/D    1.50    1.92   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[2].RAM32.SLICE[0].RAM8.WORD[7].W.BYTE[3].B.BIT[0].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
@@ -10631,7 +9864,6 @@
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[2].RAM8.WORD[0].W.BYTE[2].B.BIT[0].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[0].RAM8.WORD[3].W.BYTE[1].B.BIT[7].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[1].B.BIT[7].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
-mprj/u_sram6_2kb/addr0[3]               0.04    0.46   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[2].B.BIT[0].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[0].RAM8.WORD[5].W.BYTE[2].B.BIT[0].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[2].B.BIT[0].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
@@ -10664,16 +9896,11 @@
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[1].B.BIT[7].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[4].W.BYTE[1].B.BIT[7].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[0].RAM8.WORD[5].W.BYTE[1].B.BIT[6].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
-mprj/u_wb_host/_3055_/S0                1.50    1.92   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[0].W.BYTE[2].B.BIT[2].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
-mprj/u_wb_host/ANTENNA__2986__S0/DIODE    1.50    1.92   -0.42 (VIOLATED)
-mprj/u_wb_host/_3059_/S0                1.50    1.92   -0.42 (VIOLATED)
-mprj/u_wb_host/_2986_/S0                1.50    1.92   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[2].RAM8.WORD[7].W.BYTE[1].B.BIT[6].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[1].B.BIT[5].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[0].RAM8.WORD[7].W.BYTE[1].B.BIT[5].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[2].W.BYTE[2].B.BIT[0].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3055__S0/DIODE    1.50    1.92   -0.42 (VIOLATED)
 soc/core.RAM128/BLOCK[2].RAM32.SLICE[2].RAM8.WORD[1].W.BYTE[3].B.BIT[0].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM128/BLOCK[2].RAM32.SLICE[0].RAM8.WORD[7].W.BYTE[3].B.BIT[0].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[0].RAM8.WORD[5].W.BYTE[1].B.BIT[7].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
@@ -10681,6 +9908,7 @@
 soc/core.RAM128/BLOCK[3].RAM32.Do0_REG.OUTREG_BYTE[3].Do_FF[5]/D    1.50    1.92   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[1].B.BIT[6].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[2].RAM8.WORD[4].W.BYTE[3].B.BIT[5].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
+mprj/u_sram6_2kb/addr0[4]               0.04    0.46   -0.42 (VIOLATED)
 soc/core.RAM128/BLOCK[2].RAM32.SLICE[1].RAM8.WORD[3].W.BYTE[3].B.BIT[0].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[1].B.BIT[7].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[4].W.BYTE[1].B.BIT[7].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
@@ -10691,13 +9919,11 @@
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[0].RAM8.WORD[6].W.BYTE[1].B.BIT[3].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM128/BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[3].B.BIT[0].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[2].B.BIT[0].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
-mprj/u_wb_host/_3031_/S0                1.50    1.92   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[2].RAM8.WORD[2].W.BYTE[1].B.BIT[5].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM128/BLOCK[2].RAM32.SLICE[0].RAM8.WORD[0].W.BYTE[3].B.BIT[0].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[2].B.BIT[2].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.BIT[7].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[0].RAM8.WORD[6].W.BYTE[2].B.BIT[0].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3031__S0/DIODE    1.50    1.92   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[2].RAM8.WORD[4].W.BYTE[2].B.BIT[2].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[0].RAM8.WORD[6].W.BYTE[1].B.BIT[7].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[2].B.BIT[2].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
@@ -10716,52 +9942,19 @@
 soc/core.RAM128/BLOCK[2].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[3].B.BIT[0].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[1].B.BIT[6].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[4].W.BYTE[2].B.BIT[0].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
-mprj/u_wb_host/ANTENNA__2989__S0/DIODE    1.50    1.92   -0.42 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3017__S0/DIODE    1.50    1.92   -0.42 (VIOLATED)
-mprj/u_wb_host/_3017_/S0                1.50    1.92   -0.42 (VIOLATED)
-mprj/u_wb_host/_3015_/S0                1.50    1.92   -0.42 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3015__S0/DIODE    1.50    1.92   -0.42 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3021__S0/DIODE    1.50    1.92   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[0].RAM8.WORD[4].W.BYTE[2].B.BIT[0].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
-mprj/u_wb_host/_3021_/S0                1.50    1.92   -0.42 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3013__S0/DIODE    1.50    1.92   -0.42 (VIOLATED)
-mprj/u_wb_host/_3013_/S0                1.50    1.92   -0.42 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3025__S0/DIODE    1.50    1.92   -0.42 (VIOLATED)
-mprj/u_wb_host/_2989_/S0                1.50    1.92   -0.42 (VIOLATED)
+mprj/u_sram1_2kb/addr0[8]               0.04    0.46   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[1].B.BIT[7].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
-mprj/u_wb_host/_3025_/S0                1.50    1.92   -0.42 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[1].B.BIT[7].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.BYTE[3].FLOATBUF0[31].cell/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[2].B.BIT[0].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3069__S0/DIODE    1.50    1.92   -0.42 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3027__S0/DIODE    1.50    1.92   -0.42 (VIOLATED)
-mprj/u_wb_host/_3027_/S0                1.50    1.92   -0.42 (VIOLATED)
-mprj/u_wb_host/_3067_/S0                1.50    1.92   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[2].RAM8.WORD[5].W.BYTE[2].B.BIT[0].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3067__S0/DIODE    1.50    1.92   -0.42 (VIOLATED)
-mprj/u_wb_host/_3069_/S0                1.50    1.92   -0.42 (VIOLATED)
-mprj/u_wb_host/_3073_/S0                1.50    1.92   -0.42 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3073__S0/DIODE    1.50    1.92   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[0].RAM8.WORD[4].W.BYTE[2].B.BIT[2].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[2].RAM8.WORD[5].W.BYTE[1].B.BIT[5].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
-mprj/u_wb_host/_3061_/S0                1.50    1.92   -0.42 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3061__S0/DIODE    1.50    1.92   -0.42 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3071__S0/DIODE    1.50    1.92   -0.42 (VIOLATED)
-mprj/u_wb_host/_3071_/S0                1.50    1.92   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.SLICE[2].RAM8.WORD[0].W.BYTE[2].B.BIT[5].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
-mprj/u_wb_host/ANTENNA__2906__A/DIODE    1.50    1.92   -0.42 (VIOLATED)
-mprj/u_wb_host/_2905_/A                 1.50    1.92   -0.42 (VIOLATED)
-mprj/u_wb_host/_2906_/A                 1.50    1.92   -0.42 (VIOLATED)
-mprj/u_wb_host/ANTENNA__2905__A/DIODE    1.50    1.92   -0.42 (VIOLATED)
-mprj/u_wb_host/_3011_/S0                1.50    1.92   -0.42 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3011__S0/DIODE    1.50    1.92   -0.42 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3065__S0/DIODE    1.50    1.92   -0.42 (VIOLATED)
-mprj/u_wb_host/_3065_/S0                1.50    1.92   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.SLICE[2].RAM8.WORD[5].W.BYTE[2].B.BIT[5].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[0].RAM8.WORD[7].W.BYTE[2].B.BIT[0].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3009__S0/DIODE    1.50    1.92   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[2].RAM8.WORD[1].W.BYTE[2].B.BIT[2].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
-mprj/u_wb_host/_3009_/S0                1.50    1.92   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[1].RAM8.WORD[2].W.BYTE[2].B.BIT[0].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[2].W.BYTE[1].B.BIT[6].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[1].RAM8.WORD[3].W.BYTE[2].B.BIT[2].OBUF0/Z    1.52    1.94   -0.42 (VIOLATED)
@@ -10785,30 +9978,13 @@
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.BIT[2].OBUF0/Z    1.52    1.93   -0.41 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[2].B.BIT[2].OBUF0/Z    1.52    1.93   -0.41 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[0].RAM8.WORD[1].W.BYTE[1].B.BIT[7].OBUF0/Z    1.52    1.93   -0.41 (VIOLATED)
-mprj/u_wb_host/_3019_/S0                1.50    1.91   -0.41 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[0].RAM8.WORD[7].W.BYTE[1].B.BIT[7].OBUF0/Z    1.52    1.93   -0.41 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3019__S0/DIODE    1.50    1.91   -0.41 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[0].RAM8.WORD[2].W.BYTE[1].B.BIT[6].OBUF0/Z    1.52    1.93   -0.41 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3162__A1/DIODE    1.50    1.91   -0.41 (VIOLATED)
-mprj/u_wb_host/_3162_/A1                1.50    1.91   -0.41 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[2].RAM8.WORD[1].W.BYTE[1].B.BIT[6].OBUF0/Z    1.52    1.93   -0.41 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[2].RAM8.WORD[3].W.BYTE[1].B.BIT[6].OBUF0/Z    1.52    1.93   -0.41 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3161__A/DIODE    1.50    1.91   -0.41 (VIOLATED)
-mprj/u_wb_host/_3161_/A                 1.50    1.91   -0.41 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.Do0_REG.OUTREG_BYTE[0].Do_FF[6]/D    1.50    1.91   -0.41 (VIOLATED)
 soc/core.RAM128/ANTENNA_BLOCK[3].RAM32.Do0_REG.OUTREG_BYTE[0].Do_FF[6]_D/DIODE    1.50    1.91   -0.41 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[2].W.BYTE[3].B.BIT[5].OBUF0/Z    1.52    1.93   -0.41 (VIOLATED)
-mprj/u_wb_host/ANTENNA__2971__S0/DIODE    1.50    1.91   -0.41 (VIOLATED)
-mprj/u_wb_host/_2971_/S0                1.50    1.91   -0.41 (VIOLATED)
-mprj/u_wb_host/_3063_/S0                1.50    1.91   -0.41 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3063__S0/DIODE    1.50    1.91   -0.41 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3059__S0/DIODE    1.50    1.91   -0.41 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3155__A1/DIODE    1.50    1.91   -0.41 (VIOLATED)
-mprj/u_wb_host/_3155_/A1                1.50    1.91   -0.41 (VIOLATED)
-mprj/u_wb_host/_3156_/A                 1.50    1.91   -0.41 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3156__A/DIODE    1.50    1.91   -0.41 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3154__A/DIODE    1.50    1.91   -0.41 (VIOLATED)
-mprj/u_wb_host/_3154_/A                 1.50    1.91   -0.41 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[5].W.BYTE[1].B.BIT[6].OBUF0/Z    1.52    1.93   -0.41 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[2].B.BIT[5].OBUF0/Z    1.52    1.93   -0.41 (VIOLATED)
 soc/core.RAM128/ANTENNA_BLOCK[1].RAM32.Do0_REG.OUTREG_BYTE[0].Do_FF[0]_D/DIODE    1.50    1.91   -0.41 (VIOLATED)
@@ -10857,7 +10033,6 @@
 soc/core.RAM128/BLOCK[2].RAM32.SLICE[1].RAM8.WORD[2].W.BYTE[3].B.BIT[7].OBUF0/Z    1.52    1.93   -0.41 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[0].RAM8.WORD[4].W.BYTE[1].B.BIT[7].OBUF0/Z    1.52    1.93   -0.41 (VIOLATED)
 soc/core.RAM128/BLOCK[2].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[3].B.BIT[7].OBUF0/Z    1.52    1.93   -0.41 (VIOLATED)
-mprj/u_sram7_2kb/addr0[4]               0.04    0.45   -0.41 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[2].RAM8.WORD[6].W.BYTE[1].B.BIT[7].OBUF0/Z    1.52    1.93   -0.41 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[2].W.BYTE[2].B.BIT[5].OBUF0/Z    1.52    1.93   -0.41 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[0].RAM8.WORD[3].W.BYTE[1].B.BIT[6].OBUF0/Z    1.52    1.93   -0.41 (VIOLATED)
@@ -10918,6 +10093,7 @@
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[0].RAM8.WORD[7].W.BYTE[0].B.BIT[0].OBUF0/Z    1.52    1.93   -0.41 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[3].RAM8.WORD[2].W.BYTE[2].B.BIT[0].OBUF0/Z    1.52    1.93   -0.41 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[1].RAM8.WORD[2].W.BYTE[0].B.BIT[0].OBUF0/Z    1.52    1.93   -0.41 (VIOLATED)
+mprj/u_sram4_2kb/addr0[5]               0.04    0.45   -0.41 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[3].RAM8.WORD[0].W.BYTE[2].B.BIT[0].OBUF0/Z    1.52    1.93   -0.41 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[2].RAM8.WORD[0].W.BYTE[2].B.BIT[1].OBUF0/Z    1.52    1.93   -0.41 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[2].RAM8.WORD[0].W.BYTE[2].B.BIT[0].OBUF0/Z    1.52    1.93   -0.41 (VIOLATED)
@@ -10947,7 +10123,6 @@
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[0].RAM8.WORD[5].W.BYTE[2].B.BIT[1].OBUF0/Z    1.52    1.93   -0.41 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[2].W.BYTE[0].B.BIT[0].OBUF0/Z    1.52    1.93   -0.41 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[0].B.BIT[0].OBUF0/Z    1.52    1.93   -0.41 (VIOLATED)
-mprj/u_sram4_2kb/addr0[3]               0.04    0.45   -0.41 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[1].RAM8.WORD[5].W.BYTE[2].B.BIT[0].OBUF0/Z    1.52    1.93   -0.41 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[0].RAM8.WORD[6].W.BYTE[2].B.BIT[1].OBUF0/Z    1.52    1.93   -0.41 (VIOLATED)
 soc/core.RAM128/BLOCK[2].RAM32.SLICE[2].RAM8.WORD[3].W.BYTE[3].B.BIT[2].OBUF0/Z    1.52    1.93   -0.41 (VIOLATED)
@@ -10969,7 +10144,6 @@
 soc/core.RAM128/BLOCK[2].RAM32.SLICE[0].RAM8.WORD[4].W.BYTE[0].B.BIT[4].OBUF0/Z    1.52    1.93   -0.41 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[2].W.BYTE[3].B.BIT[6].OBUF0/Z    1.52    1.93   -0.41 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[0].RAM32.SLICE[1].RAM8.WORD[3].W.BYTE[3].B.BIT[7].OBUF0/Z    1.52    1.93   -0.41 (VIOLATED)
-mprj/u_sram5_2kb/addr0[6]               0.04    0.45   -0.41 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[2].RAM8.WORD[6].W.BYTE[3].B.BIT[6].OBUF0/Z    1.52    1.93   -0.41 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[2].RAM32.BYTE[0].FLOATBUF0[2].cell/Z    1.52    1.93   -0.41 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[5].W.BYTE[3].B.BIT[6].OBUF0/Z    1.52    1.93   -0.41 (VIOLATED)
@@ -10995,7 +10169,6 @@
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[1].RAM8.WORD[3].W.BYTE[2].B.BIT[1].OBUF0/Z    1.52    1.93   -0.41 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[2].RAM8.WORD[3].W.BYTE[0].B.BIT[0].OBUF0/Z    1.52    1.93   -0.41 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[2].B.BIT[1].OBUF0/Z    1.52    1.93   -0.41 (VIOLATED)
-mprj/u_wb_host/_5494_/Q                 1.51    1.91   -0.41 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[3].RAM8.WORD[4].W.BYTE[2].B.BIT[0].OBUF0/Z    1.52    1.93   -0.41 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[2].RAM8.WORD[3].W.BYTE[2].B.BIT[0].OBUF0/Z    1.52    1.93   -0.41 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[0].RAM8.WORD[3].W.BYTE[2].B.BIT[0].OBUF0/Z    1.52    1.93   -0.41 (VIOLATED)
@@ -11018,7 +10191,6 @@
 soc/core.RAM256/ANTENNA_BANK128[0].RAM128.BLOCK[1].RAM32.Do0_REG.OUTREG_BYTE[0].Do_FF[2]_D/DIODE    1.50    1.91   -0.41 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.Do0_REG.OUTREG_BYTE[0].Do_FF[2]/D    1.50    1.91   -0.41 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[3].B.BIT[6].OBUF0/Z    1.52    1.93   -0.41 (VIOLATED)
-mprj/u_sram1_2kb/addr0[4]               0.04    0.45   -0.41 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[0].RAM8.WORD[0].W.BYTE[0].B.BIT[0].OBUF0/Z    1.52    1.93   -0.41 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[2].RAM8.WORD[4].W.BYTE[2].B.BIT[0].OBUF0/Z    1.52    1.93   -0.41 (VIOLATED)
 soc/core.RAM128/BLOCK[2].RAM32.SLICE[1].RAM8.WORD[4].W.BYTE[0].B.BIT[4].OBUF0/Z    1.52    1.93   -0.41 (VIOLATED)
@@ -11077,6 +10249,7 @@
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[0].RAM32.SLICE[2].RAM8.WORD[4].W.BYTE[3].B.BIT[7].OBUF0/Z    1.52    1.93   -0.41 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[3].B.BIT[6].OBUF0/Z    1.52    1.93   -0.41 (VIOLATED)
 soc/core.RAM128/BLOCK[2].RAM32.SLICE[1].RAM8.WORD[5].W.BYTE[0].B.BIT[4].OBUF0/Z    1.52    1.93   -0.41 (VIOLATED)
+mprj/u_sram6_2kb/addr0[2]               0.04    0.45   -0.41 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[2].B.BIT[0].OBUF0/Z    1.52    1.93   -0.41 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.SLICE[2].RAM8.WORD[7].W.BYTE[2].B.BIT[5].OBUF0/Z    1.52    1.93   -0.41 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.BYTE[1].FLOATBUF0[15].cell/Z    1.52    1.93   -0.41 (VIOLATED)
@@ -11154,6 +10327,7 @@
 soc/core.RAM128/BLOCK[1].RAM32.SLICE[2].RAM8.WORD[1].W.BYTE[0].B.BIT[1].OBUF0/Z    1.52    1.92   -0.40 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[0].RAM8.WORD[0].W.BYTE[2].B.BIT[4].OBUF0/Z    1.52    1.92   -0.40 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[2].RAM8.WORD[2].W.BYTE[2].B.BIT[4].OBUF0/Z    1.52    1.92   -0.40 (VIOLATED)
+mprj/u_sram3_2kb/addr0[3]               0.04    0.44   -0.40 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[2].B.BIT[4].OBUF0/Z    1.52    1.92   -0.40 (VIOLATED)
 soc/core.RAM128/BLOCK[1].RAM32.SLICE[0].RAM8.WORD[4].W.BYTE[0].B.BIT[1].OBUF0/Z    1.52    1.92   -0.40 (VIOLATED)
 soc/core.RAM128/BLOCK[1].RAM32.SLICE[1].RAM8.WORD[4].W.BYTE[0].B.BIT[1].OBUF0/Z    1.52    1.92   -0.40 (VIOLATED)
@@ -11239,6 +10413,7 @@
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[2].W.BYTE[1].B.BIT[4].OBUF0/Z    1.52    1.92   -0.40 (VIOLATED)
 soc/core.RAM128/ANTENNA_BLOCK[3].RAM32.Do0_REG.OUTREG_BYTE[0].Do_FF[1]_D/DIODE    1.50    1.90   -0.40 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.Do0_REG.OUTREG_BYTE[0].Do_FF[1]/D    1.50    1.90   -0.40 (VIOLATED)
+mprj/u_sram5_2kb/addr0[7]               0.04    0.44   -0.40 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[3].B.BIT[6].OBUF0/Z    1.52    1.92   -0.40 (VIOLATED)
 soc/core.RAM128/BLOCK[2].RAM32.SLICE[2].RAM8.WORD[3].W.BYTE[3].B.BIT[0].OBUF0/Z    1.52    1.92   -0.40 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[2].RAM8.WORD[3].W.BYTE[3].B.BIT[6].OBUF0/Z    1.52    1.92   -0.40 (VIOLATED)
@@ -11318,10 +10493,10 @@
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[2].RAM8.WORD[6].W.BYTE[3].B.BIT[4].OBUF0/Z    1.52    1.92   -0.40 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[2].B.BIT[5].OBUF0/Z    1.52    1.92   -0.40 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[4].W.BYTE[3].B.BIT[7].OBUF0/Z    1.52    1.92   -0.40 (VIOLATED)
+mprj/u_sram1_2kb/addr0[7]               0.04    0.44   -0.40 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[2].RAM8.WORD[1].W.BYTE[1].B.BIT[3].OBUF0/Z    1.52    1.92   -0.40 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[0].B.BIT[3].OBUF0/Z    1.52    1.92   -0.40 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[0].B.BIT[3].OBUF0/Z    1.52    1.92   -0.40 (VIOLATED)
-mprj/u_sram4_2kb/addr0[5]               0.04    0.44   -0.40 (VIOLATED)
 soc/core.RAM128/BLOCK[1].RAM32.SLICE[3].RAM8.WORD[0].W.BYTE[0].B.BIT[2].OBUF0/Z    1.52    1.92   -0.40 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[1].B.BIT[6].OBUF0/Z    1.52    1.92   -0.40 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[2].RAM8.WORD[0].W.BYTE[0].B.BIT[3].OBUF0/Z    1.52    1.92   -0.40 (VIOLATED)
@@ -11330,7 +10505,6 @@
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[2].RAM8.WORD[7].W.BYTE[3].B.BIT[4].OBUF0/Z    1.52    1.92   -0.40 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[0].RAM32.SLICE[0].RAM8.WORD[3].W.BYTE[3].B.BIT[2].OBUF0/Z    1.52    1.92   -0.40 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.BIT[3].OBUF0/Z    1.52    1.92   -0.40 (VIOLATED)
-mprj/u_sram5_2kb/addr0[3]               0.04    0.44   -0.40 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.SLICE[0].RAM8.WORD[5].W.BYTE[2].B.BIT[4].OBUF0/Z    1.52    1.92   -0.40 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[0].RAM32.SLICE[0].RAM8.WORD[2].W.BYTE[0].B.BIT[2].OBUF0/Z    1.52    1.92   -0.40 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[3].RAM8.WORD[0].W.BYTE[1].B.BIT[6].OBUF0/Z    1.52    1.92   -0.40 (VIOLATED)
@@ -11616,6 +10790,7 @@
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[0].RAM8.WORD[1].W.BYTE[2].B.BIT[5].OBUF0/Z    1.52    1.91   -0.39 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[0].RAM8.WORD[4].W.BYTE[2].B.BIT[5].OBUF0/Z    1.52    1.91   -0.39 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[1].B.BIT[3].OBUF0/Z    1.52    1.91   -0.39 (VIOLATED)
+mprj/u_sram0_2kb/addr0[4]               0.04    0.43   -0.39 (VIOLATED)
 soc/core.RAM128/BLOCK[2].RAM32.SLICE[0].RAM8.WORD[3].W.BYTE[0].B.BIT[2].OBUF0/Z    1.52    1.91   -0.39 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[2].W.BYTE[3].B.BIT[6].OBUF0/Z    1.52    1.91   -0.39 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[2].B.BIT[7].OBUF0/Z    1.52    1.91   -0.39 (VIOLATED)
@@ -11630,6 +10805,7 @@
 soc/core.RAM128/BLOCK[2].RAM32.SLICE[0].RAM8.WORD[5].W.BYTE[3].B.BIT[6].OBUF0/Z    1.52    1.91   -0.39 (VIOLATED)
 soc/core.RAM128/BLOCK[2].RAM32.SLICE[0].RAM8.WORD[3].W.BYTE[0].B.BIT[3].OBUF0/Z    1.52    1.91   -0.39 (VIOLATED)
 soc/core.RAM128/BLOCK[2].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[3].B.BIT[6].OBUF0/Z    1.52    1.91   -0.39 (VIOLATED)
+mprj/u_sram5_2kb/addr0[4]               0.04    0.43   -0.39 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[0].RAM8.WORD[0].W.BYTE[2].B.BIT[5].OBUF0/Z    1.52    1.91   -0.39 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.BYTE[0].FLOATBUF0[0].cell/Z    1.52    1.91   -0.39 (VIOLATED)
 soc/core.RAM128/BLOCK[2].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[0].B.BIT[2].OBUF0/Z    1.52    1.91   -0.39 (VIOLATED)
@@ -11797,6 +10973,7 @@
 soc/core.RAM128/BLOCK[2].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[0].B.BIT[3].OBUF0/Z    1.52    1.91   -0.39 (VIOLATED)
 soc/core.RAM128/BLOCK[2].RAM32.SLICE[2].RAM8.WORD[4].W.BYTE[0].B.BIT[3].OBUF0/Z    1.52    1.91   -0.39 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[1].B.BIT[5].OBUF0/Z    1.52    1.91   -0.39 (VIOLATED)
+mprj/u_sram7_2kb/addr0[4]               0.04    0.43   -0.39 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[4].W.BYTE[3].B.BIT[4].OBUF0/Z    1.52    1.91   -0.39 (VIOLATED)
 soc/core.RAM128/BLOCK[1].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[0].B.BIT[0].OBUF0/Z    1.52    1.91   -0.39 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[0].RAM8.WORD[7].W.BYTE[0].B.BIT[6].OBUF0/Z    1.52    1.91   -0.39 (VIOLATED)
@@ -11809,6 +10986,7 @@
 soc/core.RAM128/BLOCK[2].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[0].B.BIT[3].OBUF0/Z    1.52    1.91   -0.39 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[1].RAM8.WORD[3].W.BYTE[1].B.BIT[5].OBUF0/Z    1.52    1.91   -0.39 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[3].RAM8.WORD[4].W.BYTE[1].B.BIT[5].OBUF0/Z    1.52    1.91   -0.39 (VIOLATED)
+mprj/u_sram5_2kb/addr0[8]               0.04    0.43   -0.39 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[2].RAM8.WORD[2].W.BYTE[0].B.BIT[6].OBUF0/Z    1.52    1.91   -0.39 (VIOLATED)
 soc/core.RAM128/BLOCK[2].RAM32.SLICE[0].RAM8.WORD[0].W.BYTE[0].B.BIT[7].OBUF0/Z    1.52    1.91   -0.39 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.Do0_REG.OUTREG_BYTE[3].Do_FF[0]/D    1.50    1.89   -0.39 (VIOLATED)
@@ -11968,6 +11146,7 @@
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[0].RAM8.WORD[4].W.BYTE[0].B.BIT[2].OBUF0/Z    1.52    1.90   -0.38 (VIOLATED)
 soc/core.RAM128/BLOCK[2].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[0].B.BIT[7].OBUF0/Z    1.52    1.90   -0.38 (VIOLATED)
 soc/core.RAM128/BLOCK[2].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[0].B.BIT[7].OBUF0/Z    1.52    1.90   -0.38 (VIOLATED)
+mprj/u_sram7_2kb/addr0[8]               0.04    0.42   -0.38 (VIOLATED)
 soc/core.RAM128/BLOCK[1].RAM32.SLICE[2].RAM8.WORD[4].W.BYTE[0].B.BIT[2].OBUF0/Z    1.52    1.90   -0.38 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[2].RAM8.WORD[3].W.BYTE[0].B.BIT[3].OBUF0/Z    1.52    1.90   -0.38 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[2].RAM8.WORD[7].W.BYTE[0].B.BIT[2].OBUF0/Z    1.52    1.90   -0.38 (VIOLATED)
@@ -11975,6 +11154,8 @@
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[0].RAM8.WORD[7].W.BYTE[0].B.BIT[2].OBUF0/Z    1.52    1.90   -0.38 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[0].RAM32.SLICE[2].RAM8.WORD[3].W.BYTE[0].B.BIT[0].OBUF0/Z    1.52    1.90   -0.38 (VIOLATED)
 soc/core.RAM128/BLOCK[2].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[0].B.BIT[7].OBUF0/Z    1.52    1.90   -0.38 (VIOLATED)
+mprj/u_sram1_2kb/addr0[3]               0.04    0.42   -0.38 (VIOLATED)
+mprj/u_sram3_2kb/addr0[2]               0.04    0.42   -0.38 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[0].B.BIT[2].OBUF0/Z    1.52    1.90   -0.38 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.BYTE[2].FLOATBUF0[20].cell/Z    1.52    1.90   -0.38 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[0].RAM32.SLICE[2].RAM8.WORD[2].W.BYTE[0].B.BIT[5].OBUF0/Z    1.52    1.90   -0.38 (VIOLATED)
@@ -12070,6 +11251,7 @@
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[1].RAM8.WORD[5].W.BYTE[0].B.BIT[3].OBUF0/Z    1.52    1.90   -0.38 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[0].RAM32.SLICE[2].RAM8.WORD[1].W.BYTE[0].B.BIT[5].OBUF0/Z    1.52    1.90   -0.38 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[1].RAM8.WORD[2].W.BYTE[0].B.BIT[2].OBUF0/Z    1.52    1.90   -0.38 (VIOLATED)
+mprj/u_sram5_2kb/addr0[6]               0.04    0.42   -0.38 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[1].RAM32.BYTE[3].FLOATBUF0[30].cell/Z    1.52    1.90   -0.38 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[0].B.BIT[1].OBUF0/Z    1.52    1.90   -0.38 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[0].RAM8.WORD[1].W.BYTE[3].B.BIT[4].OBUF0/Z    1.52    1.90   -0.38 (VIOLATED)
@@ -12124,7 +11306,6 @@
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[0].RAM8.WORD[7].W.BYTE[3].B.BIT[4].OBUF0/Z    1.52    1.90   -0.38 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[3].B.BIT[5].OBUF0/Z    1.52    1.90   -0.38 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[3].RAM8.WORD[0].W.BYTE[0].B.BIT[2].OBUF0/Z    1.52    1.90   -0.38 (VIOLATED)
-mprj/u_sram5_2kb/addr0[7]               0.04    0.42   -0.38 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[0].B.BIT[2].OBUF0/Z    1.52    1.90   -0.38 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[3].B.BIT[4].OBUF0/Z    1.52    1.90   -0.38 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[0].RAM8.WORD[0].W.BYTE[0].B.BIT[2].OBUF0/Z    1.52    1.90   -0.38 (VIOLATED)
@@ -12211,6 +11392,7 @@
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[3].B.BIT[2].OBUF0/Z    1.52    1.89   -0.37 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[0].RAM8.WORD[0].W.BYTE[0].B.BIT[1].OBUF0/Z    1.52    1.89   -0.37 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[3].B.BIT[2].OBUF0/Z    1.52    1.89   -0.37 (VIOLATED)
+mprj/u_sram3_2kb/addr0[7]               0.04    0.41   -0.37 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[3].RAM8.WORD[0].W.BYTE[0].B.BIT[1].OBUF0/Z    1.52    1.89   -0.37 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[3].B.BIT[2].OBUF0/Z    1.52    1.89   -0.37 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[3].B.BIT[4].OBUF0/Z    1.52    1.89   -0.37 (VIOLATED)
@@ -12220,6 +11402,9 @@
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[0].B.BIT[1].OBUF0/Z    1.52    1.89   -0.37 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[3].B.BIT[2].OBUF0/Z    1.52    1.89   -0.37 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[2].RAM8.WORD[3].W.BYTE[0].B.BIT[1].OBUF0/Z    1.52    1.89   -0.37 (VIOLATED)
+mprj/u_sram1_2kb/addr0[5]               0.04    0.41   -0.37 (VIOLATED)
+mprj/u_rp_north/ANTENNA__15__A/DIODE    1.50    1.87   -0.37 (VIOLATED)
+mprj/u_rp_north/_15_/A                  1.50    1.87   -0.37 (VIOLATED)
 soc/core.RAM128/BLOCK[2].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[3].B.BIT[6].OBUF0/Z    1.52    1.89   -0.37 (VIOLATED)
 soc/core.RAM128/BLOCK[1].RAM32.BYTE[0].FLOATBUF0[0].__cell__/Z    1.52    1.89   -0.37 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[3].RAM8.WORD[4].W.BYTE[0].B.BIT[1].OBUF0/Z    1.52    1.89   -0.37 (VIOLATED)
@@ -12228,10 +11413,10 @@
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[3].B.BIT[4].OBUF0/Z    1.52    1.89   -0.37 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[1].RAM8.WORD[5].W.BYTE[3].B.BIT[2].OBUF0/Z    1.52    1.89   -0.37 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[3].RAM8.WORD[2].W.BYTE[0].B.BIT[1].OBUF0/Z    1.52    1.89   -0.37 (VIOLATED)
-mprj/u_sram1_2kb/addr0[6]               0.04    0.41   -0.37 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[1].RAM8.WORD[2].W.BYTE[0].B.BIT[3].OBUF0/Z    1.52    1.89   -0.37 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[0].B.BIT[3].OBUF0/Z    1.52    1.89   -0.37 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[0].B.BIT[3].OBUF0/Z    1.52    1.89   -0.37 (VIOLATED)
+mprj/u_sram5_2kb/addr0[5]               0.04    0.41   -0.37 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[0].RAM8.WORD[6].W.BYTE[3].B.BIT[4].OBUF0/Z    1.52    1.89   -0.37 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[1].RAM8.WORD[3].W.BYTE[3].B.BIT[4].OBUF0/Z    1.52    1.89   -0.37 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[2].RAM8.WORD[2].W.BYTE[3].B.BIT[4].OBUF0/Z    1.52    1.89   -0.37 (VIOLATED)
@@ -12240,6 +11425,7 @@
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[1].RAM8.WORD[4].W.BYTE[3].B.BIT[4].OBUF0/Z    1.52    1.89   -0.37 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[0].B.BIT[1].OBUF0/Z    1.52    1.89   -0.37 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[1].RAM8.WORD[2].W.BYTE[3].B.BIT[2].OBUF0/Z    1.52    1.89   -0.37 (VIOLATED)
+mprj/u_sram5_2kb/addr0[2]               0.04    0.41   -0.37 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[0].B.BIT[1].OBUF0/Z    1.52    1.89   -0.37 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[0].RAM32.SLICE[0].RAM8.WORD[0].W.BYTE[0].B.BIT[5].OBUF0/Z    1.52    1.89   -0.37 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[1].RAM8.WORD[3].W.BYTE[3].B.BIT[2].OBUF0/Z    1.52    1.89   -0.37 (VIOLATED)
@@ -12257,7 +11443,9 @@
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.BYTE[2].FLOATBUF0[23].cell/Z    1.52    1.89   -0.37 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[0].RAM8.WORD[2].W.BYTE[0].B.BIT[4].OBUF0/Z    1.52    1.89   -0.37 (VIOLATED)
 soc/core.RAM128/BLOCK[2].RAM32.SLICE[3].RAM8.WORD[4].W.BYTE[3].B.BIT[3].OBUF0/Z    1.52    1.89   -0.37 (VIOLATED)
+mprj/u_sram3_2kb/addr0[6]               0.04    0.41   -0.37 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[0].RAM32.SLICE[2].RAM8.WORD[5].W.BYTE[0].B.BIT[4].OBUF0/Z    1.52    1.89   -0.37 (VIOLATED)
+mprj/u_sram7_2kb/addr0[2]               0.04    0.41   -0.37 (VIOLATED)
 soc/core.RAM128/BLOCK[2].RAM32.SLICE[0].RAM8.WORD[1].W.BYTE[3].B.BIT[3].OBUF0/Z    1.52    1.89   -0.37 (VIOLATED)
 soc/core.RAM128/BLOCK[2].RAM32.SLICE[0].RAM8.WORD[7].W.BYTE[3].B.BIT[3].OBUF0/Z    1.52    1.89   -0.37 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.Do0_REG.OUTREG_BYTE[3].Do_FF[7]/D    1.50    1.87   -0.37 (VIOLATED)
@@ -12274,6 +11462,8 @@
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[2].RAM8.WORD[3].W.BYTE[3].B.BIT[2].OBUF0/Z    1.52    1.89   -0.37 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[0].RAM32.SLICE[2].RAM8.WORD[2].W.BYTE[3].B.BIT[6].OBUF0/Z    1.52    1.89   -0.37 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[1].RAM8.WORD[1].W.BYTE[3].B.BIT[2].OBUF0/Z    1.52    1.89   -0.37 (VIOLATED)
+mprj/u_mbist0/ANTENNA_hold45_A/DIODE    1.50    1.87   -0.37 (VIOLATED)
+mprj/u_mbist0/hold45/A                  1.50    1.87   -0.37 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[0].RAM8.WORD[6].W.BYTE[0].B.BIT[4].OBUF0/Z    1.52    1.89   -0.37 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[0].RAM8.WORD[4].W.BYTE[0].B.BIT[4].OBUF0/Z    1.52    1.89   -0.37 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[0].RAM32.SLICE[0].RAM8.WORD[2].W.BYTE[0].B.BIT[4].OBUF0/Z    1.52    1.89   -0.37 (VIOLATED)
@@ -12409,6 +11599,7 @@
 soc/core.RAM256/ANTENNA_BANK128[0].RAM128.BLOCK[1].RAM32.Do0_REG.OUTREG_BYTE[0].Do_FF[5]_D/DIODE    1.50    1.87   -0.37 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.Do0_REG.OUTREG_BYTE[0].Do_FF[5]/D    1.50    1.87   -0.37 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[3].RAM8.WORD[3].W.BYTE[2].B.BIT[6].OBUF0/Z    1.52    1.89   -0.37 (VIOLATED)
+mprj/u_sram1_2kb/addr0[2]               0.04    0.41   -0.37 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.BYTE[0].FLOATBUF0[3].cell/Z    1.52    1.89   -0.37 (VIOLATED)
 soc/core.RAM128/BLOCK[2].RAM32.SLICE[2].RAM8.WORD[6].W.BYTE[0].B.BIT[5].OBUF0/Z    1.52    1.89   -0.37 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[0].RAM8.WORD[1].W.BYTE[3].B.BIT[0].OBUF0/Z    1.52    1.89   -0.37 (VIOLATED)
@@ -12566,6 +11757,8 @@
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[2].RAM8.WORD[4].W.BYTE[3].B.BIT[0].OBUF0/Z    1.52    1.88   -0.36 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[3].B.BIT[7].OBUF0/Z    1.52    1.88   -0.36 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[0].B.BIT[4].OBUF0/Z    1.52    1.88   -0.36 (VIOLATED)
+mprj/u_sram1_2kb/addr0[4]               0.04    0.40   -0.36 (VIOLATED)
+mprj/u_sram3_2kb/addr0[8]               0.04    0.40   -0.36 (VIOLATED)
 soc/core.RAM128/BLOCK[2].RAM32.SLICE[0].RAM8.WORD[3].W.BYTE[3].B.BIT[3].OBUF0/Z    1.52    1.88   -0.36 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[3].B.BIT[0].OBUF0/Z    1.52    1.88   -0.36 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[3].B.BIT[0].OBUF0/Z    1.52    1.88   -0.36 (VIOLATED)
@@ -12782,6 +11975,7 @@
 soc/core.RAM128/BLOCK[2].RAM32.SLICE[3].RAM8.WORD[4].W.BYTE[0].B.BIT[1].OBUF0/Z    1.52    1.88   -0.36 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[3].RAM32.SLICE[2].RAM8.WORD[6].W.BYTE[3].B.BIT[6].OBUF0/Z    1.52    1.88   -0.36 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[0].B.BIT[7].OBUF0/Z    1.52    1.88   -0.36 (VIOLATED)
+mprj/u_sram7_2kb/addr0[7]               0.04    0.40   -0.36 (VIOLATED)
 soc/core.RAM128/BLOCK[1].RAM32.SLICE[1].RAM8.WORD[3].W.BYTE[0].B.BIT[5].OBUF0/Z    1.52    1.88   -0.36 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[2].RAM8.WORD[7].W.BYTE[0].B.BIT[7].OBUF0/Z    1.52    1.88   -0.36 (VIOLATED)
 soc/core.RAM128/BLOCK[1].RAM32.SLICE[1].RAM8.WORD[0].W.BYTE[0].B.BIT[5].OBUF0/Z    1.52    1.88   -0.36 (VIOLATED)
@@ -12865,6 +12059,7 @@
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[1].RAM8.WORD[2].W.BYTE[0].B.BIT[6].OBUF0/Z    1.52    1.87   -0.35 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[2].RAM32.SLICE[1].RAM8.WORD[2].W.BYTE[3].B.BIT[2].OBUF0/Z    1.52    1.87   -0.35 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[1].RAM8.WORD[4].W.BYTE[0].B.BIT[6].OBUF0/Z    1.52    1.87   -0.35 (VIOLATED)
+mprj/u_sram1_2kb/addr0[6]               0.04    0.39   -0.35 (VIOLATED)
 soc/core.RAM128/BLOCK[2].RAM32.SLICE[2].RAM8.WORD[5].W.BYTE[0].B.BIT[1].OBUF0/Z    1.52    1.87   -0.35 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[1].RAM8.WORD[7].W.BYTE[0].B.BIT[6].OBUF0/Z    1.52    1.87   -0.35 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[1].RAM8.WORD[5].W.BYTE[0].B.BIT[6].OBUF0/Z    1.52    1.87   -0.35 (VIOLATED)
@@ -12958,6 +12153,7 @@
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[0].RAM32.SLICE[0].RAM8.WORD[0].W.BYTE[3].B.BIT[5].OBUF0/Z    1.52    1.87   -0.35 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[0].B.BIT[5].OBUF0/Z    1.52    1.87   -0.35 (VIOLATED)
 soc/core.RAM128/BLOCK[3].RAM32.SLICE[1].RAM8.WORD[3].W.BYTE[3].B.BIT[7].OBUF0/Z    1.52    1.87   -0.35 (VIOLATED)
+mprj/u_sram3_2kb/addr0[4]               0.04    0.39   -0.35 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[0].W.BYTE[2].B.BIT[1].OBUF0/Z    1.52    1.87   -0.35 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.SLICE[2].RAM8.WORD[7].W.BYTE[2].B.BIT[1].OBUF0/Z    1.52    1.87   -0.35 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[2].RAM8.WORD[4].W.BYTE[0].B.BIT[5].OBUF0/Z    1.52    1.87   -0.35 (VIOLATED)
@@ -14271,7 +13467,7 @@
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[2].RAM8.WORD[6].W.BYTE[3].B.BIT[2].OBUF0/Z    1.52    1.79   -0.27 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[1].RAM8.WORD[5].W.BYTE[3].B.BIT[2].OBUF0/Z    1.52    1.79   -0.27 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[0].RAM8.WORD[5].W.BYTE[0].B.BIT[0].OBUF0/Z    1.52    1.79   -0.27 (VIOLATED)
-mprj/u_sram4_2kb/addr0[0]               0.04    0.31   -0.27 (VIOLATED)
+mprj/u_sram0_2kb/addr0[0]               0.04    0.31   -0.27 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[0].B.BIT[0].OBUF0/Z    1.52    1.79   -0.27 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[0].B.BIT[0].OBUF0/Z    1.52    1.79   -0.27 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[2].RAM8.WORD[2].W.BYTE[3].B.BIT[2].OBUF0/Z    1.52    1.79   -0.27 (VIOLATED)
@@ -14297,7 +13493,6 @@
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[2].W.BYTE[0].B.BIT[0].OBUF0/Z    1.52    1.79   -0.27 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[2].RAM8.WORD[7].W.BYTE[0].B.BIT[0].OBUF0/Z    1.52    1.79   -0.27 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[0].B.BIT[0].OBUF0/Z    1.52    1.79   -0.27 (VIOLATED)
-mprj/u_sram6_2kb/addr0[1]               0.04    0.31   -0.27 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[6].W.BYTE[0].B.BIT[0].OBUF0/Z    1.52    1.79   -0.27 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[0].RAM8.WORD[7].W.BYTE[0].B.BIT[0].OBUF0/Z    1.52    1.79   -0.27 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[2].RAM8.WORD[4].W.BYTE[0].B.BIT[0].OBUF0/Z    1.52    1.79   -0.27 (VIOLATED)
@@ -14307,349 +13502,71 @@
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[0].RAM32.BYTE[3].FLOATBUF0[28].cell/Z    1.52    1.78   -0.26 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.BYTE[3].FLOATBUF0[26].cell/Z    1.52    1.78   -0.26 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[1].RAM8.WORD[4].W.BYTE[0].B.BIT[0].OBUF0/Z    1.52    1.78   -0.26 (VIOLATED)
+mprj/u_sram2_2kb/wmask0[0]              0.04    0.30   -0.26 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[0].RAM8.WORD[6].W.BYTE[0].B.BIT[0].OBUF0/Z    1.52    1.78   -0.26 (VIOLATED)
-mprj/u_wb_host/_3709_/Y                 1.49    1.75   -0.26 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[1].W.BYTE[0].B.BIT[0].OBUF0/Z    1.52    1.78   -0.26 (VIOLATED)
-mprj/u_wb_host/_3790_/A2                1.50    1.76   -0.26 (VIOLATED)
-mprj/u_wb_host/_3788_/B1                1.50    1.76   -0.26 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3788__B1/DIODE    1.50    1.76   -0.26 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3790__A2/DIODE    1.50    1.76   -0.26 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3778__A2/DIODE    1.50    1.76   -0.26 (VIOLATED)
-mprj/u_wb_host/_3778_/A2                1.50    1.76   -0.26 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3777__B1/DIODE    1.50    1.76   -0.26 (VIOLATED)
-mprj/u_wb_host/_3777_/B1                1.50    1.76   -0.26 (VIOLATED)
-mprj/u_wb_host/_3825_/A2                1.50    1.76   -0.26 (VIOLATED)
-mprj/u_wb_host/_3786_/A2                1.50    1.76   -0.26 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3825__A2/DIODE    1.50    1.76   -0.26 (VIOLATED)
-mprj/u_wb_host/_3824_/B1                1.50    1.76   -0.26 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3824__B1/DIODE    1.50    1.76   -0.26 (VIOLATED)
-mprj/u_wb_host/_3765_/A2                1.50    1.76   -0.26 (VIOLATED)
-mprj/u_wb_host/_3781_/B1                1.50    1.76   -0.26 (VIOLATED)
-mprj/u_wb_host/_3782_/A2                1.50    1.76   -0.26 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3786__A2/DIODE    1.50    1.76   -0.26 (VIOLATED)
-mprj/u_wb_host/_3764_/B1                1.50    1.76   -0.26 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3764__B1/DIODE    1.50    1.76   -0.26 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3782__A2/DIODE    1.50    1.76   -0.26 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3765__A2/DIODE    1.50    1.76   -0.26 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3781__B1/DIODE    1.50    1.76   -0.26 (VIOLATED)
-mprj/u_wb_host/_3794_/A2                1.50    1.76   -0.26 (VIOLATED)
-mprj/u_wb_host/_3784_/B1                1.50    1.76   -0.26 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3784__B1/DIODE    1.50    1.76   -0.26 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3794__A2/DIODE    1.50    1.76   -0.26 (VIOLATED)
-mprj/u_wb_host/_3833_/A2                1.50    1.76   -0.26 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3833__A2/DIODE    1.50    1.76   -0.26 (VIOLATED)
-mprj/u_sram0_2kb/addr0[1]               0.04    0.30   -0.26 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3864__A2/DIODE    1.50    1.76   -0.26 (VIOLATED)
-mprj/u_wb_host/_3864_/A2                1.50    1.76   -0.26 (VIOLATED)
-mprj/u_wb_host/_3915_/A2                1.50    1.76   -0.26 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3915__A2/DIODE    1.50    1.76   -0.26 (VIOLATED)
-mprj/u_wb_host/_3714_/A2                1.50    1.76   -0.26 (VIOLATED)
-mprj/u_wb_host/_3728_/B                 1.50    1.76   -0.26 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3714__A2/DIODE    1.50    1.76   -0.26 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3728__B/DIODE    1.50    1.76   -0.26 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3800__A2/DIODE    1.50    1.76   -0.26 (VIOLATED)
-mprj/u_wb_host/_3816_/A2                1.50    1.76   -0.26 (VIOLATED)
-mprj/u_wb_host/_3800_/A2                1.50    1.76   -0.26 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3816__A2/DIODE    1.50    1.76   -0.26 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3815__B1/DIODE    1.50    1.76   -0.26 (VIOLATED)
-mprj/u_wb_host/_3815_/B1                1.50    1.76   -0.26 (VIOLATED)
-mprj/u_wb_host/_3792_/B1                1.50    1.76   -0.26 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3792__B1/DIODE    1.50    1.76   -0.26 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3720__A2/DIODE    1.50    1.76   -0.26 (VIOLATED)
-mprj/u_wb_host/_3720_/A2                1.50    1.76   -0.26 (VIOLATED)
-mprj/u_wb_host/_3862_/B1                1.50    1.76   -0.26 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3862__B1/DIODE    1.50    1.76   -0.26 (VIOLATED)
+mprj/u_sram6_2kb/wmask0[0]              0.04    0.30   -0.26 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.BYTE[0].FLOATBUF0[0].cell/Z    1.52    1.78   -0.26 (VIOLATED)
-mprj/u_wb_host/_3896_/A2                1.50    1.76   -0.26 (VIOLATED)
-mprj/u_wb_host/_3883_/A2                1.50    1.76   -0.26 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3883__A2/DIODE    1.50    1.76   -0.26 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3896__A2/DIODE    1.50    1.76   -0.26 (VIOLATED)
-mprj/u_wb_host/_3808_/A2                1.50    1.76   -0.26 (VIOLATED)
-mprj/u_wb_host/_3807_/B1                1.50    1.76   -0.26 (VIOLATED)
-mprj/u_wb_host/_3894_/B1                1.50    1.76   -0.26 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3894__B1/DIODE    1.50    1.76   -0.26 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3877__B1/DIODE    1.50    1.76   -0.26 (VIOLATED)
-mprj/u_wb_host/_3877_/B1                1.50    1.76   -0.26 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3807__B1/DIODE    1.50    1.76   -0.26 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3808__A2/DIODE    1.50    1.76   -0.26 (VIOLATED)
-mprj/u_wb_host/_3879_/A2                1.50    1.76   -0.26 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3879__A2/DIODE    1.50    1.76   -0.26 (VIOLATED)
-mprj/u_wb_host/_3903_/A2                1.50    1.76   -0.26 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3903__A2/DIODE    1.50    1.76   -0.26 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3890__A2/DIODE    1.50    1.75   -0.25 (VIOLATED)
-mprj/u_wb_host/_3890_/A2                1.50    1.75   -0.25 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3888__B1/DIODE    1.50    1.75   -0.25 (VIOLATED)
-mprj/u_wb_host/_3888_/B1                1.50    1.75   -0.25 (VIOLATED)
-mprj/u_wb_host/_3843_/A2                1.50    1.75   -0.25 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3843__A2/DIODE    1.50    1.75   -0.25 (VIOLATED)
-mprj/u_wb_host/_3842_/B1                1.50    1.75   -0.25 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3842__B1/DIODE    1.50    1.75   -0.25 (VIOLATED)
-mprj/u_wb_host/_3910_/A2                1.50    1.75   -0.25 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3910__A2/DIODE    1.50    1.75   -0.25 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3737__B1/DIODE    1.50    1.75   -0.25 (VIOLATED)
-mprj/u_wb_host/_3737_/B1                1.50    1.75   -0.25 (VIOLATED)
-mprj/u_wb_host/_3738_/A2                1.50    1.75   -0.25 (VIOLATED)
-mprj/u_wb_host/_3855_/A2                1.50    1.75   -0.25 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3738__A2/DIODE    1.50    1.75   -0.25 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3855__A2/DIODE    1.50    1.75   -0.25 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3873__A2/DIODE    1.50    1.75   -0.25 (VIOLATED)
-mprj/u_wb_host/_3873_/A2                1.50    1.75   -0.25 (VIOLATED)
-mprj/u_wb_host/_3871_/B1                1.50    1.75   -0.25 (VIOLATED)
-mprj/u_wb_host/_3920_/B1                1.50    1.75   -0.25 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3920__B1/DIODE    1.50    1.75   -0.25 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3871__B1/DIODE    1.50    1.75   -0.25 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3922__A2/DIODE    1.50    1.75   -0.25 (VIOLATED)
-mprj/u_wb_host/_3922_/A2                1.50    1.75   -0.25 (VIOLATED)
-mprj/u_sram4_2kb/addr0[1]               0.04    0.29   -0.25 (VIOLATED)
-mprj/u_sram6_2kb/wmask0[1]              0.04    0.29   -0.25 (VIOLATED)
+mprj/u_sram4_2kb/wmask0[1]              0.04    0.29   -0.25 (VIOLATED)
 mprj/u_sram2_2kb/wmask0[1]              0.04    0.29   -0.25 (VIOLATED)
-mprj/u_sram6_2kb/addr0[0]               0.04    0.29   -0.25 (VIOLATED)
-mprj/u_sram0_2kb/addr0[0]               0.04    0.29   -0.25 (VIOLATED)
-mprj/u_sram4_2kb/wmask0[1]              0.04    0.28   -0.24 (VIOLATED)
-mprj/u_sram0_2kb/wmask0[1]              0.04    0.28   -0.24 (VIOLATED)
-mprj/u_sram6_2kb/wmask0[3]              0.04    0.28   -0.24 (VIOLATED)
-mprj/u_sram2_2kb/wmask0[3]              0.04    0.28   -0.24 (VIOLATED)
+mprj/u_sram0_2kb/wmask0[0]              0.04    0.29   -0.25 (VIOLATED)
+mprj/u_sram6_2kb/wmask0[3]              0.04    0.29   -0.25 (VIOLATED)
+mprj/u_sram4_2kb/addr0[0]               0.04    0.28   -0.24 (VIOLATED)
+mprj/u_sram0_2kb/addr0[1]               0.04    0.28   -0.24 (VIOLATED)
+mprj/u_sram6_2kb/wmask0[1]              0.04    0.28   -0.24 (VIOLATED)
+mprj/u_sram0_2kb/wmask0[2]              0.04    0.28   -0.24 (VIOLATED)
+mprj/u_sram2_2kb/wmask0[3]              0.04    0.27   -0.23 (VIOLATED)
+mprj/u_sram6_2kb/addr0[1]               0.04    0.27   -0.23 (VIOLATED)
 mprj/u_sram4_2kb/wmask0[0]              0.04    0.27   -0.23 (VIOLATED)
 mprj/u_sram4_2kb/wmask0[3]              0.04    0.27   -0.23 (VIOLATED)
+mprj/u_sram4_2kb/addr0[1]               0.04    0.26   -0.22 (VIOLATED)
 mprj/u_sram0_2kb/wmask0[3]              0.04    0.26   -0.22 (VIOLATED)
-mprj/u_sram3_2kb/addr0[0]               0.04    0.26   -0.22 (VIOLATED)
-mprj/u_sram6_2kb/wmask0[0]              0.04    0.25   -0.21 (VIOLATED)
-mprj/u_sram2_2kb/addr0[0]               0.04    0.25   -0.21 (VIOLATED)
-mprj/u_sram2_2kb/wmask0[0]              0.04    0.25   -0.21 (VIOLATED)
-mprj/u_sram7_2kb/addr0[0]               0.04    0.25   -0.21 (VIOLATED)
-mprj/u_sram5_2kb/addr0[0]               0.04    0.25   -0.21 (VIOLATED)
+mprj/u_sram0_2kb/wmask0[1]              0.04    0.25   -0.21 (VIOLATED)
+mprj/u_sram2_2kb/wmask0[2]              0.04    0.25   -0.21 (VIOLATED)
 mprj/u_sram2_2kb/addr0[1]               0.04    0.25   -0.21 (VIOLATED)
-mprj/u_sram5_2kb/addr0[1]               0.04    0.25   -0.21 (VIOLATED)
-mprj/u_sram6_2kb/wmask0[2]              0.04    0.25   -0.21 (VIOLATED)
-mprj/u_sram4_2kb/wmask0[2]              0.04    0.25   -0.21 (VIOLATED)
-mprj/u_sram3_2kb/addr0[1]               0.04    0.25   -0.21 (VIOLATED)
-mprj/u_sram0_2kb/wmask0[0]              0.04    0.24   -0.20 (VIOLATED)
-mprj/u_sram1_2kb/addr0[0]               0.04    0.24   -0.20 (VIOLATED)
-mprj/u_sram5_2kb/wmask0[0]              0.04    0.24   -0.20 (VIOLATED)
-mprj/u_sram0_2kb/wmask0[2]              0.04    0.24   -0.20 (VIOLATED)
-mprj/u_sram2_2kb/wmask0[2]              0.04    0.23   -0.19 (VIOLATED)
-mprj/u_sram7_2kb/wmask0[1]              0.04    0.23   -0.19 (VIOLATED)
-mprj/u_sram7_2kb/wmask0[2]              0.04    0.23   -0.19 (VIOLATED)
-mprj/u_sram3_2kb/wmask0[2]              0.04    0.23   -0.19 (VIOLATED)
-mprj/u_sram5_2kb/wmask0[2]              0.04    0.23   -0.19 (VIOLATED)
-mprj/u_sram5_2kb/wmask0[1]              0.04    0.23   -0.19 (VIOLATED)
-mprj/u_sram1_2kb/wmask0[1]              0.04    0.22   -0.18 (VIOLATED)
-mprj/u_sram7_2kb/wmask0[0]              0.04    0.22   -0.18 (VIOLATED)
-mprj/u_sram7_2kb/addr0[1]               0.04    0.22   -0.18 (VIOLATED)
-mprj/u_sram3_2kb/wmask0[0]              0.04    0.22   -0.18 (VIOLATED)
-mprj/u_sram3_2kb/wmask0[1]              0.04    0.21   -0.17 (VIOLATED)
-mprj/u_sram1_2kb/addr0[1]               0.04    0.21   -0.17 (VIOLATED)
+mprj/u_sram4_2kb/wmask0[2]              0.04    0.24   -0.20 (VIOLATED)
+mprj/u_sram6_2kb/wmask0[2]              0.04    0.24   -0.20 (VIOLATED)
+mprj/u_wb_host/output135/X              1.51    1.71   -0.20 (VIOLATED)
+mprj/u_sram6_2kb/addr0[0]               0.04    0.24   -0.20 (VIOLATED)
+mprj/u_sram2_2kb/addr0[0]               0.04    0.24   -0.20 (VIOLATED)
+mprj/u_sram3_2kb/addr0[0]               0.04    0.23   -0.19 (VIOLATED)
+mprj/u_sram7_2kb/addr0[0]               0.04    0.23   -0.19 (VIOLATED)
+mprj/u_sram1_2kb/addr0[0]               0.04    0.23   -0.19 (VIOLATED)
+mprj/u_sram5_2kb/addr0[0]               0.04    0.22   -0.18 (VIOLATED)
+mprj/u_pinmux/output70/X                1.51    1.69   -0.18 (VIOLATED)
+mprj/u_sram1_2kb/addr0[1]               0.04    0.22   -0.18 (VIOLATED)
 mprj/u_sram3_2kb/wmask0[3]              0.04    0.21   -0.17 (VIOLATED)
-mprj/u_sram7_2kb/wmask0[3]              0.04    0.21   -0.17 (VIOLATED)
-mprj/u_sram5_2kb/wmask0[3]              0.04    0.20   -0.16 (VIOLATED)
-mprj/u_sram1_2kb/wmask0[3]              0.04    0.20   -0.16 (VIOLATED)
-mprj/u_sram1_2kb/wmask0[2]              0.04    0.20   -0.16 (VIOLATED)
+mprj/u_sram1_2kb/wmask0[1]              0.04    0.21   -0.17 (VIOLATED)
+mprj/u_sram5_2kb/wmask0[1]              0.04    0.21   -0.17 (VIOLATED)
+mprj/u_sram5_2kb/wmask0[3]              0.04    0.21   -0.17 (VIOLATED)
+mprj/u_sram7_2kb/wmask0[1]              0.04    0.21   -0.17 (VIOLATED)
+mprj/u_sram3_2kb/wmask0[1]              0.04    0.21   -0.17 (VIOLATED)
+mprj/u_sram3_2kb/addr0[1]               0.04    0.20   -0.16 (VIOLATED)
+mprj/u_sram7_2kb/addr0[1]               0.04    0.20   -0.16 (VIOLATED)
+mprj/u_sram3_2kb/wmask0[0]              0.04    0.20   -0.16 (VIOLATED)
+mprj/u_sram7_2kb/wmask0[0]              0.04    0.20   -0.16 (VIOLATED)
+mprj/u_sram5_2kb/wmask0[0]              0.04    0.20   -0.16 (VIOLATED)
 mprj/u_sram1_2kb/wmask0[0]              0.04    0.20   -0.16 (VIOLATED)
-mprj/u_wb_host/_2998_/S1                1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3001__S1/DIODE    1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/_3001_/S1                1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/_3007_/S1                1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/_2980_/S1                1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3007__S1/DIODE    1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/ANTENNA__2980__S1/DIODE    1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3004__S1/DIODE    1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/_3004_/S1                1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/_3075_/S1                1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/_3077_/S1                1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3075__S1/DIODE    1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3077__S1/DIODE    1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/ANTENNA__2998__S1/DIODE    1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/_2926_/S1                1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/_2917_/S1                1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/ANTENNA__2917__S1/DIODE    1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/ANTENNA__2926__S1/DIODE    1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/_2914_/S1                1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/ANTENNA__2914__S1/DIODE    1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/_2935_/S1                1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/ANTENNA__2935__S1/DIODE    1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/ANTENNA__2929__S1/DIODE    1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/_2929_/S1                1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/_3079_/S1                1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/_2995_/S1                1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/ANTENNA__2995__S1/DIODE    1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3079__S1/DIODE    1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/ANTENNA__2983__S1/DIODE    1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/_2983_/S1                1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/_3081_/S1                1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3081__S1/DIODE    1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/_2941_/S1                1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/ANTENNA__2941__S1/DIODE    1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/_2950_/S1                1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/ANTENNA__2950__S1/DIODE    1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/_2932_/S1                1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/ANTENNA__2932__S1/DIODE    1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/ANTENNA__2956__S1/DIODE    1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/_2956_/S1                1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/_2944_/S1                1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/ANTENNA__2944__S1/DIODE    1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/_2947_/S1                1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/ANTENNA__2947__S1/DIODE    1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/_3035_/S1                1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/_2953_/S1                1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/ANTENNA__2953__S1/DIODE    1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3035__S1/DIODE    1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/_3047_/S1                1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3047__S1/DIODE    1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/_2938_/S1                1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/ANTENNA__2938__S1/DIODE    1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/_2959_/S1                1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/ANTENNA__2959__S1/DIODE    1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/_2962_/S1                1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/ANTENNA__2962__S1/DIODE    1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/_2965_/S1                1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/ANTENNA__2965__S1/DIODE    1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/_3039_/S1                1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/_3051_/S1                1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3051__S1/DIODE    1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3039__S1/DIODE    1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/_2920_/S1                1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/ANTENNA__2920__S1/DIODE    1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/ANTENNA__2977__S1/DIODE    1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/_2977_/S1                1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3043__S1/DIODE    1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/_3043_/S1                1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3037__S1/DIODE    1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/_3037_/S1                1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/_3049_/S1                1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/_3029_/S1                1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3029__S1/DIODE    1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3049__S1/DIODE    1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/_2974_/S1                1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/ANTENNA__2974__S1/DIODE    1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/_2992_/S1                1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/ANTENNA__2992__S1/DIODE    1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/_3033_/S1                1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3033__S1/DIODE    1.50    1.60   -0.10 (VIOLATED)
-mprj/u_wb_host/_3057_/S1                1.50    1.59   -0.09 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3057__S1/DIODE    1.50    1.59   -0.09 (VIOLATED)
-mprj/u_wb_host/ANTENNA__2923__S1/DIODE    1.50    1.59   -0.09 (VIOLATED)
-mprj/u_wb_host/_2923_/S1                1.50    1.59   -0.09 (VIOLATED)
-mprj/u_wb_host/_3053_/S1                1.50    1.59   -0.09 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3053__S1/DIODE    1.50    1.59   -0.09 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3045__S1/DIODE    1.50    1.59   -0.09 (VIOLATED)
-mprj/u_wb_host/_3045_/S1                1.50    1.59   -0.09 (VIOLATED)
-mprj/u_wb_host/_3041_/S1                1.50    1.59   -0.09 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3041__S1/DIODE    1.50    1.59   -0.09 (VIOLATED)
-mprj/u_wb_host/_2968_/S1                1.50    1.59   -0.09 (VIOLATED)
-mprj/u_wb_host/ANTENNA__2968__S1/DIODE    1.50    1.59   -0.09 (VIOLATED)
-mprj/u_wb_host/_3023_/S1                1.50    1.59   -0.09 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3023__S1/DIODE    1.50    1.59   -0.09 (VIOLATED)
-mprj/u_wb_host/_2971_/S1                1.50    1.59   -0.09 (VIOLATED)
-mprj/u_wb_host/ANTENNA__2971__S1/DIODE    1.50    1.59   -0.09 (VIOLATED)
-mprj/u_wb_host/_3063_/S1                1.50    1.59   -0.09 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3063__S1/DIODE    1.50    1.59   -0.09 (VIOLATED)
-mprj/u_wb_host/_3055_/S1                1.50    1.59   -0.09 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3055__S1/DIODE    1.50    1.59   -0.09 (VIOLATED)
-mprj/u_wb_host/ANTENNA__2986__S1/DIODE    1.50    1.59   -0.09 (VIOLATED)
-mprj/u_wb_host/_2986_/S1                1.50    1.59   -0.09 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3059__S1/DIODE    1.50    1.59   -0.09 (VIOLATED)
-mprj/u_wb_host/_3059_/S1                1.50    1.59   -0.09 (VIOLATED)
-mprj/u_wb_host/_3155_/B1                1.50    1.59   -0.09 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3017__S1/DIODE    1.50    1.59   -0.09 (VIOLATED)
-mprj/u_wb_host/_3017_/S1                1.50    1.59   -0.09 (VIOLATED)
-mprj/u_wb_host/_3015_/S1                1.50    1.59   -0.09 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3015__S1/DIODE    1.50    1.59   -0.09 (VIOLATED)
-mprj/u_wb_host/_3021_/S1                1.50    1.59   -0.09 (VIOLATED)
-mprj/u_wb_host/_3025_/S1                1.50    1.59   -0.09 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3021__S1/DIODE    1.50    1.59   -0.09 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3025__S1/DIODE    1.50    1.59   -0.09 (VIOLATED)
-mprj/u_wb_host/_3013_/S1                1.50    1.59   -0.09 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3013__S1/DIODE    1.50    1.59   -0.09 (VIOLATED)
-mprj/u_wb_host/_3156_/B                 1.50    1.59   -0.09 (VIOLATED)
-mprj/u_wb_host/_3069_/S1                1.50    1.59   -0.09 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3069__S1/DIODE    1.50    1.59   -0.09 (VIOLATED)
-mprj/u_wb_host/_3011_/S1                1.50    1.59   -0.09 (VIOLATED)
-mprj/u_wb_host/_2907_/A                 1.50    1.59   -0.09 (VIOLATED)
-mprj/u_wb_host/ANTENNA__2907__A/DIODE    1.50    1.59   -0.09 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3155__B1/DIODE    1.50    1.59   -0.09 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3011__S1/DIODE    1.50    1.59   -0.09 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3156__B/DIODE    1.50    1.59   -0.09 (VIOLATED)
-mprj/u_wb_host/_3071_/S1                1.50    1.59   -0.09 (VIOLATED)
-mprj/u_wb_host/_3009_/S1                1.50    1.59   -0.09 (VIOLATED)
-mprj/u_wb_host/_3073_/S1                1.50    1.59   -0.09 (VIOLATED)
-mprj/u_wb_host/_3067_/S1                1.50    1.59   -0.09 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3073__S1/DIODE    1.50    1.59   -0.09 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3071__S1/DIODE    1.50    1.59   -0.09 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3061__S1/DIODE    1.50    1.59   -0.09 (VIOLATED)
-mprj/u_wb_host/_3061_/S1                1.50    1.59   -0.09 (VIOLATED)
-mprj/u_wb_host/_3065_/S1                1.50    1.59   -0.09 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3065__S1/DIODE    1.50    1.59   -0.09 (VIOLATED)
-mprj/u_wb_host/_3031_/S1                1.50    1.59   -0.09 (VIOLATED)
-mprj/u_wb_host/_3027_/S1                1.50    1.59   -0.09 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3031__S1/DIODE    1.50    1.59   -0.09 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3027__S1/DIODE    1.50    1.59   -0.09 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3067__S1/DIODE    1.50    1.59   -0.09 (VIOLATED)
-mprj/u_wb_host/ANTENNA__2989__S1/DIODE    1.50    1.59   -0.09 (VIOLATED)
-mprj/u_wb_host/_2989_/S1                1.50    1.59   -0.09 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3009__S1/DIODE    1.50    1.59   -0.09 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3161__B/DIODE    1.50    1.59   -0.09 (VIOLATED)
-mprj/u_wb_host/_2686_/A                 1.50    1.59   -0.09 (VIOLATED)
-mprj/u_wb_host/ANTENNA__2686__A/DIODE    1.50    1.59   -0.09 (VIOLATED)
-mprj/u_wb_host/_3161_/B                 1.50    1.59   -0.09 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3019__S1/DIODE    1.50    1.59   -0.09 (VIOLATED)
-mprj/u_wb_host/_3019_/S1                1.50    1.59   -0.09 (VIOLATED)
-mprj/u_wb_host/ANTENNA__3162__A2/DIODE    1.50    1.59   -0.09 (VIOLATED)
-mprj/u_wb_host/_3162_/A2                1.50    1.59   -0.09 (VIOLATED)
-mprj/u_wb_host/_5495_/Q                 1.51    1.59   -0.09 (VIOLATED)
+mprj/u_sram5_2kb/addr0[1]               0.04    0.20   -0.16 (VIOLATED)
+mprj/u_sram1_2kb/wmask0[3]              0.04    0.20   -0.16 (VIOLATED)
+mprj/u_sram7_2kb/wmask0[3]              0.04    0.19   -0.15 (VIOLATED)
+mprj/u_sram1_2kb/wmask0[2]              0.04    0.18   -0.14 (VIOLATED)
+mprj/u_sram7_2kb/wmask0[2]              0.04    0.18   -0.14 (VIOLATED)
+mprj/u_sram3_2kb/wmask0[2]              0.04    0.18   -0.14 (VIOLATED)
+mprj/u_sram5_2kb/wmask0[2]              0.04    0.17   -0.13 (VIOLATED)
+mprj/u_rp_north/_11_/X                  1.51    1.63   -0.12 (VIOLATED)
+mprj/u_rp_north/_05_/X                  1.51    1.62   -0.11 (VIOLATED)
+mprj/u_rp_north/_02_/X                  1.51    1.59   -0.08 (VIOLATED)
+mprj/u_rp_north/_38_/X                  1.51    1.57   -0.05 (VIOLATED)
+mprj/u_rp_north/wire103/A               1.50    1.54   -0.04 (VIOLATED)
+mprj/u_rp_north/ANTENNA_wire103_A/DIODE    1.50    1.54   -0.04 (VIOLATED)
+mprj/u_rp_north/_35_/X                  1.51    1.54   -0.03 (VIOLATED)
+mprj/u_rp_north/_32_/X                  1.51    1.52   -0.01 (VIOLATED)
 
 max fanout
 
 Pin                                   Limit Fanout  Slack
 ---------------------------------------------------------
-mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X     12    576   -564 (VIOLATED)
-mprj/u_pinmux/input67/X                  12    386   -374 (VIOLATED)
-mprj/u_wb_host/_5494_/Q                  12    152   -140 (VIOLATED)
-mprj/u_wb_host/_5495_/Q                  12    150   -138 (VIOLATED)
-mprj/u_wb_host/_2910_/X                  12    142   -130 (VIOLATED)
-mprj/u_wb_host/_4737_/X                  12    138   -126 (VIOLATED)
-mprj/u_wb_host/_3365_/Y                  12    136   -124 (VIOLATED)
-mprj/u_wb_host/_3299_/Y                  12    122   -110 (VIOLATED)
-mprj/u_wb_host/_3709_/Y                  12     88    -76 (VIOLATED)
-mprj/u_wb_host/_2787_/X                  12     80    -68 (VIOLATED)
-mprj/u_wb_host/_2794_/X                  12     76    -64 (VIOLATED)
-mprj/u_wb_host/max_length355/X           12     76    -64 (VIOLATED)
-mprj/u_wb_host/_4057_/Y                  12     74    -62 (VIOLATED)
-mprj/u_wb_host/max_length360/X           12     74    -62 (VIOLATED)
-mprj/u_wb_host/max_length362/X           12     74    -62 (VIOLATED)
-mprj/u_pinmux/_322_/X                    12     72    -60 (VIOLATED)
-mprj/u_pinmux/_333_/X                    12     72    -60 (VIOLATED)
-mprj/u_pinmux/_370_/X                    12     72    -60 (VIOLATED)
-mprj/u_wb_host/_3135_/Y                  12     72    -60 (VIOLATED)
-mprj/u_wb_host/_2788_/Y                  12     70    -58 (VIOLATED)
-mprj/u_wb_host/_2791_/X                  12     70    -58 (VIOLATED)
-mprj/u_wb_host/max_length357/X           12     70    -58 (VIOLATED)
-mprj/u_wb_host/max_length368/X           12     70    -58 (VIOLATED)
-mprj/u_wb_host/max_length369/X           12     70    -58 (VIOLATED)
-mprj/u_wb_host/wire356/X                 12     70    -58 (VIOLATED)
-mprj/u_wb_host/_2819_/X                  12     68    -56 (VIOLATED)
-mprj/u_wb_host/_2899_/Y                  12     68    -56 (VIOLATED)
-mprj/u_wb_host/_3134_/Y                  12     68    -56 (VIOLATED)
-mprj/u_wb_host/_6527_/Q                  12     68    -56 (VIOLATED)
-mprj/u_wb_host/max_length364/X           12     68    -56 (VIOLATED)
-mprj/u_wb_host/wire363/X                 12     68    -56 (VIOLATED)
-mprj/u_pinmux/_320_/Y                    12     66    -54 (VIOLATED)
-mprj/u_pinmux/_321_/Y                    12     66    -54 (VIOLATED)
-mprj/u_wb_host/_3628_/Y                  12     66    -54 (VIOLATED)
-mprj/u_wb_host/_4082_/Y                  12     66    -54 (VIOLATED)
-mprj/u_wb_host/_4084_/Y                  12     66    -54 (VIOLATED)
-mprj/u_wb_host/_5174_/Y                  12     66    -54 (VIOLATED)
-mprj/u_wb_host/max_length374/X           12     66    -54 (VIOLATED)
-mprj/u_wb_host/_2742_/X                  12     64    -52 (VIOLATED)
-mprj/u_wb_host/_3727_/Y                  12     64    -52 (VIOLATED)
-mprj/u_wb_host/_3924_/X                  12     64    -52 (VIOLATED)
-mprj/u_wb_host/_4160_/Y                  12     64    -52 (VIOLATED)
-mprj/u_wb_host/_4355_/Y                  12     64    -52 (VIOLATED)
-mprj/u_wb_host/_5356_/X                  12     64    -52 (VIOLATED)
-mprj/u_wb_host/_5390_/X                  12     64    -52 (VIOLATED)
-mprj/u_wb_host/_5410_/X                  12     64    -52 (VIOLATED)
-mprj/u_wb_host/max_length359/X           12     64    -52 (VIOLATED)
-mprj/u_wb_host/wire337/X                 12     64    -52 (VIOLATED)
-mprj/u_wb_host/wire341/X                 12     64    -52 (VIOLATED)
 soc/core.RAM128/BLOCK[0].RAM32.DIBUF[0].__cell__/X     12     64    -52 (VIOLATED)
 soc/core.RAM128/BLOCK[0].RAM32.DIBUF[10].__cell__/X     12     64    -52 (VIOLATED)
 soc/core.RAM128/BLOCK[0].RAM32.DIBUF[11].__cell__/X     12     64    -52 (VIOLATED)
@@ -15034,32 +13951,12 @@
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.DIBUF[7].cell/X     12     64    -52 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.DIBUF[8].cell/X     12     64    -52 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.DIBUF[9].cell/X     12     64    -52 (VIOLATED)
-mprj/u_wb_host/_3342_/Y                  12     62    -50 (VIOLATED)
-mprj/u_wb_host/max_length373/X           12     62    -50 (VIOLATED)
-mprj/u_wb_host/_3366_/X                  12     60    -48 (VIOLATED)
-mprj/u_wb_host/max_length367/X           12     60    -48 (VIOLATED)
-mprj/u_wb_host/max_length371/X           12     58    -46 (VIOLATED)
-mprj/u_wb_host/max_length372/X           12     56    -44 (VIOLATED)
-mprj/u_wb_host/max_length376/X           12     54    -42 (VIOLATED)
-mprj/u_wb_host/max_length375/X           12     52    -40 (VIOLATED)
-mprj/u_wb_host/max_length377/X           12     52    -40 (VIOLATED)
-mprj/u_wb_host/_4062_/Y                  12     50    -38 (VIOLATED)
-mprj/u_wb_host/_5053_/X                  12     50    -38 (VIOLATED)
-mprj/u_wb_host/max_length334/X           12     50    -38 (VIOLATED)
-mprj/u_wb_host/max_length361/X           12     50    -38 (VIOLATED)
-mprj/u_wb_host/_4061_/X                  12     48    -36 (VIOLATED)
 soc/clkbuf_leaf_58_core_clk/X            12     48    -36 (VIOLATED)
-mprj/u_wb_host/_6420_/Q                  12     46    -34 (VIOLATED)
-mprj/u_wb_host/wire332/X                 12     46    -34 (VIOLATED)
-mprj/u_wb_host/wire339/X                 12     46    -34 (VIOLATED)
 soc/clkbuf_leaf_137_core_clk/X           12     46    -34 (VIOLATED)
 soc/clkbuf_leaf_178_core_clk/X           12     46    -34 (VIOLATED)
 soc/clkbuf_leaf_36_core_clk/X            12     46    -34 (VIOLATED)
 soc/clkbuf_leaf_395_core_clk/X           12     46    -34 (VIOLATED)
 soc/clkbuf_leaf_51_core_clk/X            12     46    -34 (VIOLATED)
-mprj/u_wb_host/_4058_/X                  12     44    -32 (VIOLATED)
-mprj/u_wb_host/max_length370/X           12     44    -32 (VIOLATED)
-mprj/u_wb_host/wire333/X                 12     44    -32 (VIOLATED)
 soc/clkbuf_leaf_127_core_clk/X           12     44    -32 (VIOLATED)
 soc/clkbuf_leaf_246_core_clk/X           12     44    -32 (VIOLATED)
 soc/clkbuf_leaf_24_core_clk/X            12     44    -32 (VIOLATED)
@@ -15067,9 +13964,6 @@
 soc/clkbuf_leaf_318_core_clk/X           12     44    -32 (VIOLATED)
 soc/clkbuf_leaf_50_core_clk/X            12     44    -32 (VIOLATED)
 soc/clkbuf_leaf_57_core_clk/X            12     44    -32 (VIOLATED)
-mprj/u_wb_host/_4905_/X                  12     42    -30 (VIOLATED)
-mprj/u_wb_host/max_length331/X           12     42    -30 (VIOLATED)
-mprj/u_wb_host/max_length358/X           12     42    -30 (VIOLATED)
 soc/clkbuf_leaf_106_core_clk/X           12     42    -30 (VIOLATED)
 soc/clkbuf_leaf_177_core_clk/X           12     42    -30 (VIOLATED)
 soc/clkbuf_leaf_220_core_clk/X           12     42    -30 (VIOLATED)
@@ -15077,15 +13971,6 @@
 soc/clkbuf_leaf_250_core_clk/X           12     42    -30 (VIOLATED)
 soc/clkbuf_leaf_360_core_clk/X           12     42    -30 (VIOLATED)
 soc/fanout1424/X                         12     41    -29 (VIOLATED)
-mprj/u_pinmux/_323_/X                    12     40    -28 (VIOLATED)
-mprj/u_wb_host/_2766_/X                  12     40    -28 (VIOLATED)
-mprj/u_wb_host/_3300_/X                  12     40    -28 (VIOLATED)
-mprj/u_wb_host/_3343_/X                  12     40    -28 (VIOLATED)
-mprj/u_wb_host/_4906_/Y                  12     40    -28 (VIOLATED)
-mprj/u_wb_host/_5062_/Y                  12     40    -28 (VIOLATED)
-mprj/u_wb_host/hold1/X                   12     40    -28 (VIOLATED)
-mprj/u_wb_host/max_length340/X           12     40    -28 (VIOLATED)
-mprj/u_wb_host/max_length366/X           12     40    -28 (VIOLATED)
 soc/_30413_/Q                            12     40    -28 (VIOLATED)
 soc/_30433_/Q                            12     40    -28 (VIOLATED)
 soc/_30440_/Q                            12     40    -28 (VIOLATED)
@@ -15500,8 +14385,6 @@
 soc/fanout975/X                          12     40    -28 (VIOLATED)
 soc/fanout990/X                          12     40    -28 (VIOLATED)
 soc/fanout993/X                          12     40    -28 (VIOLATED)
-mprj/u_wb_host/_4060_/Y                  12     38    -26 (VIOLATED)
-mprj/u_wb_host/max_length365/X           12     38    -26 (VIOLATED)
 soc/_24390_/X                            12     38    -26 (VIOLATED)
 soc/_26020_/Y                            12     38    -26 (VIOLATED)
 soc/clkbuf_5_18_1_core_clk/X             12     38    -26 (VIOLATED)
@@ -15528,12 +14411,6 @@
 soc/wire1792/X                           12     38    -26 (VIOLATED)
 soc/wire1833/X                           12     38    -26 (VIOLATED)
 soc/wire2305/X                           12     38    -26 (VIOLATED)
-mprj/u_pinmux/load_slew126/X             12     36    -24 (VIOLATED)
-mprj/u_pinmux/wire125/X                  12     36    -24 (VIOLATED)
-mprj/u_wb_host/_4063_/Y                  12     36    -24 (VIOLATED)
-mprj/u_wb_host/max_length335/X           12     36    -24 (VIOLATED)
-mprj/u_wb_host/max_length336/X           12     36    -24 (VIOLATED)
-mprj/u_wb_host/max_length338/X           12     36    -24 (VIOLATED)
 soc/_17488_/X                            12     36    -24 (VIOLATED)
 soc/_29337_/Q                            12     36    -24 (VIOLATED)
 soc/_30414_/Q                            12     36    -24 (VIOLATED)
@@ -15565,10 +14442,7 @@
 soc/wire3454/X                           12     36    -24 (VIOLATED)
 soc/wire3486/X                           12     36    -24 (VIOLATED)
 soc/wire3678/X                           12     36    -24 (VIOLATED)
-mprj/u_pinmux/load_slew128/X             12     34    -22 (VIOLATED)
-mprj/u_wb_host/_4352_/Y                  12     34    -22 (VIOLATED)
-mprj/u_wb_host/_5665_/Q                  12     34    -22 (VIOLATED)
-mprj/u_wb_host/hold2/X                   12     34    -22 (VIOLATED)
+mprj/u_wb_host/clkbuf_3_1__f_wbs_clk_i/X     12     34    -22 (VIOLATED)
 soc/_14395_/X                            12     34    -22 (VIOLATED)
 soc/_17245_/X                            12     34    -22 (VIOLATED)
 soc/_17535_/X                            12     34    -22 (VIOLATED)
@@ -15666,10 +14540,7 @@
 mprj/u_intercon/clkbuf_leaf_7_clk_i/X     12     32    -20 (VIOLATED)
 mprj/u_intercon/clkbuf_leaf_8_clk_i/X     12     32    -20 (VIOLATED)
 mprj/u_intercon/clkbuf_leaf_9_clk_i/X     12     32    -20 (VIOLATED)
-mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X     12     32    -20 (VIOLATED)
-mprj/u_mac_wrap/clkbuf_leaf_14_app_clk/X     12     32    -20 (VIOLATED)
-mprj/u_mac_wrap/clkbuf_leaf_47_app_clk/X     12     32    -20 (VIOLATED)
-mprj/u_mac_wrap/clkbuf_leaf_86_app_clk/X     12     32    -20 (VIOLATED)
+mprj/u_mac_wrap/clkbuf_leaf_33_app_clk/X     12     32    -20 (VIOLATED)
 mprj/u_mbist0/clkbuf_leaf_0_wb_clk_i/X     12     32    -20 (VIOLATED)
 mprj/u_mbist0/clkbuf_leaf_10_wb_clk_i/X     12     32    -20 (VIOLATED)
 mprj/u_mbist0/clkbuf_leaf_11_wb_clk_i/X     12     32    -20 (VIOLATED)
@@ -15710,17 +14581,22 @@
 mprj/u_mbist1/clkbuf_leaf_7_wb_clk_i/X     12     32    -20 (VIOLATED)
 mprj/u_mbist1/clkbuf_leaf_8_wb_clk_i/X     12     32    -20 (VIOLATED)
 mprj/u_mbist1/clkbuf_leaf_9_wb_clk_i/X     12     32    -20 (VIOLATED)
-mprj/u_pinmux/clkbuf_0_mclk/X            12     32    -20 (VIOLATED)
-mprj/u_wb_host/_3562_/X                  12     32    -20 (VIOLATED)
-mprj/u_wb_host/_3648_/Y                  12     32    -20 (VIOLATED)
-mprj/u_wb_host/_4081_/Y                  12     32    -20 (VIOLATED)
-mprj/u_wb_host/_5392_/X                  12     32    -20 (VIOLATED)
-mprj/u_wb_host/_5666_/Q                  12     32    -20 (VIOLATED)
-mprj/u_wb_host/clkbuf_3_1__f_wbs_clk_i/X     12     32    -20 (VIOLATED)
-mprj/u_wb_host/clkbuf_4_9_0_lbist_clk_int/X     12     32    -20 (VIOLATED)
-mprj/u_wb_host/clkbuf_leaf_32_wbm_clk_i/X     12     32    -20 (VIOLATED)
-mprj/u_wb_host/clkbuf_leaf_4_wbm_clk_i/X     12     32    -20 (VIOLATED)
-mprj/u_wb_host/input25/X                 12     32    -20 (VIOLATED)
+mprj/u_wb_host/clkbuf_leaf_0_u_uart2wb.baud_clk_16x/X     12     32    -20 (VIOLATED)
+mprj/u_wb_host/clkbuf_leaf_10_u_uart2wb.baud_clk_16x/X     12     32    -20 (VIOLATED)
+mprj/u_wb_host/clkbuf_leaf_11_u_uart2wb.baud_clk_16x/X     12     32    -20 (VIOLATED)
+mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/X     12     32    -20 (VIOLATED)
+mprj/u_wb_host/clkbuf_leaf_13_u_uart2wb.baud_clk_16x/X     12     32    -20 (VIOLATED)
+mprj/u_wb_host/clkbuf_leaf_14_u_uart2wb.baud_clk_16x/X     12     32    -20 (VIOLATED)
+mprj/u_wb_host/clkbuf_leaf_15_u_uart2wb.baud_clk_16x/X     12     32    -20 (VIOLATED)
+mprj/u_wb_host/clkbuf_leaf_18_u_uart2wb.baud_clk_16x/X     12     32    -20 (VIOLATED)
+mprj/u_wb_host/clkbuf_leaf_18_wbm_clk_i/X     12     32    -20 (VIOLATED)
+mprj/u_wb_host/clkbuf_leaf_3_u_uart2wb.baud_clk_16x/X     12     32    -20 (VIOLATED)
+mprj/u_wb_host/clkbuf_leaf_40_wbm_clk_i/X     12     32    -20 (VIOLATED)
+mprj/u_wb_host/clkbuf_leaf_4_u_uart2wb.baud_clk_16x/X     12     32    -20 (VIOLATED)
+mprj/u_wb_host/clkbuf_leaf_5_u_uart2wb.baud_clk_16x/X     12     32    -20 (VIOLATED)
+mprj/u_wb_host/clkbuf_leaf_6_u_uart2wb.baud_clk_16x/X     12     32    -20 (VIOLATED)
+mprj/u_wb_host/clkbuf_leaf_7_u_uart2wb.baud_clk_16x/X     12     32    -20 (VIOLATED)
+mprj/u_wb_host/clkbuf_leaf_8_u_uart2wb.baud_clk_16x/X     12     32    -20 (VIOLATED)
 soc/_14359_/Y                            12     32    -20 (VIOLATED)
 soc/_14393_/X                            12     32    -20 (VIOLATED)
 soc/_18159_/X                            12     32    -20 (VIOLATED)
@@ -15787,19 +14663,13 @@
 soc/wire3712/X                           12     32    -20 (VIOLATED)
 soc/wire847/X                            12     32    -20 (VIOLATED)
 mprj/u_mac_wrap/clkbuf_3_0_0_app_clk/X     12     30    -18 (VIOLATED)
-mprj/u_mac_wrap/clkbuf_3_2_0_app_clk/X     12     30    -18 (VIOLATED)
-mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X     12     30    -18 (VIOLATED)
+mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X     12     30    -18 (VIOLATED)
+mprj/u_mac_wrap/clkbuf_leaf_53_app_clk/X     12     30    -18 (VIOLATED)
 mprj/u_mbist0/clkbuf_leaf_19_wb_clk_i/X     12     30    -18 (VIOLATED)
-mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X     12     30    -18 (VIOLATED)
 mprj/u_mbist1/clkbuf_leaf_19_wb_clk_i/X     12     30    -18 (VIOLATED)
-mprj/u_pinmux/max_length127/X            12     30    -18 (VIOLATED)
-mprj/u_wb_host/_3561_/Y                  12     30    -18 (VIOLATED)
-mprj/u_wb_host/_3652_/X                  12     30    -18 (VIOLATED)
-mprj/u_wb_host/_4306_/X                  12     30    -18 (VIOLATED)
-mprj/u_wb_host/clkbuf_3_7__f_wbs_clk_i/X     12     30    -18 (VIOLATED)
-mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/X     12     30    -18 (VIOLATED)
-mprj/u_wb_host/clkbuf_4_15_0_lbist_clk_int/X     12     30    -18 (VIOLATED)
-mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/X     12     30    -18 (VIOLATED)
+mprj/u_pinmux/clkbuf_leaf_1_mclk/X       12     30    -18 (VIOLATED)
+mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/X     12     30    -18 (VIOLATED)
+mprj/u_wb_host/clkbuf_leaf_16_u_uart2wb.baud_clk_16x/X     12     30    -18 (VIOLATED)
 soc/_17474_/X                            12     30    -18 (VIOLATED)
 soc/_17487_/Y                            12     30    -18 (VIOLATED)
 soc/_17877_/X                            12     30    -18 (VIOLATED)
@@ -15880,16 +14750,13 @@
 soc/wire749/X                            12     30    -18 (VIOLATED)
 soc/wire706/X                            12     29    -17 (VIOLATED)
 mprj/u_intercon/clkbuf_leaf_28_clk_i/X     12     28    -16 (VIOLATED)
-mprj/u_mac_wrap/clkbuf_4_3_0_phy_tx_clk/X     12     28    -16 (VIOLATED)
+mprj/u_mac_wrap/clkbuf_3_1_0_app_clk/X     12     28    -16 (VIOLATED)
+mprj/u_mac_wrap/clkbuf_3_3_0_app_clk/X     12     28    -16 (VIOLATED)
+mprj/u_mac_wrap/clkbuf_leaf_10_app_clk/X     12     28    -16 (VIOLATED)
 mprj/u_mbist0/clkbuf_3_1__f_u_mbist.mem_no[3].u_mem_sel.mem_clk/X     12     28    -16 (VIOLATED)
 mprj/u_mbist1/clkbuf_3_1__f_u_mbist.mem_no[3].u_mem_sel.mem_clk/X     12     28    -16 (VIOLATED)
-mprj/u_wb_host/_2789_/X                  12     28    -16 (VIOLATED)
-mprj/u_wb_host/_3114_/Y                  12     28    -16 (VIOLATED)
-mprj/u_wb_host/_3650_/Y                  12     28    -16 (VIOLATED)
-mprj/u_wb_host/_5054_/Y                  12     28    -16 (VIOLATED)
-mprj/u_wb_host/_5843_/Q                  12     28    -16 (VIOLATED)
-mprj/u_wb_host/_5883_/Q                  12     28    -16 (VIOLATED)
-mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/X     12     28    -16 (VIOLATED)
+mprj/u_wb_host/clkbuf_4_12_0_lbist_clk_int/X     12     28    -16 (VIOLATED)
+mprj/u_wb_host/clkbuf_leaf_1_u_uart2wb.baud_clk_16x/X     12     28    -16 (VIOLATED)
 soc/_13828_/Y                            12     28    -16 (VIOLATED)
 soc/_17456_/X                            12     28    -16 (VIOLATED)
 soc/_19503_/Y                            12     28    -16 (VIOLATED)
@@ -15959,14 +14826,16 @@
 soc/wire828/X                            12     28    -16 (VIOLATED)
 soc/fanout1421/X                         12     27    -15 (VIOLATED)
 mprj/u_intercon/clkbuf_leaf_23_clk_i/X     12     26    -14 (VIOLATED)
-mprj/u_mac_wrap/clkbuf_3_4_0_app_clk/X     12     26    -14 (VIOLATED)
+mprj/u_mac_wrap/clkbuf_3_2_0_app_clk/X     12     26    -14 (VIOLATED)
 mprj/u_mbist0/clkbuf_3_3__f_u_mbist.mem_no[0].u_mem_sel.mem_clk/X     12     26    -14 (VIOLATED)
 mprj/u_mbist0/clkbuf_leaf_22_wb_clk_i/X     12     26    -14 (VIOLATED)
 mprj/u_mbist1/clkbuf_3_3__f_u_mbist.mem_no[0].u_mem_sel.mem_clk/X     12     26    -14 (VIOLATED)
 mprj/u_mbist1/clkbuf_leaf_22_wb_clk_i/X     12     26    -14 (VIOLATED)
-mprj/u_wb_host/_3947_/Y                  12     26    -14 (VIOLATED)
-mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/X     12     26    -14 (VIOLATED)
+mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/X     12     26    -14 (VIOLATED)
+mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/X     12     26    -14 (VIOLATED)
+mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/X     12     26    -14 (VIOLATED)
 mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/X     12     26    -14 (VIOLATED)
+mprj/u_wb_host/wire2/X                   12     26    -14 (VIOLATED)
 soc/_14481_/X                            12     26    -14 (VIOLATED)
 soc/_18536_/X                            12     26    -14 (VIOLATED)
 soc/_20363_/X                            12     26    -14 (VIOLATED)
@@ -16067,21 +14936,16 @@
 clock_ctrl/fanout28/X                    12     24    -12 (VIOLATED)
 mprj/u_intercon/clkbuf_leaf_22_clk_i/X     12     24    -12 (VIOLATED)
 mprj/u_intercon/clkbuf_leaf_36_clk_i/X     12     24    -12 (VIOLATED)
-mprj/u_mac_wrap/clkbuf_3_1_0_app_clk/X     12     24    -12 (VIOLATED)
-mprj/u_mac_wrap/clkbuf_3_5_0_app_clk/X     12     24    -12 (VIOLATED)
 mprj/u_mac_wrap/clkbuf_3_7_0_app_clk/X     12     24    -12 (VIOLATED)
-mprj/u_mac_wrap/clkbuf_leaf_87_app_clk/X     12     24    -12 (VIOLATED)
-mprj/u_mac_wrap/clkbuf_leaf_88_app_clk/X     12     24    -12 (VIOLATED)
 mprj/u_mbist0/clkbuf_leaf_20_wb_clk_i/X     12     24    -12 (VIOLATED)
 mprj/u_mbist0/clkbuf_leaf_23_wb_clk_i/X     12     24    -12 (VIOLATED)
 mprj/u_mbist1/clkbuf_leaf_20_wb_clk_i/X     12     24    -12 (VIOLATED)
 mprj/u_mbist1/clkbuf_leaf_23_wb_clk_i/X     12     24    -12 (VIOLATED)
-mprj/u_wb_host/_3145_/Y                  12     24    -12 (VIOLATED)
-mprj/u_wb_host/_5754_/Q                  12     24    -12 (VIOLATED)
-mprj/u_wb_host/_6463_/Q                  12     24    -12 (VIOLATED)
+mprj/u_pinmux/clkbuf_leaf_17_mclk/X      12     24    -12 (VIOLATED)
+mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X     12     24    -12 (VIOLATED)
+mprj/u_wb_host/clkbuf_3_0__f_wbs_clk_i/X     12     24    -12 (VIOLATED)
 mprj/u_wb_host/clkbuf_3_2__f_wbs_clk_i/X     12     24    -12 (VIOLATED)
-mprj/u_wb_host/clkbuf_4_12_0_lbist_clk_int/X     12     24    -12 (VIOLATED)
-mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/X     12     24    -12 (VIOLATED)
+mprj/u_wb_host/clkbuf_leaf_8_wbm_clk_i/X     12     24    -12 (VIOLATED)
 pll/ringosc.ibufp01/Y                    12     24    -12 (VIOLATED)
 soc/_14105_/Y                            12     24    -12 (VIOLATED)
 soc/_17450_/X                            12     24    -12 (VIOLATED)
@@ -16244,26 +15108,20 @@
 soc/wire1663/X                           12     23    -11 (VIOLATED)
 housekeeping/fanout359/X                 12     22    -10 (VIOLATED)
 housekeeping/fanout407/X                 12     22    -10 (VIOLATED)
-mprj/u_intercon/clkbuf_3_3_1_clk_i/X     12     22    -10 (VIOLATED)
 mprj/u_intercon/clkbuf_leaf_30_clk_i/X     12     22    -10 (VIOLATED)
 mprj/u_intercon/clkbuf_leaf_31_clk_i/X     12     22    -10 (VIOLATED)
 mprj/u_intercon/clkbuf_leaf_48_clk_i/X     12     22    -10 (VIOLATED)
-mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/X     12     22    -10 (VIOLATED)
-mprj/u_mac_wrap/clkbuf_3_3_0_app_clk/X     12     22    -10 (VIOLATED)
 mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X     12     22    -10 (VIOLATED)
-mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X     12     22    -10 (VIOLATED)
-mprj/u_mbist0/clkbuf_3_7__f_u_mbist.mem_no[1].u_mem_sel.mem_clk/X     12     22    -10 (VIOLATED)
-mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X     12     22    -10 (VIOLATED)
-mprj/u_mbist1/clkbuf_3_7__f_u_mbist.mem_no[1].u_mem_sel.mem_clk/X     12     22    -10 (VIOLATED)
-mprj/u_wb_host/_3115_/X                  12     22    -10 (VIOLATED)
-mprj/u_wb_host/_4598_/X                  12     22    -10 (VIOLATED)
-mprj/u_wb_host/_5475_/Q                  12     22    -10 (VIOLATED)
-mprj/u_wb_host/_5715_/Q                  12     22    -10 (VIOLATED)
-mprj/u_wb_host/_6368_/Q                  12     22    -10 (VIOLATED)
-mprj/u_wb_host/clkbuf_3_0__f_wbs_clk_i/X     12     22    -10 (VIOLATED)
-mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/X     12     22    -10 (VIOLATED)
-mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/X     12     22    -10 (VIOLATED)
-mprj/u_wb_host/clkbuf_leaf_8_wbm_clk_i/X     12     22    -10 (VIOLATED)
+mprj/u_mac_wrap/clkbuf_3_4_0_app_clk/X     12     22    -10 (VIOLATED)
+mprj/u_mac_wrap/clkbuf_3_6_0_app_clk/X     12     22    -10 (VIOLATED)
+mprj/u_mac_wrap/clkbuf_4_3_0_phy_tx_clk/X     12     22    -10 (VIOLATED)
+mprj/u_mac_wrap/clkbuf_4_7_0_phy_tx_clk/X     12     22    -10 (VIOLATED)
+mprj/u_mac_wrap/clkbuf_leaf_20_app_clk/X     12     22    -10 (VIOLATED)
+mprj/u_mbist0/wire21/X                   12     22    -10 (VIOLATED)
+mprj/u_mbist1/wire21/X                   12     22    -10 (VIOLATED)
+mprj/u_pinmux/clkbuf_1_0__f_mclk/X       12     22    -10 (VIOLATED)
+mprj/u_pinmux/clkbuf_1_1__f_mclk/X       12     22    -10 (VIOLATED)
+mprj/u_pinmux/clkbuf_leaf_9_mclk/X       12     22    -10 (VIOLATED)
 soc/_13827_/Y                            12     22    -10 (VIOLATED)
 soc/_14394_/X                            12     22    -10 (VIOLATED)
 soc/_17486_/X                            12     22    -10 (VIOLATED)
@@ -16626,209 +15484,205 @@
 mprj/u_intercon/fanout993/X              12     20     -8 (VIOLATED)
 mprj/u_intercon/fanout996/X              12     20     -8 (VIOLATED)
 mprj/u_intercon/fanout997/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/_04817_/Y                12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/_05117_/Y                12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/_05598_/Y                12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/_05760_/Y                12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/_06710_/X                12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/_08923_/Q                12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/_08961_/Q                12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/_08962_/Q                12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/_09084_/Q                12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/_09423_/Q                12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/_09841_/Q                12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/_05138_/Y                12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/_05653_/Y                12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/_05817_/Y                12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/_06767_/X                12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/_06769_/Y                12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/_09011_/Q                12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/_09012_/Q                12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/_09473_/Q                12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/X     12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/clkbuf_3_5_0_app_clk/X     12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/clkbuf_4_0_0_phy_tx_clk/X     12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/clkbuf_4_6_0_phy_tx_clk/X     12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/clkbuf_leaf_59_app_clk/X     12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/clkbuf_leaf_80_app_clk/X     12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout238/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout240/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout242/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout246/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout239/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout241/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout243/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout245/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout247/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout254/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout255/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout251/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout258/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout260/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout261/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout262/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout263/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout264/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout265/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout267/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout266/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout268/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout269/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout271/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout273/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout275/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout278/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout280/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout282/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout284/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout277/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout279/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout281/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout283/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout285/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout286/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout288/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout290/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout291/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout292/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout294/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout296/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout287/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout289/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout293/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout295/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout297/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout298/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout299/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout301/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout307/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout303/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout305/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout306/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout308/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout309/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout310/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout311/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout312/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout313/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout314/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout315/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout316/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout317/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout319/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout321/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout323/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout322/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout324/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout325/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout326/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout328/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout329/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout330/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout332/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout334/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout333/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout335/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout336/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout337/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout338/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout340/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout341/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout342/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout343/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout344/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout345/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout347/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout348/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout350/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout351/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout353/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout358/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout359/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout361/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout355/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout362/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout363/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout366/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout364/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout365/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout367/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout369/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout371/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout373/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout375/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout374/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout376/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout377/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout379/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout383/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout384/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout386/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout378/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout381/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout385/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout387/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout388/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout389/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout391/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout393/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout395/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout398/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout390/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout392/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout397/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout399/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout400/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout401/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout403/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout404/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout405/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout407/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout409/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout410/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout411/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout413/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout419/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout420/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout421/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout425/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout427/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout422/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout428/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout429/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout430/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout431/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout433/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout434/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout435/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout439/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout447/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout446/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout449/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout450/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout451/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout452/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout454/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout456/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout458/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout459/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout460/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout462/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout463/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout464/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout466/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout468/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout470/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout471/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout472/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout474/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout476/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout478/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout480/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout482/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout483/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout484/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout486/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout487/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout491/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout492/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout496/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout499/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout488/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout495/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout497/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout498/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout500/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout501/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout502/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout504/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout503/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout505/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout506/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout507/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout508/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout509/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout511/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout510/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout512/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout514/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout513/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout515/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout516/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout518/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout519/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout520/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout523/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout522/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout525/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout526/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout528/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout529/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout531/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout532/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout534/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout535/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout537/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout539/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout540/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout541/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout543/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout545/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout546/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout547/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout548/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout549/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout550/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout551/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout553/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout554/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout555/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout557/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout556/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout558/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout560/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout561/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout562/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout565/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout569/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout571/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout566/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout567/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout568/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout570/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout573/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout575/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout577/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout579/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout581/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout583/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout585/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout587/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout588/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout589/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout591/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout593/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout595/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout597/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout599/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout600/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout603/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout606/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout607/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout608/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout609/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout610/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout611/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout612/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout613/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout614/X              12     20     -8 (VIOLATED)
@@ -16850,47 +15704,221 @@
 mprj/u_mac_wrap/fanout634/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout635/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/fanout636/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout664/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout674/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout681/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout685/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout686/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout700/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout702/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout709/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout724/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout736/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout750/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout751/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout760/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout769/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout780/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout781/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout783/X              12     20     -8 (VIOLATED)
-mprj/u_mac_wrap/fanout784/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout639/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout640/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout662/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout675/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout677/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout688/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout695/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout697/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout704/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout719/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout742/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout744/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout763/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout764/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout776/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout777/X              12     20     -8 (VIOLATED)
+mprj/u_mac_wrap/fanout778/X              12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/input59/X                12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/input60/X                12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/input61/X                12     20     -8 (VIOLATED)
 mprj/u_mac_wrap/input62/X                12     20     -8 (VIOLATED)
 mprj/u_mbist0/clkbuf_3_0__f_u_mbist.mem_no[3].u_mem_sel.mem_clk/X     12     20     -8 (VIOLATED)
-mprj/u_mbist0/clkbuf_3_1__f_u_mbist.mem_no[1].u_mem_sel.mem_clk/X     12     20     -8 (VIOLATED)
 mprj/u_mbist0/clkbuf_3_2__f_u_mbist.mem_no[0].u_mem_sel.mem_clk/X     12     20     -8 (VIOLATED)
-mprj/u_mbist0/clkbuf_3_3__f_u_mbist.mem_no[1].u_mem_sel.mem_clk/X     12     20     -8 (VIOLATED)
 mprj/u_mbist0/clkbuf_3_5__f_u_mbist.mem_no[3].u_mem_sel.mem_clk/X     12     20     -8 (VIOLATED)
 mprj/u_mbist1/clkbuf_3_0__f_u_mbist.mem_no[3].u_mem_sel.mem_clk/X     12     20     -8 (VIOLATED)
-mprj/u_mbist1/clkbuf_3_1__f_u_mbist.mem_no[1].u_mem_sel.mem_clk/X     12     20     -8 (VIOLATED)
 mprj/u_mbist1/clkbuf_3_2__f_u_mbist.mem_no[0].u_mem_sel.mem_clk/X     12     20     -8 (VIOLATED)
-mprj/u_mbist1/clkbuf_3_3__f_u_mbist.mem_no[1].u_mem_sel.mem_clk/X     12     20     -8 (VIOLATED)
 mprj/u_mbist1/clkbuf_3_5__f_u_mbist.mem_no[3].u_mem_sel.mem_clk/X     12     20     -8 (VIOLATED)
-mprj/u_wb_host/_2734_/X                  12     20     -8 (VIOLATED)
-mprj/u_wb_host/_2756_/X                  12     20     -8 (VIOLATED)
-mprj/u_wb_host/_3380_/Y                  12     20     -8 (VIOLATED)
-mprj/u_wb_host/_3708_/X                  12     20     -8 (VIOLATED)
-mprj/u_wb_host/_4067_/X                  12     20     -8 (VIOLATED)
-mprj/u_wb_host/_5685_/Q                  12     20     -8 (VIOLATED)
-mprj/u_wb_host/_6443_/Q                  12     20     -8 (VIOLATED)
-mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/X     12     20     -8 (VIOLATED)
+mprj/u_pinmux/fanout123/X                12     20     -8 (VIOLATED)
+mprj/u_pinmux/fanout125/X                12     20     -8 (VIOLATED)
+mprj/u_pinmux/fanout127/X                12     20     -8 (VIOLATED)
+mprj/u_pinmux/fanout129/X                12     20     -8 (VIOLATED)
+mprj/u_pinmux/fanout131/X                12     20     -8 (VIOLATED)
+mprj/u_pinmux/fanout132/X                12     20     -8 (VIOLATED)
+mprj/u_pinmux/fanout133/X                12     20     -8 (VIOLATED)
+mprj/u_pinmux/fanout136/X                12     20     -8 (VIOLATED)
+mprj/u_pinmux/fanout137/X                12     20     -8 (VIOLATED)
+mprj/u_pinmux/fanout138/X                12     20     -8 (VIOLATED)
+mprj/u_pinmux/fanout140/X                12     20     -8 (VIOLATED)
+mprj/u_pinmux/fanout141/X                12     20     -8 (VIOLATED)
+mprj/u_pinmux/fanout142/X                12     20     -8 (VIOLATED)
+mprj/u_pinmux/fanout144/X                12     20     -8 (VIOLATED)
+mprj/u_pinmux/fanout145/X                12     20     -8 (VIOLATED)
+mprj/u_pinmux/fanout146/X                12     20     -8 (VIOLATED)
+mprj/u_pinmux/fanout148/X                12     20     -8 (VIOLATED)
+mprj/u_pinmux/fanout149/X                12     20     -8 (VIOLATED)
+mprj/u_pinmux/fanout150/X                12     20     -8 (VIOLATED)
+mprj/u_pinmux/fanout155/X                12     20     -8 (VIOLATED)
+mprj/u_pinmux/fanout158/X                12     20     -8 (VIOLATED)
+mprj/u_pinmux/fanout188/X                12     20     -8 (VIOLATED)
+mprj/u_wb_host/_2752_/X                  12     20     -8 (VIOLATED)
+mprj/u_wb_host/_3110_/X                  12     20     -8 (VIOLATED)
+mprj/u_wb_host/_3372_/Y                  12     20     -8 (VIOLATED)
+mprj/u_wb_host/_3699_/X                  12     20     -8 (VIOLATED)
+mprj/u_wb_host/_4056_/X                  12     20     -8 (VIOLATED)
+mprj/u_wb_host/_4062_/X                  12     20     -8 (VIOLATED)
+mprj/u_wb_host/_4146_/X                  12     20     -8 (VIOLATED)
+mprj/u_wb_host/_5679_/Q                  12     20     -8 (VIOLATED)
+mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X     12     20     -8 (VIOLATED)
+mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X     12     20     -8 (VIOLATED)
 mprj/u_wb_host/clkbuf_3_6__f_wbs_clk_i/X     12     20     -8 (VIOLATED)
+mprj/u_wb_host/clkbuf_4_5_0_lbist_clk_int/X     12     20     -8 (VIOLATED)
+mprj/u_wb_host/clkbuf_4_7_0_lbist_clk_int/X     12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout332/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout333/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout338/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout340/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout341/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout342/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout344/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout345/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout346/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout348/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout349/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout350/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout351/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout353/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout355/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout356/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout358/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout360/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout361/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout362/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout364/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout366/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout369/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout370/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout376/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout381/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout383/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout384/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout385/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout386/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout387/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout389/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout391/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout392/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout393/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout394/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout396/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout398/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout399/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout401/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout403/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout405/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout412/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout413/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout416/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout417/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout418/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout420/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout424/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout427/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout433/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout435/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout437/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout439/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout443/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout445/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout446/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout447/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout451/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout453/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout454/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout456/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout458/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout460/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout462/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout464/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout466/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout469/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout470/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout473/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout474/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout475/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout476/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout477/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout482/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout484/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout485/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout486/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout487/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout505/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout508/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout510/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout511/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout515/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout534/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout540/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout547/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout549/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout556/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout557/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout558/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout559/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout560/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout564/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout566/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout568/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout572/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout573/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout574/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout575/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout577/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout578/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout580/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout585/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout587/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout590/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout591/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout592/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout595/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout596/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout597/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout601/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout611/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout620/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout625/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout629/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout634/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout638/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout642/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout645/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout647/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout648/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout652/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout654/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout656/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout657/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout659/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout662/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout666/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout669/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout670/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout672/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout674/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout680/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout682/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout683/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout684/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout686/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout688/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout689/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout690/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout693/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout695/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout698/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout699/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout700/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout701/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout703/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout705/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout707/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout708/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout710/X               12     20     -8 (VIOLATED)
+mprj/u_wb_host/fanout721/X               12     20     -8 (VIOLATED)
 soc/_14253_/Y                            12     20     -8 (VIOLATED)
 soc/_16970_/X                            12     20     -8 (VIOLATED)
 soc/_17187_/X                            12     20     -8 (VIOLATED)
@@ -17070,7 +16098,6 @@
 mprj/u_intercon/_1766_/Y                 12     18     -6 (VIOLATED)
 mprj/u_intercon/_1768_/Y                 12     18     -6 (VIOLATED)
 mprj/u_intercon/_1828_/Y                 12     18     -6 (VIOLATED)
-mprj/u_intercon/clkbuf_3_0_1_clk_i/X     12     18     -6 (VIOLATED)
 mprj/u_intercon/clkbuf_3_7_1_clk_i/X     12     18     -6 (VIOLATED)
 mprj/u_intercon/fanout1011/X             12     18     -6 (VIOLATED)
 mprj/u_intercon/fanout1018/X             12     18     -6 (VIOLATED)
@@ -17080,121 +16107,140 @@
 mprj/u_intercon/fanout779/X              12     18     -6 (VIOLATED)
 mprj/u_intercon/fanout858/X              12     18     -6 (VIOLATED)
 mprj/u_intercon/fanout870/X              12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/_04680_/Y                12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/_04828_/Y                12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/_04889_/X                12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/_04966_/Y                12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/_04981_/Y                12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/_04993_/Y                12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/_05007_/Y                12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/_05018_/Y                12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/_04239_/Y                12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/_04852_/Y                12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/_04987_/Y                12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/_05002_/Y                12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/_05015_/Y                12     18     -6 (VIOLATED)
 mprj/u_mac_wrap/_05028_/Y                12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/_05039_/X                12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/_05039_/Y                12     18     -6 (VIOLATED)
 mprj/u_mac_wrap/_05049_/Y                12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/_05059_/Y                12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/_05104_/Y                12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/_05200_/X                12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/_05210_/Y                12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/_05220_/Y                12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/_05230_/Y                12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/_05240_/X                12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/_05250_/Y                12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/_05260_/Y                12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/_05505_/Y                12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/_05515_/Y                12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/_05544_/X                12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/_05554_/Y                12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/_05588_/Y                12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/_05608_/Y                12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/_05618_/Y                12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/_05628_/Y                12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/_05638_/Y                12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/_05690_/Y                12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/_06228_/X                12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/_06379_/Y                12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/_06390_/Y                12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/_06402_/Y                12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/_06413_/Y                12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/_06423_/Y                12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/_06433_/Y                12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/_06443_/X                12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/_06454_/Y                12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/_06464_/Y                12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/_06474_/Y                12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/_06484_/X                12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/_06494_/Y                12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/_06505_/Y                12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/_06531_/Y                12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/_06585_/Y                12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/_06688_/Y                12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/_06699_/X                12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/_07086_/X                12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/_07097_/X                12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/_07122_/Y                12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/_07136_/Y                12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/_07151_/Y                12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/_07167_/X                12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/_07179_/Y                12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/_07251_/Y                12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/_07454_/X                12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/_07464_/Y                12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/_07844_/Y                12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/_07854_/Y                12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/_07865_/Y                12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/_07875_/Y                12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/_07885_/Y                12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/_07895_/Y                12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/_07905_/Y                12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/_07924_/Y                12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/_07934_/Y                12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/_07944_/Y                12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/_08480_/Q                12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/_08958_/Q                12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/_08959_/Q                12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/_09083_/Q                12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/_09086_/Q                12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X     12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/clkbuf_3_6_0_app_clk/X     12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/_05060_/X                12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/_05070_/Y                12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/_05080_/Y                12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/_05125_/Y                12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/_05219_/X                12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/_05229_/Y                12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/_05239_/Y                12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/_05249_/Y                12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/_05259_/X                12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/_05269_/Y                12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/_05279_/Y                12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/_05560_/Y                12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/_05570_/Y                12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/_05599_/X                12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/_05609_/Y                12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/_05643_/Y                12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/_05663_/Y                12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/_05673_/Y                12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/_05683_/Y                12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/_05693_/Y                12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/_05745_/Y                12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/_06284_/X                12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/_06435_/Y                12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/_06446_/Y                12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/_06458_/Y                12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/_06469_/Y                12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/_06479_/Y                12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/_06489_/Y                12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/_06499_/X                12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/_06510_/Y                12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/_06520_/Y                12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/_06530_/Y                12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/_06540_/X                12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/_06550_/Y                12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/_06561_/Y                12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/_06587_/Y                12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/_06643_/Y                12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/_06747_/Y                12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/_06758_/X                12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/_07146_/X                12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/_07157_/X                12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/_07182_/Y                12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/_07196_/Y                12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/_07211_/Y                12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/_07227_/X                12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/_07239_/Y                12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/_07311_/Y                12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/_07514_/X                12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/_07524_/Y                12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/_07907_/Y                12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/_07917_/Y                12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/_07928_/Y                12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/_07938_/Y                12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/_07948_/Y                12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/_07958_/Y                12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/_07968_/Y                12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/_07987_/Y                12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/_07997_/Y                12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/_08007_/Y                12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/_08029_/X                12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/_08530_/Q                12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/_09008_/Q                12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/_09009_/Q                12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/_09134_/Q                12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/_09135_/Q                12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/_09136_/Q                12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/_09139_/Q                12     18     -6 (VIOLATED)
 mprj/u_mac_wrap/clkbuf_3_7_0_phy_rx_clk/X     12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/fanout277/X              12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/fanout337/X              12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/fanout374/X              12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/fanout423/X              12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/fanout464/X              12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/fanout500/X              12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/fanout505/X              12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/fanout515/X              12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/clkbuf_leaf_43_app_clk/X     12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/fanout263/X              12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/fanout294/X              12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/fanout307/X              12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/fanout361/X              12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/fanout368/X              12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/fanout391/X              12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/fanout408/X              12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/fanout469/X              12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/fanout538/X              12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/fanout571/X              12     18     -6 (VIOLATED)
 mprj/u_mac_wrap/fanout616/X              12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/fanout729/X              12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/fanout766/X              12     18     -6 (VIOLATED)
-mprj/u_mac_wrap/fanout778/X              12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/fanout646/X              12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/fanout663/X              12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/fanout670/X              12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/fanout708/X              12     18     -6 (VIOLATED)
+mprj/u_mac_wrap/fanout760/X              12     18     -6 (VIOLATED)
 mprj/u_mbist0/clkbuf_3_1__f_u_mbist.mem_no[0].u_mem_sel.mem_clk/X     12     18     -6 (VIOLATED)
 mprj/u_mbist0/clkbuf_3_3__f_u_mbist.mem_no[3].u_mem_sel.mem_clk/X     12     18     -6 (VIOLATED)
 mprj/u_mbist0/clkbuf_3_7__f_u_mbist.mem_no[0].u_mem_sel.mem_clk/X     12     18     -6 (VIOLATED)
+mprj/u_mbist0/wire7/X                    12     18     -6 (VIOLATED)
 mprj/u_mbist1/clkbuf_3_1__f_u_mbist.mem_no[0].u_mem_sel.mem_clk/X     12     18     -6 (VIOLATED)
 mprj/u_mbist1/clkbuf_3_3__f_u_mbist.mem_no[3].u_mem_sel.mem_clk/X     12     18     -6 (VIOLATED)
 mprj/u_mbist1/clkbuf_3_7__f_u_mbist.mem_no[0].u_mem_sel.mem_clk/X     12     18     -6 (VIOLATED)
-mprj/u_wb_host/_2828_/X                  12     18     -6 (VIOLATED)
-mprj/u_wb_host/_3121_/Y                  12     18     -6 (VIOLATED)
-mprj/u_wb_host/_3122_/X                  12     18     -6 (VIOLATED)
-mprj/u_wb_host/_3353_/Y                  12     18     -6 (VIOLATED)
-mprj/u_wb_host/_4488_/X                  12     18     -6 (VIOLATED)
-mprj/u_wb_host/_4490_/X                  12     18     -6 (VIOLATED)
-mprj/u_wb_host/_4492_/X                  12     18     -6 (VIOLATED)
-mprj/u_wb_host/_4496_/X                  12     18     -6 (VIOLATED)
-mprj/u_wb_host/_4498_/X                  12     18     -6 (VIOLATED)
-mprj/u_wb_host/_4500_/X                  12     18     -6 (VIOLATED)
-mprj/u_wb_host/_4502_/X                  12     18     -6 (VIOLATED)
-mprj/u_wb_host/_5716_/Q                  12     18     -6 (VIOLATED)
-mprj/u_wb_host/_5719_/Q                  12     18     -6 (VIOLATED)
-mprj/u_wb_host/_6444_/Q                  12     18     -6 (VIOLATED)
-mprj/u_wb_host/_6448_/Q                  12     18     -6 (VIOLATED)
-mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X     12     18     -6 (VIOLATED)
-mprj/u_wb_host/clkbuf_4_1_0_lbist_clk_int/X     12     18     -6 (VIOLATED)
-mprj/u_wb_host/clkbuf_4_4_0_lbist_clk_int/X     12     18     -6 (VIOLATED)
-mprj/u_wb_host/clkbuf_4_7_0_lbist_clk_int/X     12     18     -6 (VIOLATED)
-mprj/u_wb_host/hold4/X                   12     18     -6 (VIOLATED)
-mprj/u_wb_host/wire343/X                 12     18     -6 (VIOLATED)
+mprj/u_mbist1/wire7/X                    12     18     -6 (VIOLATED)
+mprj/u_pinmux/fanout128/X                12     18     -6 (VIOLATED)
+mprj/u_pinmux/fanout153/X                12     18     -6 (VIOLATED)
+mprj/u_wb_host/_2824_/X                  12     18     -6 (VIOLATED)
+mprj/u_wb_host/_3116_/Y                  12     18     -6 (VIOLATED)
+mprj/u_wb_host/_3117_/X                  12     18     -6 (VIOLATED)
+mprj/u_wb_host/_3288_/Y                  12     18     -6 (VIOLATED)
+mprj/u_wb_host/_3345_/Y                  12     18     -6 (VIOLATED)
+mprj/u_wb_host/_4483_/X                  12     18     -6 (VIOLATED)
+mprj/u_wb_host/_4485_/X                  12     18     -6 (VIOLATED)
+mprj/u_wb_host/_4489_/X                  12     18     -6 (VIOLATED)
+mprj/u_wb_host/_4491_/X                  12     18     -6 (VIOLATED)
+mprj/u_wb_host/_4493_/X                  12     18     -6 (VIOLATED)
+mprj/u_wb_host/_4497_/X                  12     18     -6 (VIOLATED)
+mprj/u_wb_host/_5676_/Q                  12     18     -6 (VIOLATED)
+mprj/u_wb_host/_5710_/Q                  12     18     -6 (VIOLATED)
+mprj/u_wb_host/_5713_/Q                  12     18     -6 (VIOLATED)
+mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/X     12     18     -6 (VIOLATED)
+mprj/u_wb_host/clkbuf_3_1_0_wbm_clk_i/X     12     18     -6 (VIOLATED)
+mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/X     12     18     -6 (VIOLATED)
+mprj/u_wb_host/clkbuf_4_15_0_lbist_clk_int/X     12     18     -6 (VIOLATED)
+mprj/u_wb_host/fanout343/X               12     18     -6 (VIOLATED)
+mprj/u_wb_host/fanout354/X               12     18     -6 (VIOLATED)
+mprj/u_wb_host/fanout359/X               12     18     -6 (VIOLATED)
+mprj/u_wb_host/fanout432/X               12     18     -6 (VIOLATED)
+mprj/u_wb_host/fanout444/X               12     18     -6 (VIOLATED)
+mprj/u_wb_host/fanout467/X               12     18     -6 (VIOLATED)
+mprj/u_wb_host/fanout507/X               12     18     -6 (VIOLATED)
+mprj/u_wb_host/fanout509/X               12     18     -6 (VIOLATED)
+mprj/u_wb_host/fanout513/X               12     18     -6 (VIOLATED)
+mprj/u_wb_host/fanout542/X               12     18     -6 (VIOLATED)
+mprj/u_wb_host/fanout649/X               12     18     -6 (VIOLATED)
+mprj/u_wb_host/fanout691/X               12     18     -6 (VIOLATED)
+mprj/u_wb_host/wire494/X                 12     18     -6 (VIOLATED)
 soc/_14078_/Y                            12     18     -6 (VIOLATED)
 soc/_14102_/Y                            12     18     -6 (VIOLATED)
 soc/_14264_/X                            12     18     -6 (VIOLATED)
@@ -17374,7 +16420,6 @@
 housekeeping/fanout465/X                 12     17     -5 (VIOLATED)
 housekeeping/fanout475/X                 12     17     -5 (VIOLATED)
 housekeeping/input126/X                  12     17     -5 (VIOLATED)
-mprj/u_pinmux/clkbuf_4_10_0_mclk/X       12     17     -5 (VIOLATED)
 soc/_14104_/Y                            12     17     -5 (VIOLATED)
 soc/_27358_/X                            12     17     -5 (VIOLATED)
 soc/_30479_/Q                            12     17     -5 (VIOLATED)
@@ -17425,109 +16470,164 @@
 mprj/u_intercon/fanout953/X              12     16     -4 (VIOLATED)
 mprj/u_intercon/fanout982/X              12     16     -4 (VIOLATED)
 mprj/u_intercon/fanout998/X              12     16     -4 (VIOLATED)
-mprj/u_mac_wrap/_04890_/Y                12     16     -4 (VIOLATED)
-mprj/u_mac_wrap/_04891_/X                12     16     -4 (VIOLATED)
-mprj/u_mac_wrap/_04892_/X                12     16     -4 (VIOLATED)
-mprj/u_mac_wrap/_04894_/X                12     16     -4 (VIOLATED)
-mprj/u_mac_wrap/_04895_/Y                12     16     -4 (VIOLATED)
-mprj/u_mac_wrap/_04898_/X                12     16     -4 (VIOLATED)
-mprj/u_mac_wrap/_04965_/X                12     16     -4 (VIOLATED)
-mprj/u_mac_wrap/_05271_/X                12     16     -4 (VIOLATED)
-mprj/u_mac_wrap/_06352_/X                12     16     -4 (VIOLATED)
-mprj/u_mac_wrap/_07078_/X                12     16     -4 (VIOLATED)
-mprj/u_mac_wrap/_07087_/Y                12     16     -4 (VIOLATED)
-mprj/u_mac_wrap/_07119_/Y                12     16     -4 (VIOLATED)
-mprj/u_mac_wrap/_07134_/X                12     16     -4 (VIOLATED)
-mprj/u_mac_wrap/_07455_/Y                12     16     -4 (VIOLATED)
-mprj/u_mac_wrap/_07756_/Y                12     16     -4 (VIOLATED)
-mprj/u_mac_wrap/_07967_/X                12     16     -4 (VIOLATED)
-mprj/u_mac_wrap/_08476_/Q                12     16     -4 (VIOLATED)
-mprj/u_mac_wrap/_08477_/Q                12     16     -4 (VIOLATED)
-mprj/u_mac_wrap/_08960_/Q                12     16     -4 (VIOLATED)
-mprj/u_mac_wrap/_09088_/Q                12     16     -4 (VIOLATED)
-mprj/u_mac_wrap/_09766_/Q                12     16     -4 (VIOLATED)
+mprj/u_mac_wrap/_04839_/X                12     16     -4 (VIOLATED)
+mprj/u_mac_wrap/_04913_/Y                12     16     -4 (VIOLATED)
+mprj/u_mac_wrap/_04914_/X                12     16     -4 (VIOLATED)
+mprj/u_mac_wrap/_04915_/X                12     16     -4 (VIOLATED)
+mprj/u_mac_wrap/_04917_/X                12     16     -4 (VIOLATED)
+mprj/u_mac_wrap/_04918_/Y                12     16     -4 (VIOLATED)
+mprj/u_mac_wrap/_04921_/X                12     16     -4 (VIOLATED)
+mprj/u_mac_wrap/_04986_/X                12     16     -4 (VIOLATED)
+mprj/u_mac_wrap/_05150_/X                12     16     -4 (VIOLATED)
+mprj/u_mac_wrap/_05551_/Y                12     16     -4 (VIOLATED)
+mprj/u_mac_wrap/_06019_/Y                12     16     -4 (VIOLATED)
+mprj/u_mac_wrap/_06408_/X                12     16     -4 (VIOLATED)
+mprj/u_mac_wrap/_07138_/X                12     16     -4 (VIOLATED)
+mprj/u_mac_wrap/_07158_/Y                12     16     -4 (VIOLATED)
+mprj/u_mac_wrap/_07179_/Y                12     16     -4 (VIOLATED)
+mprj/u_mac_wrap/_07194_/X                12     16     -4 (VIOLATED)
+mprj/u_mac_wrap/_07515_/Y                12     16     -4 (VIOLATED)
+mprj/u_mac_wrap/_07806_/Y                12     16     -4 (VIOLATED)
+mprj/u_mac_wrap/_07815_/Y                12     16     -4 (VIOLATED)
+mprj/u_mac_wrap/_08526_/Q                12     16     -4 (VIOLATED)
+mprj/u_mac_wrap/_08527_/Q                12     16     -4 (VIOLATED)
+mprj/u_mac_wrap/_08971_/Q                12     16     -4 (VIOLATED)
+mprj/u_mac_wrap/_09010_/Q                12     16     -4 (VIOLATED)
+mprj/u_mac_wrap/_09132_/Q                12     16     -4 (VIOLATED)
+mprj/u_mac_wrap/_09816_/Q                12     16     -4 (VIOLATED)
 mprj/u_mac_wrap/clkbuf_0_mdio_clk/X      12     16     -4 (VIOLATED)
-mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/X     12     16     -4 (VIOLATED)
 mprj/u_mac_wrap/clkbuf_3_1_0_phy_rx_clk/X     12     16     -4 (VIOLATED)
-mprj/u_mac_wrap/clkbuf_leaf_73_app_clk/X     12     16     -4 (VIOLATED)
-mprj/u_mac_wrap/clkbuf_leaf_93_app_clk/X     12     16     -4 (VIOLATED)
-mprj/u_mac_wrap/fanout285/X              12     16     -4 (VIOLATED)
-mprj/u_mac_wrap/fanout322/X              12     16     -4 (VIOLATED)
-mprj/u_mac_wrap/fanout410/X              12     16     -4 (VIOLATED)
+mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/X     12     16     -4 (VIOLATED)
+mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/X     12     16     -4 (VIOLATED)
+mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X     12     16     -4 (VIOLATED)
+mprj/u_mac_wrap/clkbuf_leaf_50_phy_rx_clk/X     12     16     -4 (VIOLATED)
+mprj/u_mac_wrap/clkbuf_leaf_7_app_clk/X     12     16     -4 (VIOLATED)
+mprj/u_mac_wrap/fanout249/X              12     16     -4 (VIOLATED)
+mprj/u_mac_wrap/fanout270/X              12     16     -4 (VIOLATED)
+mprj/u_mac_wrap/fanout316/X              12     16     -4 (VIOLATED)
+mprj/u_mac_wrap/fanout318/X              12     16     -4 (VIOLATED)
+mprj/u_mac_wrap/fanout327/X              12     16     -4 (VIOLATED)
+mprj/u_mac_wrap/fanout346/X              12     16     -4 (VIOLATED)
+mprj/u_mac_wrap/fanout382/X              12     16     -4 (VIOLATED)
+mprj/u_mac_wrap/fanout388/X              12     16     -4 (VIOLATED)
+mprj/u_mac_wrap/fanout398/X              12     16     -4 (VIOLATED)
 mprj/u_mac_wrap/fanout412/X              12     16     -4 (VIOLATED)
-mprj/u_mac_wrap/fanout488/X              12     16     -4 (VIOLATED)
-mprj/u_mac_wrap/fanout556/X              12     16     -4 (VIOLATED)
-mprj/u_mac_wrap/fanout564/X              12     16     -4 (VIOLATED)
+mprj/u_mac_wrap/fanout427/X              12     16     -4 (VIOLATED)
+mprj/u_mac_wrap/fanout459/X              12     16     -4 (VIOLATED)
+mprj/u_mac_wrap/fanout479/X              12     16     -4 (VIOLATED)
+mprj/u_mac_wrap/fanout496/X              12     16     -4 (VIOLATED)
+mprj/u_mac_wrap/fanout524/X              12     16     -4 (VIOLATED)
+mprj/u_mac_wrap/fanout536/X              12     16     -4 (VIOLATED)
+mprj/u_mac_wrap/fanout542/X              12     16     -4 (VIOLATED)
+mprj/u_mac_wrap/fanout547/X              12     16     -4 (VIOLATED)
+mprj/u_mac_wrap/fanout574/X              12     16     -4 (VIOLATED)
+mprj/u_mac_wrap/fanout578/X              12     16     -4 (VIOLATED)
+mprj/u_mac_wrap/fanout590/X              12     16     -4 (VIOLATED)
+mprj/u_mac_wrap/fanout604/X              12     16     -4 (VIOLATED)
+mprj/u_mac_wrap/fanout748/X              12     16     -4 (VIOLATED)
+mprj/u_mac_wrap/fanout765/X              12     16     -4 (VIOLATED)
+mprj/u_mac_wrap/fanout774/X              12     16     -4 (VIOLATED)
+mprj/u_mac_wrap/fanout779/X              12     16     -4 (VIOLATED)
 mprj/u_mac_wrap/input54/X                12     16     -4 (VIOLATED)
 mprj/u_mac_wrap/input55/X                12     16     -4 (VIOLATED)
 mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[0].u_mem_sel.mem_clk/X     12     16     -4 (VIOLATED)
 mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/X     12     16     -4 (VIOLATED)
 mprj/u_mbist0/clkbuf_3_3__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/X     12     16     -4 (VIOLATED)
-mprj/u_mbist0/clkbuf_3_6__f_u_mbist.mem_no[1].u_mem_sel.mem_clk/X     12     16     -4 (VIOLATED)
+mprj/u_mbist0/wire17/X                   12     16     -4 (VIOLATED)
+mprj/u_mbist0/wire18/X                   12     16     -4 (VIOLATED)
+mprj/u_mbist0/wire20/X                   12     16     -4 (VIOLATED)
 mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[0].u_mem_sel.mem_clk/X     12     16     -4 (VIOLATED)
 mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/X     12     16     -4 (VIOLATED)
 mprj/u_mbist1/clkbuf_3_3__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/X     12     16     -4 (VIOLATED)
-mprj/u_mbist1/clkbuf_3_6__f_u_mbist.mem_no[1].u_mem_sel.mem_clk/X     12     16     -4 (VIOLATED)
-mprj/u_pinmux/_324_/X                    12     16     -4 (VIOLATED)
-mprj/u_pinmux/_334_/X                    12     16     -4 (VIOLATED)
-mprj/u_pinmux/_343_/X                    12     16     -4 (VIOLATED)
-mprj/u_pinmux/_352_/X                    12     16     -4 (VIOLATED)
-mprj/u_pinmux/_361_/X                    12     16     -4 (VIOLATED)
-mprj/u_pinmux/_371_/X                    12     16     -4 (VIOLATED)
-mprj/u_pinmux/_381_/X                    12     16     -4 (VIOLATED)
-mprj/u_pinmux/_383_/X                    12     16     -4 (VIOLATED)
-mprj/u_pinmux/_392_/X                    12     16     -4 (VIOLATED)
-mprj/u_pinmux/_401_/X                    12     16     -4 (VIOLATED)
-mprj/u_pinmux/_410_/X                    12     16     -4 (VIOLATED)
-mprj/u_pinmux/_420_/X                    12     16     -4 (VIOLATED)
-mprj/u_pinmux/_438_/X                    12     16     -4 (VIOLATED)
-mprj/u_pinmux/_447_/X                    12     16     -4 (VIOLATED)
-mprj/u_pinmux/_595_/X                    12     16     -4 (VIOLATED)
-mprj/u_pinmux/_604_/X                    12     16     -4 (VIOLATED)
-mprj/u_pinmux/_613_/X                    12     16     -4 (VIOLATED)
-mprj/u_pinmux/_622_/X                    12     16     -4 (VIOLATED)
-mprj/u_pinmux/_631_/X                    12     16     -4 (VIOLATED)
-mprj/u_wb_host/_2807_/X                  12     16     -4 (VIOLATED)
-mprj/u_wb_host/_3389_/Y                  12     16     -4 (VIOLATED)
-mprj/u_wb_host/_4066_/X                  12     16     -4 (VIOLATED)
-mprj/u_wb_host/_4223_/Y                  12     16     -4 (VIOLATED)
-mprj/u_wb_host/_4226_/X                  12     16     -4 (VIOLATED)
-mprj/u_wb_host/_4228_/X                  12     16     -4 (VIOLATED)
-mprj/u_wb_host/_4232_/X                  12     16     -4 (VIOLATED)
-mprj/u_wb_host/_4236_/X                  12     16     -4 (VIOLATED)
-mprj/u_wb_host/_4238_/X                  12     16     -4 (VIOLATED)
-mprj/u_wb_host/_4335_/Y                  12     16     -4 (VIOLATED)
-mprj/u_wb_host/_4469_/X                  12     16     -4 (VIOLATED)
-mprj/u_wb_host/_4471_/X                  12     16     -4 (VIOLATED)
-mprj/u_wb_host/_4473_/X                  12     16     -4 (VIOLATED)
-mprj/u_wb_host/_4475_/X                  12     16     -4 (VIOLATED)
-mprj/u_wb_host/_4477_/X                  12     16     -4 (VIOLATED)
-mprj/u_wb_host/_4479_/X                  12     16     -4 (VIOLATED)
-mprj/u_wb_host/_4481_/X                  12     16     -4 (VIOLATED)
-mprj/u_wb_host/_4483_/X                  12     16     -4 (VIOLATED)
-mprj/u_wb_host/_4487_/Y                  12     16     -4 (VIOLATED)
-mprj/u_wb_host/_4504_/Y                  12     16     -4 (VIOLATED)
-mprj/u_wb_host/_4812_/Y                  12     16     -4 (VIOLATED)
-mprj/u_wb_host/_4821_/Y                  12     16     -4 (VIOLATED)
-mprj/u_wb_host/_4830_/Y                  12     16     -4 (VIOLATED)
-mprj/u_wb_host/_5119_/Y                  12     16     -4 (VIOLATED)
-mprj/u_wb_host/_5682_/Q                  12     16     -4 (VIOLATED)
-mprj/u_wb_host/_5686_/Q                  12     16     -4 (VIOLATED)
-mprj/u_wb_host/_5687_/Q                  12     16     -4 (VIOLATED)
-mprj/u_wb_host/_5720_/Q                  12     16     -4 (VIOLATED)
-mprj/u_wb_host/_5721_/Q                  12     16     -4 (VIOLATED)
-mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/X     12     16     -4 (VIOLATED)
-mprj/u_wb_host/clkbuf_3_1_0_wbm_clk_i/X     12     16     -4 (VIOLATED)
-mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X     12     16     -4 (VIOLATED)
+mprj/u_mbist1/wire17/X                   12     16     -4 (VIOLATED)
+mprj/u_mbist1/wire18/X                   12     16     -4 (VIOLATED)
+mprj/u_mbist1/wire20/X                   12     16     -4 (VIOLATED)
+mprj/u_pinmux/_0466_/X                   12     16     -4 (VIOLATED)
+mprj/u_pinmux/_0475_/X                   12     16     -4 (VIOLATED)
+mprj/u_pinmux/_0485_/X                   12     16     -4 (VIOLATED)
+mprj/u_pinmux/_0494_/X                   12     16     -4 (VIOLATED)
+mprj/u_pinmux/_0503_/X                   12     16     -4 (VIOLATED)
+mprj/u_pinmux/_0512_/X                   12     16     -4 (VIOLATED)
+mprj/u_pinmux/_0522_/X                   12     16     -4 (VIOLATED)
+mprj/u_pinmux/_0532_/X                   12     16     -4 (VIOLATED)
+mprj/u_pinmux/_0534_/X                   12     16     -4 (VIOLATED)
+mprj/u_pinmux/_0544_/X                   12     16     -4 (VIOLATED)
+mprj/u_pinmux/_0553_/X                   12     16     -4 (VIOLATED)
+mprj/u_pinmux/_0562_/X                   12     16     -4 (VIOLATED)
+mprj/u_pinmux/_0571_/X                   12     16     -4 (VIOLATED)
+mprj/u_pinmux/_0581_/X                   12     16     -4 (VIOLATED)
+mprj/u_pinmux/_0590_/X                   12     16     -4 (VIOLATED)
+mprj/u_pinmux/_0599_/X                   12     16     -4 (VIOLATED)
+mprj/u_pinmux/_0608_/X                   12     16     -4 (VIOLATED)
+mprj/u_pinmux/_0799_/X                   12     16     -4 (VIOLATED)
+mprj/u_pinmux/_0808_/X                   12     16     -4 (VIOLATED)
+mprj/u_pinmux/_0817_/X                   12     16     -4 (VIOLATED)
+mprj/u_pinmux/_0826_/X                   12     16     -4 (VIOLATED)
+mprj/u_pinmux/_0835_/X                   12     16     -4 (VIOLATED)
+mprj/u_pinmux/_0844_/X                   12     16     -4 (VIOLATED)
+mprj/u_pinmux/_0853_/X                   12     16     -4 (VIOLATED)
+mprj/u_pinmux/_0900_/Q                   12     16     -4 (VIOLATED)
+mprj/u_pinmux/clkbuf_leaf_15_mclk/X      12     16     -4 (VIOLATED)
+mprj/u_pinmux/clkbuf_leaf_19_mclk/X      12     16     -4 (VIOLATED)
+mprj/u_pinmux/fanout124/X                12     16     -4 (VIOLATED)
+mprj/u_pinmux/fanout157/X                12     16     -4 (VIOLATED)
+mprj/u_wb_host/_2803_/X                  12     16     -4 (VIOLATED)
+mprj/u_wb_host/_2825_/Y                  12     16     -4 (VIOLATED)
+mprj/u_wb_host/_3381_/Y                  12     16     -4 (VIOLATED)
+mprj/u_wb_host/_4055_/X                  12     16     -4 (VIOLATED)
+mprj/u_wb_host/_4212_/Y                  12     16     -4 (VIOLATED)
+mprj/u_wb_host/_4213_/X                  12     16     -4 (VIOLATED)
+mprj/u_wb_host/_4215_/X                  12     16     -4 (VIOLATED)
+mprj/u_wb_host/_4217_/X                  12     16     -4 (VIOLATED)
+mprj/u_wb_host/_4219_/X                  12     16     -4 (VIOLATED)
+mprj/u_wb_host/_4221_/X                  12     16     -4 (VIOLATED)
+mprj/u_wb_host/_4225_/X                  12     16     -4 (VIOLATED)
+mprj/u_wb_host/_4328_/Y                  12     16     -4 (VIOLATED)
+mprj/u_wb_host/_4463_/Y                  12     16     -4 (VIOLATED)
+mprj/u_wb_host/_4464_/X                  12     16     -4 (VIOLATED)
+mprj/u_wb_host/_4466_/X                  12     16     -4 (VIOLATED)
+mprj/u_wb_host/_4468_/X                  12     16     -4 (VIOLATED)
+mprj/u_wb_host/_4470_/X                  12     16     -4 (VIOLATED)
+mprj/u_wb_host/_4472_/X                  12     16     -4 (VIOLATED)
+mprj/u_wb_host/_4474_/X                  12     16     -4 (VIOLATED)
+mprj/u_wb_host/_4476_/X                  12     16     -4 (VIOLATED)
+mprj/u_wb_host/_4478_/X                  12     16     -4 (VIOLATED)
+mprj/u_wb_host/_4482_/Y                  12     16     -4 (VIOLATED)
+mprj/u_wb_host/_4499_/Y                  12     16     -4 (VIOLATED)
+mprj/u_wb_host/_4806_/Y                  12     16     -4 (VIOLATED)
+mprj/u_wb_host/_4824_/Y                  12     16     -4 (VIOLATED)
+mprj/u_wb_host/_4844_/Y                  12     16     -4 (VIOLATED)
+mprj/u_wb_host/_5678_/Q                  12     16     -4 (VIOLATED)
+mprj/u_wb_host/_5680_/Q                  12     16     -4 (VIOLATED)
+mprj/u_wb_host/_5681_/Q                  12     16     -4 (VIOLATED)
+mprj/u_wb_host/_5714_/Q                  12     16     -4 (VIOLATED)
+mprj/u_wb_host/_5715_/Q                  12     16     -4 (VIOLATED)
+mprj/u_wb_host/_6442_/Q                  12     16     -4 (VIOLATED)
+mprj/u_wb_host/clkbuf_1_0_1_u_uart2wb.baud_clk_16x/X     12     16     -4 (VIOLATED)
 mprj/u_wb_host/clkbuf_3_4_0_wbm_clk_i/X     12     16     -4 (VIOLATED)
-mprj/u_wb_host/clkbuf_3_7_0_wbm_clk_i/X     12     16     -4 (VIOLATED)
-mprj/u_wb_host/clkbuf_leaf_36_wbm_clk_i/X     12     16     -4 (VIOLATED)
-mprj/u_wb_host/clkbuf_leaf_54_wbm_clk_i/X     12     16     -4 (VIOLATED)
+mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/X     12     16     -4 (VIOLATED)
+mprj/u_wb_host/clkbuf_leaf_10_wbm_clk_i/X     12     16     -4 (VIOLATED)
+mprj/u_wb_host/clkbuf_leaf_34_wbm_clk_i/X     12     16     -4 (VIOLATED)
+mprj/u_wb_host/clkbuf_leaf_37_wbm_clk_i/X     12     16     -4 (VIOLATED)
+mprj/u_wb_host/clkbuf_leaf_9_u_uart2wb.baud_clk_16x/X     12     16     -4 (VIOLATED)
+mprj/u_wb_host/fanout347/X               12     16     -4 (VIOLATED)
+mprj/u_wb_host/fanout368/X               12     16     -4 (VIOLATED)
+mprj/u_wb_host/fanout422/X               12     16     -4 (VIOLATED)
+mprj/u_wb_host/fanout452/X               12     16     -4 (VIOLATED)
+mprj/u_wb_host/fanout457/X               12     16     -4 (VIOLATED)
+mprj/u_wb_host/fanout461/X               12     16     -4 (VIOLATED)
+mprj/u_wb_host/fanout465/X               12     16     -4 (VIOLATED)
+mprj/u_wb_host/fanout468/X               12     16     -4 (VIOLATED)
+mprj/u_wb_host/fanout514/X               12     16     -4 (VIOLATED)
+mprj/u_wb_host/fanout552/X               12     16     -4 (VIOLATED)
+mprj/u_wb_host/fanout584/X               12     16     -4 (VIOLATED)
+mprj/u_wb_host/fanout706/X               12     16     -4 (VIOLATED)
 mprj/u_wb_host/output136/X               12     16     -4 (VIOLATED)
 mprj/u_wb_host/output139/X               12     16     -4 (VIOLATED)
 mprj/u_wb_host/output152/X               12     16     -4 (VIOLATED)
 mprj/u_wb_host/output162/X               12     16     -4 (VIOLATED)
-mprj/u_wb_host/wire344/X                 12     16     -4 (VIOLATED)
+mprj/u_wb_host/output166/X               12     16     -4 (VIOLATED)
+mprj/u_wb_host/wire495/X                 12     16     -4 (VIOLATED)
 soc/_14258_/Y                            12     16     -4 (VIOLATED)
 soc/_14296_/X                            12     16     -4 (VIOLATED)
 soc/_14307_/X                            12     16     -4 (VIOLATED)
@@ -18389,16 +17489,12 @@
 housekeeping/fanout446/X                 12     15     -3 (VIOLATED)
 housekeeping/fanout454/X                 12     15     -3 (VIOLATED)
 housekeeping/fanout469/X                 12     15     -3 (VIOLATED)
-mprj/u_mac_wrap/clkbuf_leaf_31_phy_rx_clk/X     12     15     -3 (VIOLATED)
-mprj/u_mac_wrap/clkbuf_leaf_90_app_clk/X     12     15     -3 (VIOLATED)
-mprj/u_pinmux/clkbuf_4_7_0_mclk/X        12     15     -3 (VIOLATED)
-mprj/u_pinmux/clkbuf_4_8_0_mclk/X        12     15     -3 (VIOLATED)
-mprj/u_wb_host/clkbuf_leaf_15_wbm_clk_i/X     12     15     -3 (VIOLATED)
-mprj/u_wb_host/clkbuf_leaf_16_wbm_clk_i/X     12     15     -3 (VIOLATED)
-mprj/u_wb_host/clkbuf_leaf_22_wbm_clk_i/X     12     15     -3 (VIOLATED)
-mprj/u_wb_host/clkbuf_leaf_26_wbm_clk_i/X     12     15     -3 (VIOLATED)
-mprj/u_wb_host/clkbuf_leaf_2_wbm_clk_i/X     12     15     -3 (VIOLATED)
-mprj/u_wb_host/clkbuf_leaf_30_wbm_clk_i/X     12     15     -3 (VIOLATED)
+mprj/u_mac_wrap/clkbuf_leaf_75_app_clk/X     12     15     -3 (VIOLATED)
+mprj/u_mac_wrap/clkbuf_leaf_95_app_clk/X     12     15     -3 (VIOLATED)
+mprj/u_wb_host/clkbuf_4_9_0_lbist_clk_int/X     12     15     -3 (VIOLATED)
+mprj/u_wb_host/clkbuf_leaf_33_wbm_clk_i/X     12     15     -3 (VIOLATED)
+mprj/u_wb_host/clkbuf_leaf_35_wbm_clk_i/X     12     15     -3 (VIOLATED)
+mprj/u_wb_host/clkbuf_leaf_5_wbm_clk_i/X     12     15     -3 (VIOLATED)
 soc/_29730_/Q                            12     15     -3 (VIOLATED)
 soc/max_length1638/X                     12     15     -3 (VIOLATED)
 soc/wire1142/X                           12     15     -3 (VIOLATED)
@@ -18449,7 +17545,6 @@
 mprj/u_intercon/fanout1097/X             12     14     -2 (VIOLATED)
 mprj/u_intercon/fanout1109/X             12     14     -2 (VIOLATED)
 mprj/u_intercon/fanout1136/X             12     14     -2 (VIOLATED)
-mprj/u_intercon/fanout1155/X             12     14     -2 (VIOLATED)
 mprj/u_intercon/fanout1156/X             12     14     -2 (VIOLATED)
 mprj/u_intercon/fanout642/X              12     14     -2 (VIOLATED)
 mprj/u_intercon/fanout654/X              12     14     -2 (VIOLATED)
@@ -18458,89 +17553,102 @@
 mprj/u_intercon/fanout785/X              12     14     -2 (VIOLATED)
 mprj/u_intercon/fanout796/X              12     14     -2 (VIOLATED)
 mprj/u_intercon/fanout806/X              12     14     -2 (VIOLATED)
+mprj/u_intercon/fanout811/X              12     14     -2 (VIOLATED)
 mprj/u_intercon/fanout819/X              12     14     -2 (VIOLATED)
 mprj/u_intercon/fanout831/X              12     14     -2 (VIOLATED)
 mprj/u_intercon/fanout862/X              12     14     -2 (VIOLATED)
 mprj/u_intercon/fanout936/X              12     14     -2 (VIOLATED)
 mprj/u_intercon/fanout943/X              12     14     -2 (VIOLATED)
-mprj/u_mac_wrap/_04571_/Y                12     14     -2 (VIOLATED)
-mprj/u_mac_wrap/_04593_/X                12     14     -2 (VIOLATED)
-mprj/u_mac_wrap/_06709_/X                12     14     -2 (VIOLATED)
-mprj/u_mac_wrap/_09845_/Q                12     14     -2 (VIOLATED)
+mprj/u_mac_wrap/_04425_/X                12     14     -2 (VIOLATED)
+mprj/u_mac_wrap/_04912_/X                12     14     -2 (VIOLATED)
+mprj/u_mac_wrap/_09137_/Q                12     14     -2 (VIOLATED)
 mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/X     12     14     -2 (VIOLATED)
-mprj/u_mac_wrap/clkbuf_leaf_24_app_clk/X     12     14     -2 (VIOLATED)
-mprj/u_mac_wrap/clkbuf_leaf_63_app_clk/X     12     14     -2 (VIOLATED)
-mprj/u_mac_wrap/clkbuf_leaf_6_app_clk/X     12     14     -2 (VIOLATED)
-mprj/u_mac_wrap/clkbuf_leaf_84_app_clk/X     12     14     -2 (VIOLATED)
-mprj/u_mac_wrap/clkbuf_leaf_96_app_clk/X     12     14     -2 (VIOLATED)
-mprj/u_mac_wrap/fanout266/X              12     14     -2 (VIOLATED)
-mprj/u_mac_wrap/fanout268/X              12     14     -2 (VIOLATED)
-mprj/u_mac_wrap/fanout274/X              12     14     -2 (VIOLATED)
-mprj/u_mac_wrap/fanout283/X              12     14     -2 (VIOLATED)
-mprj/u_mac_wrap/fanout289/X              12     14     -2 (VIOLATED)
-mprj/u_mac_wrap/fanout314/X              12     14     -2 (VIOLATED)
-mprj/u_mac_wrap/fanout362/X              12     14     -2 (VIOLATED)
-mprj/u_mac_wrap/fanout365/X              12     14     -2 (VIOLATED)
-mprj/u_mac_wrap/fanout368/X              12     14     -2 (VIOLATED)
-mprj/u_mac_wrap/fanout370/X              12     14     -2 (VIOLATED)
-mprj/u_mac_wrap/fanout372/X              12     14     -2 (VIOLATED)
+mprj/u_mac_wrap/clkbuf_leaf_40_phy_rx_clk/X     12     14     -2 (VIOLATED)
+mprj/u_mac_wrap/clkbuf_leaf_51_app_clk/X     12     14     -2 (VIOLATED)
+mprj/u_mac_wrap/clkbuf_leaf_70_app_clk/X     12     14     -2 (VIOLATED)
+mprj/u_mac_wrap/clkbuf_leaf_89_app_clk/X     12     14     -2 (VIOLATED)
+mprj/u_mac_wrap/fanout237/X              12     14     -2 (VIOLATED)
+mprj/u_mac_wrap/fanout278/X              12     14     -2 (VIOLATED)
+mprj/u_mac_wrap/fanout284/X              12     14     -2 (VIOLATED)
+mprj/u_mac_wrap/fanout310/X              12     14     -2 (VIOLATED)
+mprj/u_mac_wrap/fanout379/X              12     14     -2 (VIOLATED)
+mprj/u_mac_wrap/fanout384/X              12     14     -2 (VIOLATED)
 mprj/u_mac_wrap/fanout406/X              12     14     -2 (VIOLATED)
-mprj/u_mac_wrap/fanout424/X              12     14     -2 (VIOLATED)
-mprj/u_mac_wrap/fanout433/X              12     14     -2 (VIOLATED)
-mprj/u_mac_wrap/fanout489/X              12     14     -2 (VIOLATED)
-mprj/u_mac_wrap/fanout517/X              12     14     -2 (VIOLATED)
-mprj/u_mac_wrap/fanout522/X              12     14     -2 (VIOLATED)
-mprj/u_mac_wrap/fanout570/X              12     14     -2 (VIOLATED)
-mprj/u_mac_wrap/fanout576/X              12     14     -2 (VIOLATED)
-mprj/u_mac_wrap/fanout596/X              12     14     -2 (VIOLATED)
+mprj/u_mac_wrap/fanout425/X              12     14     -2 (VIOLATED)
+mprj/u_mac_wrap/fanout455/X              12     14     -2 (VIOLATED)
+mprj/u_mac_wrap/fanout475/X              12     14     -2 (VIOLATED)
+mprj/u_mac_wrap/fanout481/X              12     14     -2 (VIOLATED)
+mprj/u_mac_wrap/fanout504/X              12     14     -2 (VIOLATED)
+mprj/u_mac_wrap/fanout514/X              12     14     -2 (VIOLATED)
+mprj/u_mac_wrap/fanout533/X              12     14     -2 (VIOLATED)
+mprj/u_mac_wrap/fanout569/X              12     14     -2 (VIOLATED)
+mprj/u_mac_wrap/fanout594/X              12     14     -2 (VIOLATED)
+mprj/u_mac_wrap/fanout598/X              12     14     -2 (VIOLATED)
 mprj/u_mac_wrap/fanout623/X              12     14     -2 (VIOLATED)
-mprj/u_mac_wrap/fanout647/X              12     14     -2 (VIOLATED)
-mprj/u_mac_wrap/fanout683/X              12     14     -2 (VIOLATED)
-mprj/u_mac_wrap/fanout701/X              12     14     -2 (VIOLATED)
-mprj/u_mac_wrap/fanout707/X              12     14     -2 (VIOLATED)
-mprj/u_mac_wrap/fanout713/X              12     14     -2 (VIOLATED)
-mprj/u_mac_wrap/fanout746/X              12     14     -2 (VIOLATED)
-mprj/u_mac_wrap/fanout765/X              12     14     -2 (VIOLATED)
+mprj/u_mac_wrap/fanout679/X              12     14     -2 (VIOLATED)
+mprj/u_mac_wrap/fanout680/X              12     14     -2 (VIOLATED)
+mprj/u_mac_wrap/fanout724/X              12     14     -2 (VIOLATED)
+mprj/u_mac_wrap/fanout745/X              12     14     -2 (VIOLATED)
+mprj/u_mac_wrap/fanout755/X              12     14     -2 (VIOLATED)
+mprj/u_mac_wrap/fanout761/X              12     14     -2 (VIOLATED)
+mprj/u_mac_wrap/fanout773/X              12     14     -2 (VIOLATED)
 mprj/u_mac_wrap/input2/X                 12     14     -2 (VIOLATED)
 mprj/u_mac_wrap/input52/X                12     14     -2 (VIOLATED)
 mprj/u_mac_wrap/input53/X                12     14     -2 (VIOLATED)
+mprj/u_mac_wrap/max_length6/X            12     14     -2 (VIOLATED)
 mprj/u_mbist0/clkbuf_3_0__f_u_mbist.mem_no[0].u_mem_sel.mem_clk/X     12     14     -2 (VIOLATED)
-mprj/u_mbist0/clkbuf_3_0__f_u_mbist.mem_no[1].u_mem_sel.mem_clk/X     12     14     -2 (VIOLATED)
 mprj/u_mbist0/clkbuf_3_2__f_u_mbist.mem_no[1].u_mem_sel.mem_clk/X     12     14     -2 (VIOLATED)
 mprj/u_mbist0/clkbuf_3_4__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/X     12     14     -2 (VIOLATED)
 mprj/u_mbist0/clkbuf_3_4__f_u_mbist.mem_no[3].u_mem_sel.mem_clk/X     12     14     -2 (VIOLATED)
-mprj/u_mbist0/clkbuf_3_5__f_u_mbist.mem_no[1].u_mem_sel.mem_clk/X     12     14     -2 (VIOLATED)
 mprj/u_mbist0/clkbuf_3_6__f_u_mbist.mem_no[0].u_mem_sel.mem_clk/X     12     14     -2 (VIOLATED)
 mprj/u_mbist0/clkbuf_leaf_25_wb_clk_i/X     12     14     -2 (VIOLATED)
+mprj/u_mbist0/wire16/X                   12     14     -2 (VIOLATED)
+mprj/u_mbist0/wire19/X                   12     14     -2 (VIOLATED)
 mprj/u_mbist1/clkbuf_3_0__f_u_mbist.mem_no[0].u_mem_sel.mem_clk/X     12     14     -2 (VIOLATED)
-mprj/u_mbist1/clkbuf_3_0__f_u_mbist.mem_no[1].u_mem_sel.mem_clk/X     12     14     -2 (VIOLATED)
 mprj/u_mbist1/clkbuf_3_2__f_u_mbist.mem_no[1].u_mem_sel.mem_clk/X     12     14     -2 (VIOLATED)
 mprj/u_mbist1/clkbuf_3_4__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/X     12     14     -2 (VIOLATED)
 mprj/u_mbist1/clkbuf_3_4__f_u_mbist.mem_no[3].u_mem_sel.mem_clk/X     12     14     -2 (VIOLATED)
-mprj/u_mbist1/clkbuf_3_5__f_u_mbist.mem_no[1].u_mem_sel.mem_clk/X     12     14     -2 (VIOLATED)
 mprj/u_mbist1/clkbuf_3_6__f_u_mbist.mem_no[0].u_mem_sel.mem_clk/X     12     14     -2 (VIOLATED)
 mprj/u_mbist1/clkbuf_leaf_25_wb_clk_i/X     12     14     -2 (VIOLATED)
-mprj/u_pinmux/clkbuf_4_11_0_mclk/X       12     14     -2 (VIOLATED)
-mprj/u_wb_host/_2810_/X                  12     14     -2 (VIOLATED)
-mprj/u_wb_host/_2829_/Y                  12     14     -2 (VIOLATED)
-mprj/u_wb_host/_3151_/Y                  12     14     -2 (VIOLATED)
-mprj/u_wb_host/_3301_/Y                  12     14     -2 (VIOLATED)
-mprj/u_wb_host/_3395_/Y                  12     14     -2 (VIOLATED)
-mprj/u_wb_host/_3396_/Y                  12     14     -2 (VIOLATED)
-mprj/u_wb_host/_5013_/X                  12     14     -2 (VIOLATED)
+mprj/u_mbist1/wire16/X                   12     14     -2 (VIOLATED)
+mprj/u_mbist1/wire19/X                   12     14     -2 (VIOLATED)
+mprj/u_pinmux/fanout154/X                12     14     -2 (VIOLATED)
+mprj/u_pinmux/fanout162/X                12     14     -2 (VIOLATED)
+mprj/u_wb_host/_2806_/X                  12     14     -2 (VIOLATED)
+mprj/u_wb_host/_3342_/X                  12     14     -2 (VIOLATED)
+mprj/u_wb_host/_3387_/Y                  12     14     -2 (VIOLATED)
+mprj/u_wb_host/_3388_/Y                  12     14     -2 (VIOLATED)
+mprj/u_wb_host/_3734_/Y                  12     14     -2 (VIOLATED)
+mprj/u_wb_host/_3932_/X                  12     14     -2 (VIOLATED)
+mprj/u_wb_host/_5003_/X                  12     14     -2 (VIOLATED)
+mprj/u_wb_host/_5114_/Y                  12     14     -2 (VIOLATED)
+mprj/u_wb_host/_5677_/Q                  12     14     -2 (VIOLATED)
 mprj/u_wb_host/_5683_/Q                  12     14     -2 (VIOLATED)
-mprj/u_wb_host/_5684_/Q                  12     14     -2 (VIOLATED)
-mprj/u_wb_host/_5689_/Q                  12     14     -2 (VIOLATED)
-mprj/u_wb_host/_5717_/Q                  12     14     -2 (VIOLATED)
-mprj/u_wb_host/_6276_/Q                  12     14     -2 (VIOLATED)
-mprj/u_wb_host/_6445_/Q                  12     14     -2 (VIOLATED)
-mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/X     12     14     -2 (VIOLATED)
-mprj/u_wb_host/clkbuf_leaf_23_wbm_clk_i/X     12     14     -2 (VIOLATED)
-mprj/u_wb_host/clkbuf_leaf_52_wbm_clk_i/X     12     14     -2 (VIOLATED)
-mprj/u_wb_host/clkbuf_leaf_57_wbm_clk_i/X     12     14     -2 (VIOLATED)
-mprj/u_wb_host/wire346/X                 12     14     -2 (VIOLATED)
-mprj/u_wb_host/wire350/X                 12     14     -2 (VIOLATED)
-mprj/u_wb_host/wire353/X                 12     14     -2 (VIOLATED)
+mprj/u_wb_host/_5711_/Q                  12     14     -2 (VIOLATED)
+mprj/u_wb_host/clkbuf_3_4__f_wbs_clk_i/X     12     14     -2 (VIOLATED)
+mprj/u_wb_host/clkbuf_3_7_0_wbm_clk_i/X     12     14     -2 (VIOLATED)
+mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/X     12     14     -2 (VIOLATED)
+mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/X     12     14     -2 (VIOLATED)
+mprj/u_wb_host/clkbuf_leaf_2_wbm_clk_i/X     12     14     -2 (VIOLATED)
+mprj/u_wb_host/fanout337/X               12     14     -2 (VIOLATED)
+mprj/u_wb_host/fanout390/X               12     14     -2 (VIOLATED)
+mprj/u_wb_host/fanout397/X               12     14     -2 (VIOLATED)
+mprj/u_wb_host/fanout428/X               12     14     -2 (VIOLATED)
+mprj/u_wb_host/fanout434/X               12     14     -2 (VIOLATED)
+mprj/u_wb_host/fanout438/X               12     14     -2 (VIOLATED)
+mprj/u_wb_host/fanout440/X               12     14     -2 (VIOLATED)
+mprj/u_wb_host/fanout448/X               12     14     -2 (VIOLATED)
+mprj/u_wb_host/fanout506/X               12     14     -2 (VIOLATED)
+mprj/u_wb_host/fanout593/X               12     14     -2 (VIOLATED)
+mprj/u_wb_host/fanout613/X               12     14     -2 (VIOLATED)
+mprj/u_wb_host/fanout653/X               12     14     -2 (VIOLATED)
+mprj/u_wb_host/fanout655/X               12     14     -2 (VIOLATED)
+mprj/u_wb_host/fanout663/X               12     14     -2 (VIOLATED)
+mprj/u_wb_host/fanout696/X               12     14     -2 (VIOLATED)
+mprj/u_wb_host/fanout704/X               12     14     -2 (VIOLATED)
+mprj/u_wb_host/fanout709/X               12     14     -2 (VIOLATED)
+mprj/u_wb_host/wire502/X                 12     14     -2 (VIOLATED)
+mprj/u_wb_host/wire504/X                 12     14     -2 (VIOLATED)
 pll/_390_/X                              12     14     -2 (VIOLATED)
 soc/_13830_/Y                            12     14     -2 (VIOLATED)
 soc/_13831_/Y                            12     14     -2 (VIOLATED)
@@ -18883,17 +17991,21 @@
 housekeeping/hold42/X                    12     13        (VIOLATED)
 housekeeping/hold666/X                   12     13        (VIOLATED)
 housekeeping/max_cap362/X                12     13        (VIOLATED)
-mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X     12     13        (VIOLATED)
-mprj/u_mac_wrap/clkbuf_leaf_4_app_clk/X     12     13        (VIOLATED)
-mprj/u_mac_wrap/clkbuf_leaf_4_phy_rx_clk/X     12     13        (VIOLATED)
+mprj/u_mac_wrap/clkbuf_leaf_13_app_clk/X     12     13        (VIOLATED)
+mprj/u_mac_wrap/clkbuf_leaf_29_app_clk/X     12     13        (VIOLATED)
+mprj/u_mac_wrap/clkbuf_leaf_45_phy_rx_clk/X     12     13        (VIOLATED)
+mprj/u_mac_wrap/clkbuf_leaf_47_app_clk/X     12     13        (VIOLATED)
 mprj/u_mac_wrap/clkbuf_leaf_53_phy_rx_clk/X     12     13        (VIOLATED)
-mprj/u_mac_wrap/clkbuf_leaf_55_phy_rx_clk/X     12     13        (VIOLATED)
-mprj/u_mac_wrap/clkbuf_leaf_8_app_clk/X     12     13        (VIOLATED)
-mprj/u_pinmux/clkbuf_4_6_0_mclk/X        12     13        (VIOLATED)
-mprj/u_wb_host/clkbuf_leaf_11_wbm_clk_i/X     12     13        (VIOLATED)
-mprj/u_wb_host/clkbuf_leaf_13_wbm_clk_i/X     12     13        (VIOLATED)
-mprj/u_wb_host/clkbuf_leaf_18_wbm_clk_i/X     12     13        (VIOLATED)
+mprj/u_mac_wrap/clkbuf_leaf_57_app_clk/X     12     13        (VIOLATED)
+mprj/u_mac_wrap/clkbuf_leaf_5_app_clk/X     12     13        (VIOLATED)
+mprj/u_mac_wrap/clkbuf_leaf_6_app_clk/X     12     13        (VIOLATED)
+mprj/u_mac_wrap/clkbuf_leaf_92_app_clk/X     12     13        (VIOLATED)
+mprj/u_mac_wrap/clkbuf_leaf_93_app_clk/X     12     13        (VIOLATED)
+mprj/u_pinmux/clkbuf_leaf_0_mclk/X       12     13        (VIOLATED)
+mprj/u_wb_host/clkbuf_leaf_12_wbm_clk_i/X     12     13        (VIOLATED)
+mprj/u_wb_host/clkbuf_leaf_15_wbm_clk_i/X     12     13        (VIOLATED)
 mprj/u_wb_host/clkbuf_leaf_27_wbm_clk_i/X     12     13        (VIOLATED)
+mprj/u_wb_host/clkbuf_leaf_56_wbm_clk_i/X     12     13        (VIOLATED)
 soc/_19597_/Y                            12     13        (VIOLATED)
 soc/clkbuf_leaf_227_core_clk/X           12     13        (VIOLATED)
 soc/wire1326/X                           12     13        (VIOLATED)
@@ -18909,8 +18021,6 @@
 
 Pin                                    Limit     Cap   Slack
 ------------------------------------------------------------
-mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X    0.93    1.41   -0.48 (VIOLATED)
-mprj/u_wb_host/_5494_/Q                 0.55    0.70   -0.15 (VIOLATED)
 soc/core.RAM128/BLOCK[1].RAM32.BYTE[3].FLOATBUF0[26].__cell__/Z    0.22    0.37   -0.15 (VIOLATED)
 soc/core.RAM128/BLOCK[1].RAM32.SLICE[0].RAM8.WORD[0].W.BYTE[3].B.BIT[2].OBUF0/Z    0.22    0.37   -0.15 (VIOLATED)
 soc/core.RAM128/BLOCK[1].RAM32.SLICE[0].RAM8.WORD[1].W.BYTE[3].B.BIT[2].OBUF0/Z    0.22    0.37   -0.15 (VIOLATED)
@@ -19571,7 +18681,6 @@
 soc/core.RAM128/BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[1].B.BIT[3].OBUF0/Z    0.22    0.33   -0.11 (VIOLATED)
 soc/core.RAM128/BLOCK[0].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[1].B.BIT[3].OBUF0/Z    0.22    0.33   -0.11 (VIOLATED)
 soc/core.RAM128/BLOCK[0].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[1].B.BIT[3].OBUF0/Z    0.22    0.33   -0.11 (VIOLATED)
-mprj/u_wb_host/output135/X              0.32    0.43   -0.11 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.BYTE[1].FLOATBUF0[8].cell/Z    0.22    0.33   -0.11 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[0].RAM8.WORD[0].W.BYTE[1].B.BIT[0].OBUF0/Z    0.22    0.33   -0.11 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[0].RAM8.WORD[1].W.BYTE[1].B.BIT[0].OBUF0/Z    0.22    0.33   -0.11 (VIOLATED)
@@ -21915,7 +21024,6 @@
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[1].B.BIT[6].OBUF0/Z    0.22    0.32   -0.10 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[1].B.BIT[6].OBUF0/Z    0.22    0.32   -0.10 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[1].B.BIT[6].OBUF0/Z    0.22    0.32   -0.10 (VIOLATED)
-mprj/u_wb_host/_4057_/Y                 0.22    0.32   -0.10 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.BYTE[2].FLOATBUF0[20].cell/Z    0.22    0.32   -0.10 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[0].RAM8.WORD[0].W.BYTE[2].B.BIT[4].OBUF0/Z    0.22    0.32   -0.10 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[0].RAM8.WORD[1].W.BYTE[2].B.BIT[4].OBUF0/Z    0.22    0.32   -0.10 (VIOLATED)
@@ -31519,7 +30627,7 @@
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[3].B.BIT[4].OBUF0/Z    0.22    0.27   -0.05 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[3].B.BIT[4].OBUF0/Z    0.22    0.27   -0.05 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[0].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[3].B.BIT[4].OBUF0/Z    0.22    0.27   -0.05 (VIOLATED)
-mprj/u_wb_host/_3709_/Y                 0.22    0.27   -0.05 (VIOLATED)
+mprj/u_wb_host/output135/X              0.32    0.36   -0.05 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.BYTE[3].FLOATBUF0[26].cell/Z    0.22    0.26   -0.05 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[0].RAM8.WORD[0].W.BYTE[3].B.BIT[2].OBUF0/Z    0.22    0.26   -0.05 (VIOLATED)
 soc/core.RAM256/BANK128[1].RAM128.BLOCK[3].RAM32.SLICE[0].RAM8.WORD[1].W.BYTE[3].B.BIT[2].OBUF0/Z    0.22    0.26   -0.05 (VIOLATED)
@@ -31586,157 +30694,160 @@
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[5].W.BYTE[0].B.BIT[0].OBUF0/Z    0.22    0.26   -0.04 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[6].W.BYTE[0].B.BIT[0].OBUF0/Z    0.22    0.26   -0.04 (VIOLATED)
 soc/core.RAM256/BANK128[0].RAM128.BLOCK[1].RAM32.SLICE[3].RAM8.WORD[7].W.BYTE[0].B.BIT[0].OBUF0/Z    0.22    0.26   -0.04 (VIOLATED)
-mprj/u_wb_host/_5495_/Q                 0.55    0.58   -0.03 (VIOLATED)
-mprj/u_sram0_2kb/dout0[0]               0.03    0.05   -0.03 (VIOLATED)
-mprj/u_sram6_2kb/dout0[2]               0.03    0.05   -0.02 (VIOLATED)
-mprj/u_sram1_2kb/dout0[31]              0.03    0.05   -0.02 (VIOLATED)
-mprj/u_sram4_2kb/dout0[0]               0.03    0.05   -0.02 (VIOLATED)
-mprj/u_sram6_2kb/dout0[4]               0.03    0.05   -0.02 (VIOLATED)
-mprj/u_sram6_2kb/dout0[3]               0.03    0.05   -0.02 (VIOLATED)
-mprj/u_sram7_2kb/dout0[31]              0.03    0.05   -0.02 (VIOLATED)
-mprj/u_sram2_2kb/dout0[4]               0.03    0.05   -0.02 (VIOLATED)
+mprj/u_pinmux/output70/X                0.32    0.35   -0.04 (VIOLATED)
+mprj/u_sram4_2kb/dout0[0]               0.03    0.05   -0.03 (VIOLATED)
+mprj/u_rp_north/_11_/X                  0.32    0.34   -0.02 (VIOLATED)
+mprj/u_rp_north/_05_/X                  0.32    0.34   -0.02 (VIOLATED)
+mprj/u_sram0_2kb/dout0[0]               0.03    0.05   -0.02 (VIOLATED)
+mprj/u_sram7_2kb/dout0[29]              0.03    0.05   -0.02 (VIOLATED)
 mprj/u_sram6_2kb/dout0[0]               0.03    0.05   -0.02 (VIOLATED)
-mprj/u_sram0_2kb/dout0[4]               0.03    0.04   -0.02 (VIOLATED)
-mprj/u_sram4_2kb/dout0[3]               0.03    0.04   -0.02 (VIOLATED)
-mprj/u_sram3_2kb/dout0[30]              0.03    0.04   -0.02 (VIOLATED)
-mprj/u_sram0_2kb/dout0[3]               0.03    0.04   -0.02 (VIOLATED)
-mprj/u_sram7_2kb/dout0[30]              0.03    0.04   -0.02 (VIOLATED)
-mprj/u_sram7_2kb/dout0[29]              0.03    0.04   -0.02 (VIOLATED)
-mprj/u_sram2_2kb/dout0[2]               0.03    0.04   -0.02 (VIOLATED)
-mprj/u_sram4_2kb/dout0[2]               0.03    0.04   -0.02 (VIOLATED)
-mprj/u_sram0_2kb/dout0[2]               0.03    0.04   -0.02 (VIOLATED)
+mprj/u_sram0_2kb/dout0[3]               0.03    0.05   -0.02 (VIOLATED)
+mprj/u_sram5_2kb/dout0[31]              0.03    0.05   -0.02 (VIOLATED)
+mprj/u_sram4_2kb/dout0[3]               0.03    0.05   -0.02 (VIOLATED)
+mprj/u_sram7_2kb/dout0[30]              0.03    0.05   -0.02 (VIOLATED)
+mprj/u_sram6_2kb/dout0[2]               0.03    0.05   -0.02 (VIOLATED)
+mprj/u_sram3_2kb/dout0[27]              0.03    0.05   -0.02 (VIOLATED)
+mprj/u_sram1_2kb/dout0[31]              0.03    0.05   -0.02 (VIOLATED)
+mprj/u_sram3_2kb/dout0[26]              0.03    0.05   -0.02 (VIOLATED)
+mprj/u_sram3_2kb/dout0[30]              0.03    0.05   -0.02 (VIOLATED)
+mprj/u_sram3_2kb/dout0[28]              0.03    0.05   -0.02 (VIOLATED)
+mprj/u_rp_north/_02_/X                  0.32    0.33   -0.02 (VIOLATED)
+mprj/u_sram2_2kb/dout0[2]               0.03    0.05   -0.02 (VIOLATED)
+mprj/u_sram2_2kb/dout0[0]               0.03    0.05   -0.02 (VIOLATED)
+mprj/u_sram5_2kb/dout0[30]              0.03    0.04   -0.02 (VIOLATED)
+mprj/u_sram1_2kb/dout0[30]              0.03    0.04   -0.02 (VIOLATED)
+mprj/u_sram0_2kb/dout0[1]               0.03    0.04   -0.02 (VIOLATED)
+mprj/u_sram7_2kb/dout0[27]              0.03    0.04   -0.02 (VIOLATED)
+mprj/u_sram5_2kb/dout0[28]              0.03    0.04   -0.02 (VIOLATED)
 mprj/u_sram3_2kb/dout0[29]              0.03    0.04   -0.02 (VIOLATED)
-mprj/u_sram2_2kb/dout0[0]               0.03    0.04   -0.02 (VIOLATED)
-mprj/u_sram7_2kb/dout0[28]              0.03    0.04   -0.02 (VIOLATED)
+mprj/u_sram4_2kb/dout0[2]               0.03    0.04   -0.02 (VIOLATED)
+mprj/u_sram6_2kb/dout0[3]               0.03    0.04   -0.02 (VIOLATED)
+mprj/u_sram7_2kb/dout0[26]              0.03    0.04   -0.02 (VIOLATED)
+mprj/u_sram7_2kb/dout0[31]              0.03    0.04   -0.02 (VIOLATED)
 mprj/u_sram4_2kb/dout0[1]               0.03    0.04   -0.02 (VIOLATED)
-mprj/u_sram2_2kb/dout0[6]               0.03    0.04   -0.01 (VIOLATED)
-mprj/u_sram3_2kb/dout0[26]              0.03    0.04   -0.01 (VIOLATED)
-mprj/u_sram2_2kb/dout0[3]               0.03    0.04   -0.01 (VIOLATED)
-mprj/u_sram0_2kb/dout0[6]               0.03    0.04   -0.01 (VIOLATED)
-mprj/u_sram3_2kb/dout0[27]              0.03    0.04   -0.01 (VIOLATED)
-mprj/u_sram7_2kb/dout0[27]              0.03    0.04   -0.01 (VIOLATED)
-mprj/u_sram0_2kb/dout0[5]               0.03    0.04   -0.01 (VIOLATED)
-mprj/u_sram0_2kb/dout0[1]               0.03    0.04   -0.01 (VIOLATED)
-mprj/u_sram4_2kb/dout0[5]               0.03    0.04   -0.01 (VIOLATED)
-mprj/u_sram7_2kb/dout0[0]               0.03    0.04   -0.01 (VIOLATED)
+mprj/u_sram6_2kb/dout0[4]               0.03    0.04   -0.02 (VIOLATED)
 mprj/u_sram6_2kb/dout0[1]               0.03    0.04   -0.01 (VIOLATED)
-mprj/u_sram1_2kb/dout0[30]              0.03    0.04   -0.01 (VIOLATED)
-mprj/u_sram3_2kb/dout0[31]              0.03    0.04   -0.01 (VIOLATED)
-mprj/u_sram6_2kb/dout0[7]               0.03    0.04   -0.01 (VIOLATED)
-mprj/u_sram2_2kb/dout0[1]               0.03    0.04   -0.01 (VIOLATED)
-mprj/u_sram7_2kb/dout0[24]              0.03    0.04   -0.01 (VIOLATED)
-mprj/u_sram1_2kb/dout0[29]              0.03    0.04   -0.01 (VIOLATED)
-mprj/u_sram6_2kb/dout0[31]              0.03    0.04   -0.01 (VIOLATED)
-mprj/u_sram4_2kb/dout0[4]               0.03    0.04   -0.01 (VIOLATED)
-mprj/u_sram6_2kb/dout0[6]               0.03    0.04   -0.01 (VIOLATED)
-mprj/u_sram3_2kb/dout0[0]               0.03    0.04   -0.01 (VIOLATED)
-mprj/u_sram2_2kb/dout0[5]               0.03    0.04   -0.01 (VIOLATED)
-mprj/u_sram1_2kb/dout0[28]              0.03    0.04   -0.01 (VIOLATED)
-mprj/u_sram2_2kb/dout0[7]               0.03    0.04   -0.01 (VIOLATED)
+mprj/u_sram4_2kb/dout0[5]               0.03    0.04   -0.01 (VIOLATED)
+mprj/u_sram0_2kb/dout0[2]               0.03    0.04   -0.01 (VIOLATED)
+mprj/u_sram7_2kb/dout0[28]              0.03    0.04   -0.01 (VIOLATED)
+mprj/u_sram2_2kb/dout0[3]               0.03    0.04   -0.01 (VIOLATED)
 mprj/u_sram7_2kb/dout0[25]              0.03    0.04   -0.01 (VIOLATED)
-mprj/u_sram1_2kb/dout0[26]              0.03    0.04   -0.01 (VIOLATED)
-mprj/u_sram0_2kb/dout0[7]               0.03    0.04   -0.01 (VIOLATED)
-mprj/u_sram3_2kb/dout0[28]              0.03    0.04   -0.01 (VIOLATED)
-mprj/u_sram1_2kb/dout0[27]              0.03    0.04   -0.01 (VIOLATED)
-mprj/u_sram2_2kb/dout0[8]               0.03    0.04   -0.01 (VIOLATED)
-mprj/u_sram5_2kb/dout0[30]              0.03    0.04   -0.01 (VIOLATED)
-mprj/u_sram6_2kb/dout0[5]               0.03    0.04   -0.01 (VIOLATED)
-mprj/u_sram4_2kb/dout0[6]               0.03    0.04   -0.01 (VIOLATED)
-mprj/u_sram0_2kb/dout0[29]              0.03    0.04   -0.01 (VIOLATED)
-mprj/u_sram7_2kb/dout0[23]              0.03    0.04   -0.01 (VIOLATED)
-mprj/u_sram6_2kb/dout0[30]              0.03    0.04   -0.01 (VIOLATED)
+mprj/u_sram0_2kb/dout0[6]               0.03    0.04   -0.01 (VIOLATED)
 mprj/u_sram5_2kb/dout0[27]              0.03    0.04   -0.01 (VIOLATED)
-mprj/u_sram5_2kb/dout0[31]              0.03    0.04   -0.01 (VIOLATED)
-mprj/u_sram0_2kb/dout0[31]              0.03    0.04   -0.01 (VIOLATED)
-mprj/u_sram7_2kb/dout0[4]               0.03    0.04   -0.01 (VIOLATED)
+mprj/u_sram3_2kb/dout0[25]              0.03    0.04   -0.01 (VIOLATED)
+mprj/u_sram3_2kb/dout0[31]              0.03    0.04   -0.01 (VIOLATED)
+mprj/u_sram5_2kb/dout0[26]              0.03    0.04   -0.01 (VIOLATED)
+mprj/u_sram3_2kb/dout0[24]              0.03    0.04   -0.01 (VIOLATED)
+mprj/u_sram2_2kb/dout0[1]               0.03    0.04   -0.01 (VIOLATED)
+mprj/u_sram2_2kb/dout0[6]               0.03    0.04   -0.01 (VIOLATED)
+mprj/u_sram1_2kb/dout0[27]              0.03    0.04   -0.01 (VIOLATED)
+mprj/u_rp_north/_38_/X                  0.32    0.33   -0.01 (VIOLATED)
+mprj/u_sram1_2kb/dout0[29]              0.03    0.04   -0.01 (VIOLATED)
+mprj/u_sram1_2kb/dout0[26]              0.03    0.04   -0.01 (VIOLATED)
+mprj/u_sram6_2kb/dout0[5]               0.03    0.04   -0.01 (VIOLATED)
+mprj/u_sram3_2kb/dout0[23]              0.03    0.04   -0.01 (VIOLATED)
+mprj/u_sram0_2kb/dout0[5]               0.03    0.04   -0.01 (VIOLATED)
+mprj/u_sram7_2kb/dout0[23]              0.03    0.04   -0.01 (VIOLATED)
+mprj/u_sram1_2kb/dout0[25]              0.03    0.04   -0.01 (VIOLATED)
+mprj/u_sram2_2kb/dout0[4]               0.03    0.04   -0.01 (VIOLATED)
+mprj/u_sram2_2kb/dout0[7]               0.03    0.04   -0.01 (VIOLATED)
 mprj/u_sram6_2kb/dout0[8]               0.03    0.04   -0.01 (VIOLATED)
-mprj/u_sram5_2kb/dout0[28]              0.03    0.04   -0.01 (VIOLATED)
-mprj/u_sram4_2kb/dout0[7]               0.03    0.04   -0.01 (VIOLATED)
+mprj/u_sram2_2kb/dout0[5]               0.03    0.04   -0.01 (VIOLATED)
+mprj/u_sram4_2kb/dout0[6]               0.03    0.04   -0.01 (VIOLATED)
+mprj/u_sram0_2kb/dout0[4]               0.03    0.04   -0.01 (VIOLATED)
 mprj/u_sram5_2kb/dout0[29]              0.03    0.04   -0.01 (VIOLATED)
-mprj/u_sram5_2kb/dout0[2]               0.03    0.04   -0.01 (VIOLATED)
-mprj/u_sram0_2kb/dout0[30]              0.03    0.04   -0.01 (VIOLATED)
-mprj/u_sram1_2kb/dout0[1]               0.03    0.04   -0.01 (VIOLATED)
-mprj/u_sram7_2kb/dout0[2]               0.03    0.03   -0.01 (VIOLATED)
-mprj/u_sram6_2kb/dout0[27]              0.03    0.03   -0.01 (VIOLATED)
+mprj/u_sram7_2kb/dout0[24]              0.03    0.04   -0.01 (VIOLATED)
+mprj/u_sram1_2kb/dout0[28]              0.03    0.04   -0.01 (VIOLATED)
+mprj/u_sram2_2kb/dout0[31]              0.03    0.04   -0.01 (VIOLATED)
+mprj/u_sram7_2kb/dout0[21]              0.03    0.04   -0.01 (VIOLATED)
+mprj/u_sram4_2kb/dout0[4]               0.03    0.04   -0.01 (VIOLATED)
+mprj/u_sram3_2kb/dout0[0]               0.03    0.04   -0.01 (VIOLATED)
+mprj/u_sram7_2kb/dout0[0]               0.03    0.04   -0.01 (VIOLATED)
+mprj/u_sram6_2kb/dout0[31]              0.03    0.04   -0.01 (VIOLATED)
+mprj/u_sram3_2kb/dout0[21]              0.03    0.04   -0.01 (VIOLATED)
+mprj/u_sram6_2kb/dout0[9]               0.03    0.04   -0.01 (VIOLATED)
+mprj/u_sram2_2kb/dout0[8]               0.03    0.04   -0.01 (VIOLATED)
+mprj/u_sram6_2kb/dout0[7]               0.03    0.04   -0.01 (VIOLATED)
+mprj/u_sram2_2kb/dout0[9]               0.03    0.04   -0.01 (VIOLATED)
+mprj/u_sram4_2kb/dout0[8]               0.03    0.04   -0.01 (VIOLATED)
+mprj/u_sram4_2kb/dout0[7]               0.03    0.03   -0.01 (VIOLATED)
+mprj/u_sram0_2kb/dout0[7]               0.03    0.03   -0.01 (VIOLATED)
+mprj/u_sram6_2kb/dout0[6]               0.03    0.03   -0.01 (VIOLATED)
 mprj/u_sram7_2kb/dout0[1]               0.03    0.03   -0.01 (VIOLATED)
-mprj/u_sram2_2kb/dout0[9]               0.03    0.03   -0.01 (VIOLATED)
-mprj/u_sram7_2kb/dout0[26]              0.03    0.03   -0.01 (VIOLATED)
-mprj/u_sram6_2kb/dout0[28]              0.03    0.03   -0.01 (VIOLATED)
-mprj/u_sram4_2kb/dout0[8]               0.03    0.03   -0.01 (VIOLATED)
-mprj/u_sram6_2kb/dout0[9]               0.03    0.03   -0.01 (VIOLATED)
-mprj/u_sram3_2kb/dout0[23]              0.03    0.03   -0.01 (VIOLATED)
-mprj/u_sram0_2kb/dout0[8]               0.03    0.03   -0.01 (VIOLATED)
-mprj/u_sram7_2kb/dout0[20]              0.03    0.03   -0.01 (VIOLATED)
-mprj/u_sram0_2kb/dout0[9]               0.03    0.03   -0.01 (VIOLATED)
-mprj/u_sram3_2kb/dout0[25]              0.03    0.03   -0.01 (VIOLATED)
-mprj/u_sram1_2kb/dout0[0]               0.03    0.03   -0.01 (VIOLATED)
-mprj/u_sram3_2kb/dout0[1]               0.03    0.03   -0.01 (VIOLATED)
-mprj/u_sram5_2kb/dout0[0]               0.03    0.03   -0.01 (VIOLATED)
-mprj/u_sram3_2kb/dout0[24]              0.03    0.03   -0.01 (VIOLATED)
-mprj/u_sram7_2kb/dout0[3]               0.03    0.03   -0.01 (VIOLATED)
-mprj/u_sram2_2kb/dout0[27]              0.03    0.03   -0.00 (VIOLATED)
-mprj/u_sram1_2kb/dout0[2]               0.03    0.03   -0.00 (VIOLATED)
-mprj/u_sram2_2kb/dout0[31]              0.03    0.03   -0.00 (VIOLATED)
-mprj/u_sram3_2kb/dout0[2]               0.03    0.03   -0.00 (VIOLATED)
-mprj/u_sram7_2kb/dout0[5]               0.03    0.03   -0.00 (VIOLATED)
-mprj/u_sram2_2kb/dout0[10]              0.03    0.03   -0.00 (VIOLATED)
-mprj/u_sram3_2kb/dout0[3]               0.03    0.03   -0.00 (VIOLATED)
-mprj/u_sram6_2kb/dout0[10]              0.03    0.03   -0.00 (VIOLATED)
-mprj/u_sram2_2kb/dout0[11]              0.03    0.03   -0.00 (VIOLATED)
-mprj/u_sram0_2kb/dout0[27]              0.03    0.03   -0.00 (VIOLATED)
-mprj/u_sram3_2kb/dout0[5]               0.03    0.03   -0.00 (VIOLATED)
-mprj/u_sram4_2kb/dout0[24]              0.03    0.03   -0.00 (VIOLATED)
-mprj/u_sram4_2kb/dout0[29]              0.03    0.03   -0.00 (VIOLATED)
-mprj/u_sram6_2kb/dout0[12]              0.03    0.03   -0.00 (VIOLATED)
-mprj/u_sram3_2kb/dout0[6]               0.03    0.03   -0.00 (VIOLATED)
-mprj/u_sram7_2kb/dout0[21]              0.03    0.03   -0.00 (VIOLATED)
-mprj/u_sram4_2kb/dout0[30]              0.03    0.03   -0.00 (VIOLATED)
-mprj/u_sram1_2kb/dout0[4]               0.03    0.03   -0.00 (VIOLATED)
-mprj/u_sram4_2kb/dout0[10]              0.03    0.03   -0.00 (VIOLATED)
-mprj/u_sram3_2kb/dout0[4]               0.03    0.03   -0.00 (VIOLATED)
-mprj/u_sram6_2kb/dout0[26]              0.03    0.03   -0.00 (VIOLATED)
-mprj/u_sram0_2kb/dout0[11]              0.03    0.03   -0.00 (VIOLATED)
-mprj/u_sram4_2kb/dout0[9]               0.03    0.03   -0.00 (VIOLATED)
-mprj/u_sram4_2kb/dout0[27]              0.03    0.03   -0.00 (VIOLATED)
-mprj/u_sram4_2kb/dout0[31]              0.03    0.03   -0.00 (VIOLATED)
-mprj/u_sram2_2kb/dout0[26]              0.03    0.03   -0.00 (VIOLATED)
-mprj/u_sram0_2kb/dout0[13]              0.03    0.03   -0.00 (VIOLATED)
-mprj/u_sram5_2kb/dout0[23]              0.03    0.03   -0.00 (VIOLATED)
-mprj/u_sram1_2kb/dout0[24]              0.03    0.03   -0.00 (VIOLATED)
-mprj/u_sram3_2kb/dout0[21]              0.03    0.03   -0.00 (VIOLATED)
-mprj/u_sram0_2kb/dout0[24]              0.03    0.03   -0.00 (VIOLATED)
-mprj/u_sram3_2kb/dout0[22]              0.03    0.03   -0.00 (VIOLATED)
-mprj/u_sram2_2kb/dout0[30]              0.03    0.03   -0.00 (VIOLATED)
-mprj/u_sram2_2kb/dout0[28]              0.03    0.03   -0.00 (VIOLATED)
-mprj/u_sram0_2kb/dout0[23]              0.03    0.03   -0.00 (VIOLATED)
-mprj/u_sram3_2kb/dout0[17]              0.03    0.03   -0.00 (VIOLATED)
-mprj/u_sram5_2kb/dout0[26]              0.03    0.03   -0.00 (VIOLATED)
-mprj/u_sram1_2kb/dout0[25]              0.03    0.03   -0.00 (VIOLATED)
-mprj/u_sram7_2kb/dout0[7]               0.03    0.03   -0.00 (VIOLATED)
-mprj/u_sram4_2kb/dout0[11]              0.03    0.03   -0.00 (VIOLATED)
-mprj/u_sram3_2kb/dout0[9]               0.03    0.03   -0.00 (VIOLATED)
-mprj/u_sram3_2kb/dout0[16]              0.03    0.03   -0.00 (VIOLATED)
-mprj/u_sram0_2kb/dout0[28]              0.03    0.03   -0.00 (VIOLATED)
-mprj/u_sram3_2kb/dout0[8]               0.03    0.03   -0.00 (VIOLATED)
-mprj/u_sram7_2kb/dout0[6]               0.03    0.03   -0.00 (VIOLATED)
-mprj/u_sram5_2kb/dout0[4]               0.03    0.03   -0.00 (VIOLATED)
-mprj/u_sram5_2kb/dout0[25]              0.03    0.03   -0.00 (VIOLATED)
-mprj/u_sram7_2kb/dout0[17]              0.03    0.03   -0.00 (VIOLATED)
-mprj/u_sram5_2kb/dout0[22]              0.03    0.03   -0.00 (VIOLATED)
-mprj/u_sram1_2kb/dout0[3]               0.03    0.03   -0.00 (VIOLATED)
-mprj/u_sram2_2kb/dout0[12]              0.03    0.03   -0.00 (VIOLATED)
-mprj/u_sram0_2kb/dout0[10]              0.03    0.03   -0.00 (VIOLATED)
-mprj/u_sram1_2kb/dout0[23]              0.03    0.03   -0.00 (VIOLATED)
-mprj/u_sram4_2kb/dout0[23]              0.03    0.03   -0.00 (VIOLATED)
-mprj/u_sram6_2kb/dout0[11]              0.03    0.03   -0.00 (VIOLATED)
-mprj/u_sram1_2kb/dout0[21]              0.03    0.03   -0.00 (VIOLATED)
-mprj/u_sram7_2kb/dout0[8]               0.03    0.03   -0.00 (VIOLATED)
-mprj/u_sram0_2kb/dout0[26]              0.03    0.03   -0.00 (VIOLATED)
-mprj/u_sram3_2kb/dout0[20]              0.03    0.03   -0.00 (VIOLATED)
-mprj/u_sram4_2kb/dout0[28]              0.03    0.03   -0.00 (VIOLATED)
-mprj/u_sram6_2kb/dout0[29]              0.03    0.03   -0.00 (VIOLATED)
+mprj/u_rp_north/_35_/X                  0.32    0.32   -0.01 (VIOLATED)
+mprj/u_sram7_2kb/dout0[5]               0.03    0.03   -0.01 (VIOLATED)
+mprj/u_sram5_2kb/dout0[25]              0.03    0.03   -0.01 (VIOLATED)
+mprj/u_sram4_2kb/dout0[24]              0.03    0.03   -0.01 (VIOLATED)
+mprj/u_sram7_2kb/dout0[22]              0.03    0.03   -0.01 (VIOLATED)
 mprj/u_sram0_2kb/dout0[22]              0.03    0.03   -0.00 (VIOLATED)
+mprj/u_sram7_2kb/dout0[20]              0.03    0.03   -0.00 (VIOLATED)
+mprj/u_sram6_2kb/dout0[10]              0.03    0.03   -0.00 (VIOLATED)
+mprj/u_sram3_2kb/dout0[20]              0.03    0.03   -0.00 (VIOLATED)
+mprj/u_sram6_2kb/dout0[27]              0.03    0.03   -0.00 (VIOLATED)
+mprj/u_sram2_2kb/dout0[27]              0.03    0.03   -0.00 (VIOLATED)
+mprj/u_sram0_2kb/dout0[31]              0.03    0.03   -0.00 (VIOLATED)
+mprj/u_sram4_2kb/dout0[31]              0.03    0.03   -0.00 (VIOLATED)
+mprj/u_sram1_2kb/dout0[22]              0.03    0.03   -0.00 (VIOLATED)
+mprj/u_sram0_2kb/dout0[24]              0.03    0.03   -0.00 (VIOLATED)
+mprj/u_sram2_2kb/dout0[10]              0.03    0.03   -0.00 (VIOLATED)
+mprj/u_sram3_2kb/dout0[17]              0.03    0.03   -0.00 (VIOLATED)
+mprj/u_sram1_2kb/dout0[0]               0.03    0.03   -0.00 (VIOLATED)
+mprj/u_sram5_2kb/dout0[21]              0.03    0.03   -0.00 (VIOLATED)
+mprj/u_sram0_2kb/dout0[8]               0.03    0.03   -0.00 (VIOLATED)
+mprj/u_sram7_2kb/dout0[19]              0.03    0.03   -0.00 (VIOLATED)
+mprj/u_sram2_2kb/dout0[30]              0.03    0.03   -0.00 (VIOLATED)
+mprj/u_sram5_2kb/dout0[20]              0.03    0.03   -0.00 (VIOLATED)
+mprj/u_rp_north/_32_/X                  0.32    0.32   -0.00 (VIOLATED)
+mprj/u_sram4_2kb/dout0[30]              0.03    0.03   -0.00 (VIOLATED)
+mprj/u_sram1_2kb/dout0[18]              0.03    0.03   -0.00 (VIOLATED)
+mprj/u_sram3_2kb/dout0[22]              0.03    0.03   -0.00 (VIOLATED)
+mprj/u_sram3_2kb/dout0[1]               0.03    0.03   -0.00 (VIOLATED)
+mprj/u_sram4_2kb/dout0[11]              0.03    0.03   -0.00 (VIOLATED)
+mprj/u_sram6_2kb/dout0[28]              0.03    0.03   -0.00 (VIOLATED)
+mprj/u_sram1_2kb/dout0[24]              0.03    0.03   -0.00 (VIOLATED)
+mprj/u_sram0_2kb/dout0[29]              0.03    0.03   -0.00 (VIOLATED)
+mprj/u_sram7_2kb/dout0[17]              0.03    0.03   -0.00 (VIOLATED)
+mprj/u_sram0_2kb/dout0[23]              0.03    0.03   -0.00 (VIOLATED)
+mprj/u_sram4_2kb/dout0[22]              0.03    0.03   -0.00 (VIOLATED)
+mprj/u_sram5_2kb/dout0[24]              0.03    0.03   -0.00 (VIOLATED)
+mprj/u_sram7_2kb/dout0[2]               0.03    0.03   -0.00 (VIOLATED)
+mprj/u_sram2_2kb/dout0[28]              0.03    0.03   -0.00 (VIOLATED)
+mprj/u_sram4_2kb/dout0[27]              0.03    0.03   -0.00 (VIOLATED)
+mprj/u_sram4_2kb/dout0[10]              0.03    0.03   -0.00 (VIOLATED)
+mprj/u_sram0_2kb/dout0[27]              0.03    0.03   -0.00 (VIOLATED)
+mprj/u_sram5_2kb/dout0[18]              0.03    0.03   -0.00 (VIOLATED)
+mprj/u_sram4_2kb/dout0[29]              0.03    0.03   -0.00 (VIOLATED)
+mprj/u_sram7_2kb/dout0[16]              0.03    0.03   -0.00 (VIOLATED)
+mprj/u_sram6_2kb/dout0[30]              0.03    0.03   -0.00 (VIOLATED)
 mprj/u_sram1_2kb/dout0[20]              0.03    0.03   -0.00 (VIOLATED)
-mprj/u_sram6_2kb/dout0[25]              0.03    0.03   -0.00 (VIOLATED)
-mprj/u_sram4_2kb/dout0[26]              0.03    0.03   -0.00 (VIOLATED)
+mprj/u_sram4_2kb/dout0[13]              0.03    0.03   -0.00 (VIOLATED)
+mprj/u_sram0_2kb/dout0[11]              0.03    0.03   -0.00 (VIOLATED)
+mprj/u_sram0_2kb/dout0[10]              0.03    0.03   -0.00 (VIOLATED)
+mprj/u_sram4_2kb/dout0[9]               0.03    0.03   -0.00 (VIOLATED)
+mprj/u_sram1_2kb/dout0[23]              0.03    0.03   -0.00 (VIOLATED)
+mprj/u_sram5_2kb/dout0[23]              0.03    0.03   -0.00 (VIOLATED)
+mprj/u_sram4_2kb/dout0[23]              0.03    0.03   -0.00 (VIOLATED)
+mprj/u_sram3_2kb/dout0[5]               0.03    0.03   -0.00 (VIOLATED)
+mprj/u_sram3_2kb/dout0[2]               0.03    0.03   -0.00 (VIOLATED)
+mprj/u_sram0_2kb/dout0[9]               0.03    0.03   -0.00 (VIOLATED)
+mprj/u_sram6_2kb/dout0[11]              0.03    0.03   -0.00 (VIOLATED)
+mprj/u_sram5_2kb/dout0[22]              0.03    0.03   -0.00 (VIOLATED)
+mprj/u_sram0_2kb/dout0[13]              0.03    0.03   -0.00 (VIOLATED)
+mprj/u_sram2_2kb/dout0[11]              0.03    0.03   -0.00 (VIOLATED)
+mprj/u_sram2_2kb/dout0[26]              0.03    0.03   -0.00 (VIOLATED)
+mprj/u_sram7_2kb/dout0[3]               0.03    0.03   -0.00 (VIOLATED)
+mprj/u_sram1_2kb/dout0[19]              0.03    0.03   -0.00 (VIOLATED)
+mprj/u_sram2_2kb/dout0[12]              0.03    0.03   -0.00 (VIOLATED)
+mprj/u_sram4_2kb/dout0[20]              0.03    0.03   -0.00 (VIOLATED)
+mprj/u_sram6_2kb/dout0[26]              0.03    0.03   -0.00 (VIOLATED)
+mprj/u_sram0_2kb/dout0[20]              0.03    0.03   -0.00 (VIOLATED)
+mprj/u_sram7_2kb/dout0[18]              0.03    0.03   -0.00 (VIOLATED)
+mprj/u_sram0_2kb/dout0[28]              0.03    0.03   -0.00 (VIOLATED)
+mprj/u_sram5_2kb/dout0[16]              0.03    0.03   -0.00 (VIOLATED)
+mprj/u_sram7_2kb/dout0[4]               0.03    0.03   -0.00 (VIOLATED)
+mprj/u_sram0_2kb/dout0[30]              0.03    0.03   -0.00 (VIOLATED)
+mprj/u_sram1_2kb/dout0[17]              0.03    0.03   -0.00 (VIOLATED)
+mprj/u_sram1_2kb/dout0[21]              0.03    0.03   -0.00 (VIOLATED)
+mprj/u_sram6_2kb/dout0[12]              0.03    0.03   -0.00 (VIOLATED)
+mprj/u_sram3_2kb/dout0[16]              0.03    0.03   -0.00 (VIOLATED)
 
diff --git a/sta/sta.log b/sta/sta.log
index d7fd6fd..84b3a13 100644
--- a/sta/sta.log
+++ b/sta/sta.log
@@ -104,70 +104,94 @@
 Warning: /home/dinesha/workarea/efabless/MPW-7/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.min.spef line 2096, zero not connected to net gpio_control_in_2\[7\]/zero.
 Warning: /home/dinesha/workarea/efabless/MPW-7/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.min.spef line 2088, zero not connected to net gpio_control_in_2\[0\]/zero.
 Warning: /home/dinesha/workarea/efabless/MPW-7/caravel/signoff/gpio_control_block/openlane-signoff/spef/gpio_control_block.min.spef line 2096, zero not connected to net gpio_control_in_2\[0\]/zero.
-Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 50466, pin m1_wbd_err_o not found.
-Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 52797, pin m1_wbd_err_o not found.
-Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 52799, pin m1_wbd_err_o not found.
-Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 52800, pin m1_wbd_err_o not found.
-Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 52801, pin m1_wbd_err_o not found.
-Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 52803, pin m1_wbd_err_o not found.
-Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 52990, pin m2_wbd_err_o not found.
-Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 55293, pin m2_wbd_err_o not found.
-Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 55295, pin m2_wbd_err_o not found.
-Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 55296, pin m2_wbd_err_o not found.
-Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 55297, pin m2_wbd_err_o not found.
-Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 55298, pin m2_wbd_err_o not found.
-Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 55299, pin m2_wbd_err_o not found.
-Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 55300, pin m2_wbd_err_o not found.
-Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 55301, pin m2_wbd_err_o not found.
-Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 55302, pin m2_wbd_err_o not found.
-Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 55303, pin m2_wbd_err_o not found.
-Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 55304, pin m2_wbd_err_o not found.
-Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 55306, pin m2_wbd_err_o not found.
-Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 88503, pin m2_wbd_err_o not found.
-Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 89737, pin m2_wbd_err_o not found.
-Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 90848, pin m2_wbd_err_o not found.
-Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 149538, pin m2_wbd_err_o not found.
-Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 180240, pin m2_wbd_err_o not found.
-Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 187103, pin m1_wbd_err_o not found.
-Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 249209, pin m1_wbd_err_o not found.
-Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 255158, pin m2_wbd_err_o not found.
-Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 284049, pin m2_wbd_err_o not found.
-Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 285442, pin m2_wbd_err_o not found.
-Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 286051, pin m2_wbd_err_o not found.
-Warning: ../signoff/wb_host/openlane-signoff/spef/wb_host.min.spef line 46699, pin wbm_err_o not found.
-Warning: ../signoff/wb_host/openlane-signoff/spef/wb_host.min.spef line 46833, pin wbm_err_o not found.
-Warning: ../signoff/wb_host/openlane-signoff/spef/wb_host.min.spef line 46835, pin wbm_err_o not found.
-Warning: ../signoff/wb_host/openlane-signoff/spef/wb_host.min.spef line 46836, pin wbm_err_o not found.
-Warning: ../signoff/wb_host/openlane-signoff/spef/wb_host.min.spef line 46838, pin wbm_err_o not found.
-Warning: ../signoff/wb_host/openlane-signoff/spef/wb_host.min.spef line 194117, pin wbm_err_o not found.
-Warning: ../signoff/mbist_wrapper/openlane-signoff/spef/mbist_wrapper.min.spef line 51903, pin wb_lack_o not found.
-Warning: ../signoff/mbist_wrapper/openlane-signoff/spef/mbist_wrapper.min.spef line 54433, pin wb_err_o not found.
-Warning: ../signoff/mbist_wrapper/openlane-signoff/spef/mbist_wrapper.min.spef line 54435, pin wb_err_o not found.
-Warning: ../signoff/mbist_wrapper/openlane-signoff/spef/mbist_wrapper.min.spef line 54435, pin wb_lack_o not found.
-Warning: ../signoff/mbist_wrapper/openlane-signoff/spef/mbist_wrapper.min.spef line 54437, pin wb_err_o not found.
-Warning: ../signoff/mbist_wrapper/openlane-signoff/spef/mbist_wrapper.min.spef line 54445, pin wb_lack_o not found.
-Warning: ../signoff/mbist_wrapper/openlane-signoff/spef/mbist_wrapper.min.spef line 54447, pin wb_lack_o not found.
-Warning: ../signoff/mbist_wrapper/openlane-signoff/spef/mbist_wrapper.min.spef line 54448, pin wb_lack_o not found.
-Warning: ../signoff/mbist_wrapper/openlane-signoff/spef/mbist_wrapper.min.spef line 54449, pin wb_lack_o not found.
-Warning: ../signoff/mbist_wrapper/openlane-signoff/spef/mbist_wrapper.min.spef line 54450, pin wb_err_o not found.
-Warning: ../signoff/mbist_wrapper/openlane-signoff/spef/mbist_wrapper.min.spef line 54450, pin wb_lack_o not found.
-Warning: ../signoff/mbist_wrapper/openlane-signoff/spef/mbist_wrapper.min.spef line 54452, pin wb_lack_o not found.
-Warning: ../signoff/mbist_wrapper/openlane-signoff/spef/mbist_wrapper.min.spef line 216993, pin wb_lack_o not found.
-Warning: ../signoff/mbist_wrapper/openlane-signoff/spef/mbist_wrapper.min.spef line 218379, pin wb_lack_o not found.
-Warning: ../signoff/mbist_wrapper/openlane-signoff/spef/mbist_wrapper.min.spef line 51903, pin wb_lack_o not found.
-Warning: ../signoff/mbist_wrapper/openlane-signoff/spef/mbist_wrapper.min.spef line 54433, pin wb_err_o not found.
-Warning: ../signoff/mbist_wrapper/openlane-signoff/spef/mbist_wrapper.min.spef line 54435, pin wb_err_o not found.
-Warning: ../signoff/mbist_wrapper/openlane-signoff/spef/mbist_wrapper.min.spef line 54435, pin wb_lack_o not found.
-Warning: ../signoff/mbist_wrapper/openlane-signoff/spef/mbist_wrapper.min.spef line 54437, pin wb_err_o not found.
-Warning: ../signoff/mbist_wrapper/openlane-signoff/spef/mbist_wrapper.min.spef line 54445, pin wb_lack_o not found.
-Warning: ../signoff/mbist_wrapper/openlane-signoff/spef/mbist_wrapper.min.spef line 54447, pin wb_lack_o not found.
-Warning: ../signoff/mbist_wrapper/openlane-signoff/spef/mbist_wrapper.min.spef line 54448, pin wb_lack_o not found.
-Warning: ../signoff/mbist_wrapper/openlane-signoff/spef/mbist_wrapper.min.spef line 54449, pin wb_lack_o not found.
-Warning: ../signoff/mbist_wrapper/openlane-signoff/spef/mbist_wrapper.min.spef line 54450, pin wb_err_o not found.
-Warning: ../signoff/mbist_wrapper/openlane-signoff/spef/mbist_wrapper.min.spef line 54450, pin wb_lack_o not found.
-Warning: ../signoff/mbist_wrapper/openlane-signoff/spef/mbist_wrapper.min.spef line 54452, pin wb_lack_o not found.
-Warning: ../signoff/mbist_wrapper/openlane-signoff/spef/mbist_wrapper.min.spef line 216993, pin wb_lack_o not found.
-Warning: ../signoff/mbist_wrapper/openlane-signoff/spef/mbist_wrapper.min.spef line 218379, pin wb_lack_o not found.
+Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 51231, pin m1_wbd_err_o not found.
+Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 53150, pin m1_wbd_err_o not found.
+Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 53794, pin m1_wbd_err_o not found.
+Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 53796, pin m1_wbd_err_o not found.
+Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 53797, pin m1_wbd_err_o not found.
+Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 53798, pin m1_wbd_err_o not found.
+Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 53799, pin m1_wbd_err_o not found.
+Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 53800, pin m1_wbd_err_o not found.
+Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 53801, pin m1_wbd_err_o not found.
+Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 53802, pin m1_wbd_err_o not found.
+Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 53803, pin m1_wbd_err_o not found.
+Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 53804, pin m1_wbd_err_o not found.
+Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 53805, pin m1_wbd_err_o not found.
+Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 53806, pin m1_wbd_err_o not found.
+Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 53807, pin m1_wbd_err_o not found.
+Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 53808, pin m1_wbd_err_o not found.
+Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 53809, pin m1_wbd_err_o not found.
+Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 53810, pin m1_wbd_err_o not found.
+Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 53812, pin m1_wbd_err_o not found.
+Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 54023, pin m2_wbd_err_o not found.
+Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 54147, pin m1_wbd_err_o not found.
+Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 54368, pin m1_wbd_err_o not found.
+Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 56764, pin m2_wbd_err_o not found.
+Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 56766, pin m2_wbd_err_o not found.
+Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 56767, pin m2_wbd_err_o not found.
+Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 56768, pin m2_wbd_err_o not found.
+Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 56769, pin m2_wbd_err_o not found.
+Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 56770, pin m2_wbd_err_o not found.
+Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 56771, pin m2_wbd_err_o not found.
+Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 56772, pin m2_wbd_err_o not found.
+Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 56773, pin m2_wbd_err_o not found.
+Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 56774, pin m2_wbd_err_o not found.
+Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 56775, pin m2_wbd_err_o not found.
+Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 56777, pin m2_wbd_err_o not found.
+Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 89461, pin m1_wbd_err_o not found.
+Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 90116, pin m1_wbd_err_o not found.
+Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 90367, pin m2_wbd_err_o not found.
+Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 116311, pin m2_wbd_err_o not found.
+Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 138393, pin m1_wbd_err_o not found.
+Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 174423, pin m1_wbd_err_o not found.
+Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 177729, pin m1_wbd_err_o not found.
+Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 177730, pin m1_wbd_err_o not found.
+Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 186213, pin m2_wbd_err_o not found.
+Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 194180, pin m2_wbd_err_o not found.
+Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 223430, pin m1_wbd_err_o not found.
+Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 224335, pin m2_wbd_err_o not found.
+Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 262505, pin m1_wbd_err_o not found.
+Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 275176, pin m1_wbd_err_o not found.
+Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 275177, pin m1_wbd_err_o not found.
+Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 295878, pin m2_wbd_err_o not found.
+Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 297473, pin m2_wbd_err_o not found.
+Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 297474, pin m2_wbd_err_o not found.
+Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 298073, pin m2_wbd_err_o not found.
+Warning: ../signoff/wb_interconnect/openlane-signoff/spef/wb_interconnect.min.spef line 298294, pin m1_wbd_err_o not found.
+Warning: ../signoff/wb_host/openlane-signoff/spef/wb_host.min.spef line 44838, pin wbm_err_o not found.
+Warning: ../signoff/wb_host/openlane-signoff/spef/wb_host.min.spef line 45548, pin wbm_err_o not found.
+Warning: ../signoff/wb_host/openlane-signoff/spef/wb_host.min.spef line 45679, pin wbm_err_o not found.
+Warning: ../signoff/wb_host/openlane-signoff/spef/wb_host.min.spef line 45681, pin wbm_err_o not found.
+Warning: ../signoff/wb_host/openlane-signoff/spef/wb_host.min.spef line 45682, pin wbm_err_o not found.
+Warning: ../signoff/wb_host/openlane-signoff/spef/wb_host.min.spef line 45684, pin wbm_err_o not found.
+Warning: ../signoff/mbist_wrapper/openlane-signoff/spef/mbist_wrapper.min.spef line 52061, pin wb_lack_o not found.
+Warning: ../signoff/mbist_wrapper/openlane-signoff/spef/mbist_wrapper.min.spef line 54489, pin wb_err_o not found.
+Warning: ../signoff/mbist_wrapper/openlane-signoff/spef/mbist_wrapper.min.spef line 54491, pin wb_err_o not found.
+Warning: ../signoff/mbist_wrapper/openlane-signoff/spef/mbist_wrapper.min.spef line 54491, pin wb_lack_o not found.
+Warning: ../signoff/mbist_wrapper/openlane-signoff/spef/mbist_wrapper.min.spef line 54493, pin wb_err_o not found.
+Warning: ../signoff/mbist_wrapper/openlane-signoff/spef/mbist_wrapper.min.spef line 54501, pin wb_lack_o not found.
+Warning: ../signoff/mbist_wrapper/openlane-signoff/spef/mbist_wrapper.min.spef line 54503, pin wb_lack_o not found.
+Warning: ../signoff/mbist_wrapper/openlane-signoff/spef/mbist_wrapper.min.spef line 54504, pin wb_lack_o not found.
+Warning: ../signoff/mbist_wrapper/openlane-signoff/spef/mbist_wrapper.min.spef line 54505, pin wb_lack_o not found.
+Warning: ../signoff/mbist_wrapper/openlane-signoff/spef/mbist_wrapper.min.spef line 54506, pin wb_err_o not found.
+Warning: ../signoff/mbist_wrapper/openlane-signoff/spef/mbist_wrapper.min.spef line 54506, pin wb_lack_o not found.
+Warning: ../signoff/mbist_wrapper/openlane-signoff/spef/mbist_wrapper.min.spef line 54508, pin wb_lack_o not found.
+Warning: ../signoff/mbist_wrapper/openlane-signoff/spef/mbist_wrapper.min.spef line 219956, pin wb_lack_o not found.
+Warning: ../signoff/mbist_wrapper/openlane-signoff/spef/mbist_wrapper.min.spef line 221392, pin wb_lack_o not found.
+Warning: ../signoff/mbist_wrapper/openlane-signoff/spef/mbist_wrapper.min.spef line 52061, pin wb_lack_o not found.
+Warning: ../signoff/mbist_wrapper/openlane-signoff/spef/mbist_wrapper.min.spef line 54489, pin wb_err_o not found.
+Warning: ../signoff/mbist_wrapper/openlane-signoff/spef/mbist_wrapper.min.spef line 54491, pin wb_err_o not found.
+Warning: ../signoff/mbist_wrapper/openlane-signoff/spef/mbist_wrapper.min.spef line 54491, pin wb_lack_o not found.
+Warning: ../signoff/mbist_wrapper/openlane-signoff/spef/mbist_wrapper.min.spef line 54493, pin wb_err_o not found.
+Warning: ../signoff/mbist_wrapper/openlane-signoff/spef/mbist_wrapper.min.spef line 54501, pin wb_lack_o not found.
+Warning: ../signoff/mbist_wrapper/openlane-signoff/spef/mbist_wrapper.min.spef line 54503, pin wb_lack_o not found.
+Warning: ../signoff/mbist_wrapper/openlane-signoff/spef/mbist_wrapper.min.spef line 54504, pin wb_lack_o not found.
+Warning: ../signoff/mbist_wrapper/openlane-signoff/spef/mbist_wrapper.min.spef line 54505, pin wb_lack_o not found.
+Warning: ../signoff/mbist_wrapper/openlane-signoff/spef/mbist_wrapper.min.spef line 54506, pin wb_err_o not found.
+Warning: ../signoff/mbist_wrapper/openlane-signoff/spef/mbist_wrapper.min.spef line 54506, pin wb_lack_o not found.
+Warning: ../signoff/mbist_wrapper/openlane-signoff/spef/mbist_wrapper.min.spef line 54508, pin wb_lack_o not found.
+Warning: ../signoff/mbist_wrapper/openlane-signoff/spef/mbist_wrapper.min.spef line 219956, pin wb_lack_o not found.
+Warning: ../signoff/mbist_wrapper/openlane-signoff/spef/mbist_wrapper.min.spef line 221392, pin wb_lack_o not found.
 ### Caravel Signoff SDC
 ### Rev 3
 ### Date: 28/10/2022
@@ -182,9 +206,13 @@
 create_clock -name wbs_clk_i -period 10.0000 [get_pins {mprj/u_wb_host/wbs_clk_out}]
 create_clock -name lbist_clk -period 10.0000 [get_pins {mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X}]
 create_clock -name uart_clk -period 100.0000 [get_pins {mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X}]
+create_clock -name mdio_refclk -period 10.0000 [get_pins {mprj/u_pinmux/u_clkgen.u_mdio_ref_mux.u_mux_l10/X}]
 # Mac Tx and RX clock is 25Mhx-40ns
-create_clock -name mac_tx_clk -period 40.0000 [get_ports {mprj_io[5]}]
-create_clock -name mac_rx_clk -period 40.0000 [get_ports {mprj_io[12]}]
+create_clock -name pad_mac_tx_clk -period 40.0000  [get_ports {mprj_io[5]}]
+create_clock -name pad_mac_rx_clk -period 40.0000  [get_ports {mprj_io[12]}]
+create_clock -name mdio_clk   -period 100.0000 [get_pins {mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X}]
+create_generated_clock -name mac_tx_clk -add -source [get_ports {mprj_io[5]}]  -master_clock [get_clocks pad_mac_tx_clk] -divide_by 1 -comment {mac tx clock} [get_pins {mprj/u_pinmux/mac_tx_clk}]
+create_generated_clock -name mac_rx_clk -add -source [get_ports {mprj_io[12]}] -master_clock [get_clocks pad_mac_rx_clk] -divide_by 1 -comment {mac rx clock} [get_pins {mprj/u_pinmux/mac_rx_clk}]
 set_clock_uncertainty -setup 0.2500 [all_clocks]
 set_clock_uncertainty -hold  0.1000 [all_clocks]
 set_clock_groups \
@@ -194,8 +222,10 @@
    -group [get_clocks {wbs_clk_i}]\
    -group [get_clocks {uart_clk}]\
    -group [get_clocks {lbist_clk}]\
-   -group [get_clocks {mac_tx_clk}]\
-   -group [get_clocks {mac_rx_clk}]\
+   -group [get_clocks {mac_tx_clk pad_mac_tx_clk}]\
+   -group [get_clocks {mac_rx_clk pad_mac_rx_clk}]\
+   -group [get_clocks {mdio_refclk}]\
+   -group [get_clocks {mdio_clk}]\
    -group [get_clocks {hk_serial_clk}]\
    -group [get_clocks {hk_serial_load}]\
    -group [get_clocks {hkspi_clk}]
@@ -254,6 +284,38 @@
 set_output_delay $output_delay_value  -clock [get_clocks {clk}] -add_delay [get_ports {flash_io0}]
 set_output_delay $output_delay_value  -clock [get_clocks {clk}] -add_delay [get_ports {flash_io1}]
 # set_output_delay $output_delay_value  -clock [get_clocks {hkspi_clk}] -add_delay [get_ports {mprj_io[1]}]
+########################################
+# phy_rx_clk Clock Domain
+########################################
+set_input_delay -max 20.0000 -clock [get_clocks {pad_mac_rx_clk}] -add_delay [get_ports {mprj_io[19]}] 
+set_input_delay -max 20.0000 -clock [get_clocks {pad_mac_rx_clk}] -add_delay [get_ports {mprj_io[18]}] 
+set_input_delay -max 20.0000 -clock [get_clocks {pad_mac_rx_clk}] -add_delay [get_ports {mprj_io[17]}] 
+set_input_delay -max 20.0000 -clock [get_clocks {pad_mac_rx_clk}] -add_delay [get_ports {mprj_io[16]}] 
+set_input_delay -max 20.0000 -clock [get_clocks {pad_mac_rx_clk}] -add_delay [get_ports {mprj_io[15]}] 
+set_input_delay -max 20.0000 -clock [get_clocks {pad_mac_rx_clk}] -add_delay [get_ports {mprj_io[14]}] 
+set_input_delay -max 20.0000 -clock [get_clocks {pad_mac_rx_clk}] -add_delay [get_ports {mprj_io[13]}] 
+set_input_delay -min 2.0000 -clock [get_clocks {pad_mac_rx_clk}] -add_delay [get_ports {mprj_io[19]}] 
+set_input_delay -min 2.0000 -clock [get_clocks {pad_mac_rx_clk}] -add_delay [get_ports {mprj_io[18]}] 
+set_input_delay -min 2.0000 -clock [get_clocks {pad_mac_rx_clk}] -add_delay [get_ports {mprj_io[17]}] 
+set_input_delay -min 2.0000 -clock [get_clocks {pad_mac_rx_clk}] -add_delay [get_ports {mprj_io[16]}] 
+set_input_delay -min 2.0000 -clock [get_clocks {pad_mac_rx_clk}] -add_delay [get_ports {mprj_io[15]}] 
+set_input_delay -min 2.0000 -clock [get_clocks {pad_mac_rx_clk}] -add_delay [get_ports {mprj_io[14]}] 
+set_input_delay -min 2.0000 -clock [get_clocks {pad_mac_rx_clk}] -add_delay [get_ports {mprj_io[13]}] 
+########################################
+# phy_tx_clk Clock Domain
+########################################
+set_output_delay -max 20.0000 -clock [get_clocks {pad_mac_tx_clk}] -add_delay [get_ports {mprj_io[6]}]  
+set_output_delay -max 20.0000 -clock [get_clocks {pad_mac_tx_clk}] -add_delay [get_ports {mprj_io[7]}]  
+set_output_delay -max 20.0000 -clock [get_clocks {pad_mac_tx_clk}] -add_delay [get_ports {mprj_io[8]}]  
+set_output_delay -max 20.0000 -clock [get_clocks {pad_mac_tx_clk}] -add_delay [get_ports {mprj_io[9]}]  
+set_output_delay -max 20.0000 -clock [get_clocks {pad_mac_tx_clk}] -add_delay [get_ports {mprj_io[10]}] 
+set_output_delay -max 20.0000 -clock [get_clocks {pad_mac_tx_clk}] -add_delay [get_ports {mprj_io[11]}] 
+set_output_delay -min -2.0000 -clock [get_clocks {pad_mac_tx_clk}] -add_delay [get_ports {mprj_io[6]}] 
+set_output_delay -min -2.0000 -clock [get_clocks {pad_mac_tx_clk}] -add_delay [get_ports {mprj_io[7]}] 
+set_output_delay -min -2.0000 -clock [get_clocks {pad_mac_tx_clk}] -add_delay [get_ports {mprj_io[8]}] 
+set_output_delay -min -2.0000 -clock [get_clocks {pad_mac_tx_clk}] -add_delay [get_ports {mprj_io[9]}] 
+set_output_delay -min -2.0000 -clock [get_clocks {pad_mac_tx_clk}] -add_delay [get_ports {mprj_io[10]}] 
+set_output_delay -min -2.0000 -clock [get_clocks {pad_mac_tx_clk}] -add_delay [get_ports {mprj_io[11]}] 
 set_max_fanout 12 [current_design]
 # synthesis max fanout should be less than 12 (7 maybe)
 ## Set system monitoring mux select to zero so that the clock/user_clk monitoring is disabled 
@@ -283,13 +345,13 @@
 set_case_analysis 0 [get_pins {mprj/u_mac_wrap/cfg_cska_mac[1]}]
 set_case_analysis 0 [get_pins {mprj/u_mac_wrap/cfg_cska_mac[0]}]
 set_case_analysis 0 [get_pins {mprj/u_mbist1/cfg_cska_mbist[3]}]
-set_case_analysis 1 [get_pins {mprj/u_mbist1/cfg_cska_mbist[2]}]
+set_case_analysis 0 [get_pins {mprj/u_mbist1/cfg_cska_mbist[2]}]
 set_case_analysis 1 [get_pins {mprj/u_mbist1/cfg_cska_mbist[1]}]
-set_case_analysis 1 [get_pins {mprj/u_mbist1/cfg_cska_mbist[0]}]
+set_case_analysis 0 [get_pins {mprj/u_mbist1/cfg_cska_mbist[0]}]
 set_case_analysis 0 [get_pins {mprj/u_mbist0/cfg_cska_mbist[3]}]
 set_case_analysis 1 [get_pins {mprj/u_mbist0/cfg_cska_mbist[2]}]
-set_case_analysis 1 [get_pins {mprj/u_mbist0/cfg_cska_mbist[1]}]
-set_case_analysis 1 [get_pins {mprj/u_mbist0/cfg_cska_mbist[0]}]
+set_case_analysis 0 [get_pins {mprj/u_mbist0/cfg_cska_mbist[1]}]
+set_case_analysis 0 [get_pins {mprj/u_mbist0/cfg_cska_mbist[0]}]
 set_case_analysis 1 [get_pins {mprj/u_wb_host/cfg_cska_lbist[3]}]
 set_case_analysis 0 [get_pins {mprj/u_wb_host/cfg_cska_lbist[2]}]
 set_case_analysis 0 [get_pins {mprj/u_wb_host/cfg_cska_lbist[1]}]
@@ -300,7 +362,7 @@
 set_case_analysis 0 [get_pins {mprj/u_pinmux/cfg_cska_pinmux[0]}]
 set_case_analysis 1 [get_pins {mprj/u_intercon/cfg_cska_wi[3]}]
 set_case_analysis 0 [get_pins {mprj/u_intercon/cfg_cska_wi[2]}]
-set_case_analysis 0 [get_pins {mprj/u_intercon/cfg_cska_wi[1]}]
+set_case_analysis 1 [get_pins {mprj/u_intercon/cfg_cska_wi[1]}]
 set_case_analysis 0 [get_pins {mprj/u_intercon/cfg_cska_wi[0]}]
 set_case_analysis 1 [get_pins {mprj/u_wb_host/cfg_cska_wh[3]}]
 set_case_analysis 0 [get_pins {mprj/u_wb_host/cfg_cska_wh[2]}]
@@ -353,6 +415,8 @@
 set_false_path -from [get_ports mprj_io[*]] -through [get_pins housekeeping/mgmt_gpio_out[*]]
 set_false_path -from [get_ports mprj_io[*]] -through [get_pins housekeeping/mgmt_gpio_oeb[*]]
 set_false_path -from [get_ports gpio]
+#### LA Input to wb_host are false path
+set_false_path -through [get_pins mprj/u_wb_host/la_data_in[*] ]
 # add loads for output ports (pads)
 set min_cap 5
 set max_cap 10
@@ -392,674 +456,2969 @@
 #    puts "\[INFO\]: Setting maximum transition of HD cells in slow process corner to: $max_tran"
 # }
 # -filter not supported in PT read_sdc ^
-Startpoint: mprj/u_wb_host/_6420_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6349_
-          (removal check against rising-edge clock uart_clk)
+Startpoint: mprj/u_wb_host/_5951_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_wb_host/_5482_
+          (removal check against rising-edge clock wbs_clk_i)
 Path Group: **async_default**
 Path Type: min
 
 Fanout     Cap    Slew   Delay    Time   Description
 -----------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.16    0.16 ^ mprj/u_wb_host/_6420_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.43    0.98    1.14 ^ mprj/u_wb_host/_6420_/Q (sky130_fd_sc_hd__dfrtp_4)
-    46    0.15                           mprj/u_wb_host/u_uart2wb.line_reset_n (net)
-                  0.43    0.00    1.15 ^ mprj/u_wb_host/_6349_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  1.15   data arrival time
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.40    0.40 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__clkbuf_4)
+     4    0.05                           mprj/u_wb_host/net40 (net)
+                  0.17    0.00    0.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    0.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_1.X1 (net)
+                  0.05    0.00    0.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    0.61 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
+                  0.07    0.00    0.61 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.69 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_2.X1 (net)
+                  0.04    0.00    0.69 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    0.79 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
+                  0.08    0.00    0.79 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.09    0.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_3.X1 (net)
+                  0.05    0.00    0.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    1.02 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
+                  0.13    0.00    1.02 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    1.14 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_4.X1 (net)
+                  0.08    0.00    1.14 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.13    1.27 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
+                  0.10    0.00    1.27 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_5.X1 (net)
+                  0.05    0.00    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.06    0.11    1.48 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
+                  0.06    0.00    1.48 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.11    1.59 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_6.X1 (net)
+                  0.09    0.00    1.59 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.07    0.13    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    1.81 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_7.X1 (net)
+                  0.06    0.00    1.81 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.13    1.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
+                  0.11    0.00    1.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.04 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_8.X1 (net)
+                  0.05    0.00    2.04 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    2.15 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
+                  0.08    0.00    2.15 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.09    2.24 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_9.X1 (net)
+                  0.05    0.00    2.24 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.12    2.36 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
+                  0.10    0.00    2.36 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.46 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_10.X1 (net)
+                  0.05    0.00    2.46 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.11    2.56 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
+                  0.09    0.00    2.56 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.15    2.71 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.d05 (net)
+                  0.07    0.00    2.71 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    2.83 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
+                  0.04    0.00    2.83 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.11    2.94 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
+                  0.05    0.00    2.94 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.21    0.27    3.21 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     2    0.07                           mprj/u_wb_host/net223 (net)
+                  0.22    0.00    3.22 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
+                  0.19    0.26    3.47 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/wbd_clk_wh (net)
+                  0.19    0.00    3.47 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.21    3.68 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
+                  0.10    0.00    3.69 ^ mprj/u_wb_host/clkbuf_3_4__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.16    3.84 ^ mprj/u_wb_host/clkbuf_3_4__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    14    0.05                           mprj/u_wb_host/clknet_3_4__leaf_wbs_clk_i (net)
+                  0.07    0.00    3.85 ^ mprj/u_wb_host/_5951_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.04    0.31    4.16 ^ mprj/u_wb_host/_5951_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.rd_reset_n (net)
+                  0.04    0.00    4.16 ^ mprj/u_wb_host/hold2/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.13    0.58    4.74 ^ mprj/u_wb_host/hold2/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     2    0.01                           mprj/u_wb_host/net856 (net)
+                  0.13    0.00    4.74 ^ mprj/u_wb_host/fanout604/A (sky130_fd_sc_hd__buf_4)
+                  0.15    0.21    4.95 ^ mprj/u_wb_host/fanout604/X (sky130_fd_sc_hd__buf_4)
+    10    0.05                           mprj/u_wb_host/net604 (net)
+                  0.15    0.00    4.95 ^ mprj/u_wb_host/_5482_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
+                                  4.95   data arrival time
 
-                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.19    0.19 ^ mprj/u_wb_host/_6349_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    0.29   clock uncertainty
-                          0.00    0.29   clock reconvergence pessimism
-                          0.76    1.04   library removal time
-                                  1.04   data required time
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.43    0.43 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__clkbuf_4)
+     4    0.05                           mprj/u_wb_host/net40 (net)
+                  0.17    0.00    0.43 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    0.55 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_1.X1 (net)
+                  0.05    0.00    0.55 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    0.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
+                  0.07    0.00    0.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.09    0.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_2.X1 (net)
+                  0.04    0.00    0.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    0.85 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
+                  0.08    0.00    0.85 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    0.95 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_3.X1 (net)
+                  0.05    0.00    0.95 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    1.10 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
+                  0.13    0.00    1.10 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.23 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_4.X1 (net)
+                  0.08    0.00    1.23 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.14    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
+                  0.10    0.00    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    1.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_5.X1 (net)
+                  0.05    0.00    1.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.06    0.12    1.60 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
+                  0.06    0.00    1.60 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.12    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_6.X1 (net)
+                  0.09    0.00    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.07    0.14    1.86 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.10    1.96 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_7.X1 (net)
+                  0.06    0.00    1.96 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.14    2.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
+                  0.11    0.00    2.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    2.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_8.X1 (net)
+                  0.05    0.00    2.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    2.32 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
+                  0.08    0.00    2.32 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.42 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_9.X1 (net)
+                  0.05    0.00    2.42 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.13    2.54 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
+                  0.10    0.00    2.54 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_10.X1 (net)
+                  0.05    0.00    2.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.12    2.76 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
+                  0.09    0.00    2.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.16    2.92 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.d05 (net)
+                  0.07    0.00    2.92 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.13    3.05 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
+                  0.04    0.00    3.05 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12    3.17 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
+                  0.05    0.00    3.17 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.21    0.29    3.46 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     2    0.07                           mprj/u_wb_host/net223 (net)
+                  0.22    0.00    3.47 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
+                  0.19    0.28    3.74 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/wbd_clk_wh (net)
+                  0.19    0.00    3.74 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.23    3.97 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
+                  0.10    0.00    3.97 ^ mprj/u_wb_host/clkbuf_3_7__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.13    4.10 ^ mprj/u_wb_host/clkbuf_3_7__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_3_7__leaf_wbs_clk_i (net)
+                  0.03    0.00    4.10 ^ mprj/u_wb_host/wire2/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.22    0.26    4.36 ^ mprj/u_wb_host/wire2/X (sky130_fd_sc_hd__clkbuf_4)
+    26    0.07                           mprj/u_wb_host/net853 (net)
+                  0.22    0.01    4.37 ^ mprj/u_wb_host/_5482_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    4.47   clock uncertainty
+                         -0.29    4.18   clock reconvergence pessimism
+                          0.39    4.58   library removal time
+                                  4.58   data required time
 -----------------------------------------------------------------------------
-                                  1.04   data required time
-                                 -1.15   data arrival time
+                                  4.58   data required time
+                                 -4.95   data arrival time
 -----------------------------------------------------------------------------
-                                  0.10   slack (MET)
+                                  0.37   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_6420_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6287_
-          (removal check against rising-edge clock uart_clk)
+Startpoint: mprj/u_wb_host/_5951_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_wb_host/_6545_
+          (removal check against rising-edge clock wbs_clk_i)
 Path Group: **async_default**
 Path Type: min
 
 Fanout     Cap    Slew   Delay    Time   Description
 -----------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.16    0.16 ^ mprj/u_wb_host/_6420_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.43    0.98    1.14 ^ mprj/u_wb_host/_6420_/Q (sky130_fd_sc_hd__dfrtp_4)
-    46    0.15                           mprj/u_wb_host/u_uart2wb.line_reset_n (net)
-                  0.43    0.00    1.15 ^ mprj/u_wb_host/_6287_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  1.15   data arrival time
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.40    0.40 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__clkbuf_4)
+     4    0.05                           mprj/u_wb_host/net40 (net)
+                  0.17    0.00    0.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    0.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_1.X1 (net)
+                  0.05    0.00    0.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    0.61 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
+                  0.07    0.00    0.61 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.69 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_2.X1 (net)
+                  0.04    0.00    0.69 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    0.79 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
+                  0.08    0.00    0.79 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.09    0.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_3.X1 (net)
+                  0.05    0.00    0.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    1.02 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
+                  0.13    0.00    1.02 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    1.14 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_4.X1 (net)
+                  0.08    0.00    1.14 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.13    1.27 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
+                  0.10    0.00    1.27 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_5.X1 (net)
+                  0.05    0.00    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.06    0.11    1.48 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
+                  0.06    0.00    1.48 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.11    1.59 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_6.X1 (net)
+                  0.09    0.00    1.59 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.07    0.13    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    1.81 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_7.X1 (net)
+                  0.06    0.00    1.81 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.13    1.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
+                  0.11    0.00    1.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.04 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_8.X1 (net)
+                  0.05    0.00    2.04 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    2.15 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
+                  0.08    0.00    2.15 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.09    2.24 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_9.X1 (net)
+                  0.05    0.00    2.24 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.12    2.36 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
+                  0.10    0.00    2.36 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.46 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_10.X1 (net)
+                  0.05    0.00    2.46 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.11    2.56 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
+                  0.09    0.00    2.56 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.15    2.71 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.d05 (net)
+                  0.07    0.00    2.71 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    2.83 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
+                  0.04    0.00    2.83 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.11    2.94 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
+                  0.05    0.00    2.94 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.21    0.27    3.21 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     2    0.07                           mprj/u_wb_host/net223 (net)
+                  0.22    0.00    3.22 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
+                  0.19    0.26    3.47 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/wbd_clk_wh (net)
+                  0.19    0.00    3.47 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.21    3.68 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
+                  0.10    0.00    3.69 ^ mprj/u_wb_host/clkbuf_3_4__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.16    3.84 ^ mprj/u_wb_host/clkbuf_3_4__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    14    0.05                           mprj/u_wb_host/clknet_3_4__leaf_wbs_clk_i (net)
+                  0.07    0.00    3.85 ^ mprj/u_wb_host/_5951_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.04    0.31    4.16 ^ mprj/u_wb_host/_5951_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.rd_reset_n (net)
+                  0.04    0.00    4.16 ^ mprj/u_wb_host/hold2/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.13    0.58    4.74 ^ mprj/u_wb_host/hold2/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     2    0.01                           mprj/u_wb_host/net856 (net)
+                  0.13    0.00    4.74 ^ mprj/u_wb_host/fanout604/A (sky130_fd_sc_hd__buf_4)
+                  0.15    0.21    4.95 ^ mprj/u_wb_host/fanout604/X (sky130_fd_sc_hd__buf_4)
+    10    0.05                           mprj/u_wb_host/net604 (net)
+                  0.15    0.00    4.95 ^ mprj/u_wb_host/_6545_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
+                                  4.95   data arrival time
 
-                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.19    0.19 ^ mprj/u_wb_host/_6287_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    0.29   clock uncertainty
-                          0.00    0.29   clock reconvergence pessimism
-                          0.76    1.04   library removal time
-                                  1.04   data required time
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.43    0.43 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__clkbuf_4)
+     4    0.05                           mprj/u_wb_host/net40 (net)
+                  0.17    0.00    0.43 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    0.55 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_1.X1 (net)
+                  0.05    0.00    0.55 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    0.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
+                  0.07    0.00    0.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.09    0.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_2.X1 (net)
+                  0.04    0.00    0.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    0.85 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
+                  0.08    0.00    0.85 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    0.95 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_3.X1 (net)
+                  0.05    0.00    0.95 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    1.10 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
+                  0.13    0.00    1.10 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.23 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_4.X1 (net)
+                  0.08    0.00    1.23 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.14    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
+                  0.10    0.00    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    1.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_5.X1 (net)
+                  0.05    0.00    1.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.06    0.12    1.60 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
+                  0.06    0.00    1.60 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.12    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_6.X1 (net)
+                  0.09    0.00    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.07    0.14    1.86 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.10    1.96 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_7.X1 (net)
+                  0.06    0.00    1.96 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.14    2.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
+                  0.11    0.00    2.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    2.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_8.X1 (net)
+                  0.05    0.00    2.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    2.32 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
+                  0.08    0.00    2.32 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.42 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_9.X1 (net)
+                  0.05    0.00    2.42 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.13    2.54 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
+                  0.10    0.00    2.54 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_10.X1 (net)
+                  0.05    0.00    2.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.12    2.76 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
+                  0.09    0.00    2.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.16    2.92 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.d05 (net)
+                  0.07    0.00    2.92 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.13    3.05 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
+                  0.04    0.00    3.05 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12    3.17 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
+                  0.05    0.00    3.17 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.21    0.29    3.46 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     2    0.07                           mprj/u_wb_host/net223 (net)
+                  0.22    0.00    3.47 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
+                  0.19    0.28    3.74 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/wbd_clk_wh (net)
+                  0.19    0.00    3.74 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.23    3.97 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
+                  0.10    0.00    3.97 ^ mprj/u_wb_host/clkbuf_3_7__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.13    4.10 ^ mprj/u_wb_host/clkbuf_3_7__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_3_7__leaf_wbs_clk_i (net)
+                  0.03    0.00    4.10 ^ mprj/u_wb_host/wire2/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.22    0.26    4.36 ^ mprj/u_wb_host/wire2/X (sky130_fd_sc_hd__clkbuf_4)
+    26    0.07                           mprj/u_wb_host/net853 (net)
+                  0.22    0.01    4.37 ^ mprj/u_wb_host/_6545_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    4.47   clock uncertainty
+                         -0.29    4.18   clock reconvergence pessimism
+                          0.39    4.58   library removal time
+                                  4.58   data required time
 -----------------------------------------------------------------------------
-                                  1.04   data required time
-                                 -1.15   data arrival time
+                                  4.58   data required time
+                                 -4.95   data arrival time
 -----------------------------------------------------------------------------
-                                  0.10   slack (MET)
+                                  0.37   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_6420_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6286_
-          (removal check against rising-edge clock uart_clk)
+Startpoint: mprj/u_wb_host/_5951_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_wb_host/_5471_
+          (removal check against rising-edge clock wbs_clk_i)
 Path Group: **async_default**
 Path Type: min
 
 Fanout     Cap    Slew   Delay    Time   Description
 -----------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.16    0.16 ^ mprj/u_wb_host/_6420_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.43    0.98    1.14 ^ mprj/u_wb_host/_6420_/Q (sky130_fd_sc_hd__dfrtp_4)
-    46    0.15                           mprj/u_wb_host/u_uart2wb.line_reset_n (net)
-                  0.43    0.00    1.15 ^ mprj/u_wb_host/_6286_/RESET_B (sky130_fd_sc_hd__dfrtp_2)
-                                  1.15   data arrival time
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.40    0.40 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__clkbuf_4)
+     4    0.05                           mprj/u_wb_host/net40 (net)
+                  0.17    0.00    0.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    0.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_1.X1 (net)
+                  0.05    0.00    0.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    0.61 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
+                  0.07    0.00    0.61 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.69 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_2.X1 (net)
+                  0.04    0.00    0.69 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    0.79 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
+                  0.08    0.00    0.79 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.09    0.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_3.X1 (net)
+                  0.05    0.00    0.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    1.02 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
+                  0.13    0.00    1.02 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    1.14 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_4.X1 (net)
+                  0.08    0.00    1.14 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.13    1.27 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
+                  0.10    0.00    1.27 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_5.X1 (net)
+                  0.05    0.00    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.06    0.11    1.48 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
+                  0.06    0.00    1.48 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.11    1.59 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_6.X1 (net)
+                  0.09    0.00    1.59 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.07    0.13    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    1.81 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_7.X1 (net)
+                  0.06    0.00    1.81 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.13    1.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
+                  0.11    0.00    1.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.04 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_8.X1 (net)
+                  0.05    0.00    2.04 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    2.15 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
+                  0.08    0.00    2.15 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.09    2.24 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_9.X1 (net)
+                  0.05    0.00    2.24 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.12    2.36 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
+                  0.10    0.00    2.36 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.46 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_10.X1 (net)
+                  0.05    0.00    2.46 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.11    2.56 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
+                  0.09    0.00    2.56 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.15    2.71 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.d05 (net)
+                  0.07    0.00    2.71 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    2.83 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
+                  0.04    0.00    2.83 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.11    2.94 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
+                  0.05    0.00    2.94 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.21    0.27    3.21 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     2    0.07                           mprj/u_wb_host/net223 (net)
+                  0.22    0.00    3.22 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
+                  0.19    0.26    3.47 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/wbd_clk_wh (net)
+                  0.19    0.00    3.47 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.21    3.68 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
+                  0.10    0.00    3.69 ^ mprj/u_wb_host/clkbuf_3_4__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.16    3.84 ^ mprj/u_wb_host/clkbuf_3_4__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    14    0.05                           mprj/u_wb_host/clknet_3_4__leaf_wbs_clk_i (net)
+                  0.07    0.00    3.85 ^ mprj/u_wb_host/_5951_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.04    0.31    4.16 ^ mprj/u_wb_host/_5951_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.rd_reset_n (net)
+                  0.04    0.00    4.16 ^ mprj/u_wb_host/hold2/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.13    0.58    4.74 ^ mprj/u_wb_host/hold2/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     2    0.01                           mprj/u_wb_host/net856 (net)
+                  0.13    0.00    4.74 ^ mprj/u_wb_host/fanout604/A (sky130_fd_sc_hd__buf_4)
+                  0.15    0.21    4.95 ^ mprj/u_wb_host/fanout604/X (sky130_fd_sc_hd__buf_4)
+    10    0.05                           mprj/u_wb_host/net604 (net)
+                  0.15    0.00    4.95 ^ mprj/u_wb_host/_5471_/RESET_B (sky130_fd_sc_hd__dfrtp_2)
+                                  4.95   data arrival time
 
-                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.19    0.19 ^ mprj/u_wb_host/_6286_/CLK (sky130_fd_sc_hd__dfrtp_2)
-                          0.10    0.29   clock uncertainty
-                          0.00    0.29   clock reconvergence pessimism
-                          0.76    1.04   library removal time
-                                  1.04   data required time
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.43    0.43 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__clkbuf_4)
+     4    0.05                           mprj/u_wb_host/net40 (net)
+                  0.17    0.00    0.43 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    0.55 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_1.X1 (net)
+                  0.05    0.00    0.55 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    0.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
+                  0.07    0.00    0.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.09    0.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_2.X1 (net)
+                  0.04    0.00    0.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    0.85 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
+                  0.08    0.00    0.85 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    0.95 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_3.X1 (net)
+                  0.05    0.00    0.95 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    1.10 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
+                  0.13    0.00    1.10 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.23 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_4.X1 (net)
+                  0.08    0.00    1.23 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.14    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
+                  0.10    0.00    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    1.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_5.X1 (net)
+                  0.05    0.00    1.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.06    0.12    1.60 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
+                  0.06    0.00    1.60 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.12    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_6.X1 (net)
+                  0.09    0.00    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.07    0.14    1.86 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.10    1.96 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_7.X1 (net)
+                  0.06    0.00    1.96 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.14    2.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
+                  0.11    0.00    2.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    2.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_8.X1 (net)
+                  0.05    0.00    2.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    2.32 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
+                  0.08    0.00    2.32 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.42 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_9.X1 (net)
+                  0.05    0.00    2.42 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.13    2.54 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
+                  0.10    0.00    2.54 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_10.X1 (net)
+                  0.05    0.00    2.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.12    2.76 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
+                  0.09    0.00    2.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.16    2.92 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.d05 (net)
+                  0.07    0.00    2.92 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.13    3.05 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
+                  0.04    0.00    3.05 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12    3.17 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
+                  0.05    0.00    3.17 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.21    0.29    3.46 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     2    0.07                           mprj/u_wb_host/net223 (net)
+                  0.22    0.00    3.47 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
+                  0.19    0.28    3.74 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/wbd_clk_wh (net)
+                  0.19    0.00    3.74 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.23    3.97 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
+                  0.10    0.00    3.97 ^ mprj/u_wb_host/clkbuf_3_7__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.13    4.10 ^ mprj/u_wb_host/clkbuf_3_7__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_3_7__leaf_wbs_clk_i (net)
+                  0.03    0.00    4.10 ^ mprj/u_wb_host/wire2/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.22    0.26    4.36 ^ mprj/u_wb_host/wire2/X (sky130_fd_sc_hd__clkbuf_4)
+    26    0.07                           mprj/u_wb_host/net853 (net)
+                  0.22    0.01    4.37 ^ mprj/u_wb_host/_5471_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                          0.10    4.47   clock uncertainty
+                         -0.29    4.18   clock reconvergence pessimism
+                          0.40    4.58   library removal time
+                                  4.58   data required time
 -----------------------------------------------------------------------------
-                                  1.04   data required time
-                                 -1.15   data arrival time
+                                  4.58   data required time
+                                 -4.95   data arrival time
 -----------------------------------------------------------------------------
-                                  0.10   slack (MET)
+                                  0.37   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_6420_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6288_
-          (removal check against rising-edge clock uart_clk)
+Startpoint: mprj/u_wb_host/_5951_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_wb_host/_5508_
+          (removal check against rising-edge clock wbs_clk_i)
 Path Group: **async_default**
 Path Type: min
 
 Fanout     Cap    Slew   Delay    Time   Description
 -----------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.16    0.16 ^ mprj/u_wb_host/_6420_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.43    0.98    1.14 ^ mprj/u_wb_host/_6420_/Q (sky130_fd_sc_hd__dfrtp_4)
-    46    0.15                           mprj/u_wb_host/u_uart2wb.line_reset_n (net)
-                  0.43    0.01    1.15 ^ mprj/u_wb_host/_6288_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  1.15   data arrival time
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.40    0.40 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__clkbuf_4)
+     4    0.05                           mprj/u_wb_host/net40 (net)
+                  0.17    0.00    0.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    0.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_1.X1 (net)
+                  0.05    0.00    0.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    0.61 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
+                  0.07    0.00    0.61 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.69 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_2.X1 (net)
+                  0.04    0.00    0.69 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    0.79 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
+                  0.08    0.00    0.79 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.09    0.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_3.X1 (net)
+                  0.05    0.00    0.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    1.02 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
+                  0.13    0.00    1.02 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    1.14 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_4.X1 (net)
+                  0.08    0.00    1.14 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.13    1.27 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
+                  0.10    0.00    1.27 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_5.X1 (net)
+                  0.05    0.00    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.06    0.11    1.48 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
+                  0.06    0.00    1.48 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.11    1.59 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_6.X1 (net)
+                  0.09    0.00    1.59 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.07    0.13    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    1.81 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_7.X1 (net)
+                  0.06    0.00    1.81 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.13    1.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
+                  0.11    0.00    1.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.04 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_8.X1 (net)
+                  0.05    0.00    2.04 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    2.15 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
+                  0.08    0.00    2.15 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.09    2.24 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_9.X1 (net)
+                  0.05    0.00    2.24 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.12    2.36 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
+                  0.10    0.00    2.36 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.46 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_10.X1 (net)
+                  0.05    0.00    2.46 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.11    2.56 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
+                  0.09    0.00    2.56 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.15    2.71 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.d05 (net)
+                  0.07    0.00    2.71 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    2.83 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
+                  0.04    0.00    2.83 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.11    2.94 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
+                  0.05    0.00    2.94 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.21    0.27    3.21 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     2    0.07                           mprj/u_wb_host/net223 (net)
+                  0.22    0.00    3.22 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
+                  0.19    0.26    3.47 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/wbd_clk_wh (net)
+                  0.19    0.00    3.47 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.21    3.68 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
+                  0.10    0.00    3.69 ^ mprj/u_wb_host/clkbuf_3_4__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.16    3.84 ^ mprj/u_wb_host/clkbuf_3_4__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    14    0.05                           mprj/u_wb_host/clknet_3_4__leaf_wbs_clk_i (net)
+                  0.07    0.00    3.85 ^ mprj/u_wb_host/_5951_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.04    0.31    4.16 ^ mprj/u_wb_host/_5951_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.rd_reset_n (net)
+                  0.04    0.00    4.16 ^ mprj/u_wb_host/hold2/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.13    0.58    4.74 ^ mprj/u_wb_host/hold2/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     2    0.01                           mprj/u_wb_host/net856 (net)
+                  0.13    0.00    4.74 ^ mprj/u_wb_host/fanout604/A (sky130_fd_sc_hd__buf_4)
+                  0.15    0.21    4.95 ^ mprj/u_wb_host/fanout604/X (sky130_fd_sc_hd__buf_4)
+    10    0.05                           mprj/u_wb_host/net604 (net)
+                  0.15    0.00    4.95 ^ mprj/u_wb_host/_5508_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
+                                  4.95   data arrival time
 
-                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.19    0.19 ^ mprj/u_wb_host/_6288_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    0.29   clock uncertainty
-                          0.00    0.29   clock reconvergence pessimism
-                          0.76    1.04   library removal time
-                                  1.04   data required time
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.43    0.43 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__clkbuf_4)
+     4    0.05                           mprj/u_wb_host/net40 (net)
+                  0.17    0.00    0.43 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    0.55 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_1.X1 (net)
+                  0.05    0.00    0.55 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    0.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
+                  0.07    0.00    0.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.09    0.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_2.X1 (net)
+                  0.04    0.00    0.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    0.85 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
+                  0.08    0.00    0.85 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    0.95 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_3.X1 (net)
+                  0.05    0.00    0.95 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    1.10 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
+                  0.13    0.00    1.10 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.23 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_4.X1 (net)
+                  0.08    0.00    1.23 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.14    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
+                  0.10    0.00    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    1.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_5.X1 (net)
+                  0.05    0.00    1.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.06    0.12    1.60 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
+                  0.06    0.00    1.60 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.12    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_6.X1 (net)
+                  0.09    0.00    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.07    0.14    1.86 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.10    1.96 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_7.X1 (net)
+                  0.06    0.00    1.96 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.14    2.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
+                  0.11    0.00    2.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    2.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_8.X1 (net)
+                  0.05    0.00    2.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    2.32 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
+                  0.08    0.00    2.32 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.42 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_9.X1 (net)
+                  0.05    0.00    2.42 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.13    2.54 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
+                  0.10    0.00    2.54 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_10.X1 (net)
+                  0.05    0.00    2.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.12    2.76 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
+                  0.09    0.00    2.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.16    2.92 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.d05 (net)
+                  0.07    0.00    2.92 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.13    3.05 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
+                  0.04    0.00    3.05 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12    3.17 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
+                  0.05    0.00    3.17 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.21    0.29    3.46 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     2    0.07                           mprj/u_wb_host/net223 (net)
+                  0.22    0.00    3.47 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
+                  0.19    0.28    3.74 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/wbd_clk_wh (net)
+                  0.19    0.00    3.74 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.23    3.97 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
+                  0.10    0.00    3.97 ^ mprj/u_wb_host/clkbuf_3_7__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.13    4.10 ^ mprj/u_wb_host/clkbuf_3_7__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_3_7__leaf_wbs_clk_i (net)
+                  0.03    0.00    4.10 ^ mprj/u_wb_host/wire2/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.22    0.26    4.36 ^ mprj/u_wb_host/wire2/X (sky130_fd_sc_hd__clkbuf_4)
+    26    0.07                           mprj/u_wb_host/net853 (net)
+                  0.22    0.01    4.37 ^ mprj/u_wb_host/_5508_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    4.47   clock uncertainty
+                         -0.29    4.18   clock reconvergence pessimism
+                          0.39    4.58   library removal time
+                                  4.58   data required time
 -----------------------------------------------------------------------------
-                                  1.04   data required time
-                                 -1.15   data arrival time
+                                  4.58   data required time
+                                 -4.95   data arrival time
 -----------------------------------------------------------------------------
-                                  0.11   slack (MET)
+                                  0.37   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_6420_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6423_
-          (removal check against rising-edge clock uart_clk)
+Startpoint: mprj/u_wb_host/_5951_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_wb_host/_5496_
+          (removal check against rising-edge clock wbs_clk_i)
 Path Group: **async_default**
 Path Type: min
 
 Fanout     Cap    Slew   Delay    Time   Description
 -----------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.16    0.16 ^ mprj/u_wb_host/_6420_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.43    0.98    1.14 ^ mprj/u_wb_host/_6420_/Q (sky130_fd_sc_hd__dfrtp_4)
-    46    0.15                           mprj/u_wb_host/u_uart2wb.line_reset_n (net)
-                  0.43    0.01    1.15 ^ mprj/u_wb_host/_6423_/RESET_B (sky130_fd_sc_hd__dfrtp_2)
-                                  1.15   data arrival time
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.40    0.40 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__clkbuf_4)
+     4    0.05                           mprj/u_wb_host/net40 (net)
+                  0.17    0.00    0.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    0.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_1.X1 (net)
+                  0.05    0.00    0.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    0.61 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
+                  0.07    0.00    0.61 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.69 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_2.X1 (net)
+                  0.04    0.00    0.69 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    0.79 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
+                  0.08    0.00    0.79 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.09    0.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_3.X1 (net)
+                  0.05    0.00    0.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    1.02 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
+                  0.13    0.00    1.02 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    1.14 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_4.X1 (net)
+                  0.08    0.00    1.14 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.13    1.27 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
+                  0.10    0.00    1.27 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_5.X1 (net)
+                  0.05    0.00    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.06    0.11    1.48 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
+                  0.06    0.00    1.48 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.11    1.59 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_6.X1 (net)
+                  0.09    0.00    1.59 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.07    0.13    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    1.81 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_7.X1 (net)
+                  0.06    0.00    1.81 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.13    1.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
+                  0.11    0.00    1.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.04 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_8.X1 (net)
+                  0.05    0.00    2.04 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    2.15 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
+                  0.08    0.00    2.15 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.09    2.24 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_9.X1 (net)
+                  0.05    0.00    2.24 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.12    2.36 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
+                  0.10    0.00    2.36 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.46 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_10.X1 (net)
+                  0.05    0.00    2.46 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.11    2.56 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
+                  0.09    0.00    2.56 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.15    2.71 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.d05 (net)
+                  0.07    0.00    2.71 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    2.83 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
+                  0.04    0.00    2.83 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.11    2.94 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
+                  0.05    0.00    2.94 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.21    0.27    3.21 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     2    0.07                           mprj/u_wb_host/net223 (net)
+                  0.22    0.00    3.22 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
+                  0.19    0.26    3.47 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/wbd_clk_wh (net)
+                  0.19    0.00    3.47 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.21    3.68 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
+                  0.10    0.00    3.69 ^ mprj/u_wb_host/clkbuf_3_4__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.16    3.84 ^ mprj/u_wb_host/clkbuf_3_4__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    14    0.05                           mprj/u_wb_host/clknet_3_4__leaf_wbs_clk_i (net)
+                  0.07    0.00    3.85 ^ mprj/u_wb_host/_5951_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.04    0.31    4.16 ^ mprj/u_wb_host/_5951_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.rd_reset_n (net)
+                  0.04    0.00    4.16 ^ mprj/u_wb_host/hold2/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.13    0.58    4.74 ^ mprj/u_wb_host/hold2/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     2    0.01                           mprj/u_wb_host/net856 (net)
+                  0.13    0.00    4.74 ^ mprj/u_wb_host/_5496_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
+                                  4.74   data arrival time
 
-                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.19    0.19 ^ mprj/u_wb_host/_6423_/CLK (sky130_fd_sc_hd__dfrtp_2)
-                          0.10    0.29   clock uncertainty
-                          0.00    0.29   clock reconvergence pessimism
-                          0.76    1.04   library removal time
-                                  1.04   data required time
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.43    0.43 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__clkbuf_4)
+     4    0.05                           mprj/u_wb_host/net40 (net)
+                  0.17    0.00    0.43 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    0.55 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_1.X1 (net)
+                  0.05    0.00    0.55 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    0.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
+                  0.07    0.00    0.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.09    0.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_2.X1 (net)
+                  0.04    0.00    0.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    0.85 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
+                  0.08    0.00    0.85 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    0.95 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_3.X1 (net)
+                  0.05    0.00    0.95 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    1.10 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
+                  0.13    0.00    1.10 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.23 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_4.X1 (net)
+                  0.08    0.00    1.23 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.14    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
+                  0.10    0.00    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    1.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_5.X1 (net)
+                  0.05    0.00    1.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.06    0.12    1.60 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
+                  0.06    0.00    1.60 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.12    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_6.X1 (net)
+                  0.09    0.00    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.07    0.14    1.86 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.10    1.96 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_7.X1 (net)
+                  0.06    0.00    1.96 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.14    2.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
+                  0.11    0.00    2.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    2.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_8.X1 (net)
+                  0.05    0.00    2.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    2.32 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
+                  0.08    0.00    2.32 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.42 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_9.X1 (net)
+                  0.05    0.00    2.42 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.13    2.54 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
+                  0.10    0.00    2.54 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_10.X1 (net)
+                  0.05    0.00    2.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.12    2.76 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
+                  0.09    0.00    2.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.16    2.92 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.d05 (net)
+                  0.07    0.00    2.92 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.13    3.05 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
+                  0.04    0.00    3.05 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12    3.17 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
+                  0.05    0.00    3.17 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.21    0.29    3.46 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     2    0.07                           mprj/u_wb_host/net223 (net)
+                  0.22    0.00    3.47 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
+                  0.19    0.28    3.74 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/wbd_clk_wh (net)
+                  0.19    0.00    3.74 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.23    3.97 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
+                  0.10    0.00    3.97 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.08    0.18    4.15 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    18    0.06                           mprj/u_wb_host/clknet_3_5__leaf_wbs_clk_i (net)
+                  0.08    0.01    4.16 ^ mprj/u_wb_host/_5496_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    4.26   clock uncertainty
+                         -0.29    3.97   clock reconvergence pessimism
+                          0.35    4.33   library removal time
+                                  4.33   data required time
 -----------------------------------------------------------------------------
-                                  1.04   data required time
-                                 -1.15   data arrival time
+                                  4.33   data required time
+                                 -4.74   data arrival time
 -----------------------------------------------------------------------------
-                                  0.11   slack (MET)
+                                  0.41   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_6420_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6523_
-          (removal check against rising-edge clock uart_clk)
+Startpoint: mprj/u_wb_host/_5951_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_wb_host/_5489_
+          (removal check against rising-edge clock wbs_clk_i)
 Path Group: **async_default**
 Path Type: min
 
 Fanout     Cap    Slew   Delay    Time   Description
 -----------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.16    0.16 ^ mprj/u_wb_host/_6420_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.43    0.98    1.14 ^ mprj/u_wb_host/_6420_/Q (sky130_fd_sc_hd__dfrtp_4)
-    46    0.15                           mprj/u_wb_host/u_uart2wb.line_reset_n (net)
-                  0.43    0.01    1.15 ^ mprj/u_wb_host/_6523_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  1.15   data arrival time
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.40    0.40 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__clkbuf_4)
+     4    0.05                           mprj/u_wb_host/net40 (net)
+                  0.17    0.00    0.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    0.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_1.X1 (net)
+                  0.05    0.00    0.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    0.61 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
+                  0.07    0.00    0.61 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.69 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_2.X1 (net)
+                  0.04    0.00    0.69 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    0.79 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
+                  0.08    0.00    0.79 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.09    0.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_3.X1 (net)
+                  0.05    0.00    0.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    1.02 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
+                  0.13    0.00    1.02 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    1.14 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_4.X1 (net)
+                  0.08    0.00    1.14 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.13    1.27 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
+                  0.10    0.00    1.27 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_5.X1 (net)
+                  0.05    0.00    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.06    0.11    1.48 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
+                  0.06    0.00    1.48 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.11    1.59 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_6.X1 (net)
+                  0.09    0.00    1.59 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.07    0.13    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    1.81 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_7.X1 (net)
+                  0.06    0.00    1.81 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.13    1.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
+                  0.11    0.00    1.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.04 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_8.X1 (net)
+                  0.05    0.00    2.04 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    2.15 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
+                  0.08    0.00    2.15 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.09    2.24 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_9.X1 (net)
+                  0.05    0.00    2.24 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.12    2.36 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
+                  0.10    0.00    2.36 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.46 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_10.X1 (net)
+                  0.05    0.00    2.46 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.11    2.56 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
+                  0.09    0.00    2.56 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.15    2.71 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.d05 (net)
+                  0.07    0.00    2.71 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    2.83 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
+                  0.04    0.00    2.83 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.11    2.94 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
+                  0.05    0.00    2.94 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.21    0.27    3.21 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     2    0.07                           mprj/u_wb_host/net223 (net)
+                  0.22    0.00    3.22 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
+                  0.19    0.26    3.47 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/wbd_clk_wh (net)
+                  0.19    0.00    3.47 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.21    3.68 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
+                  0.10    0.00    3.69 ^ mprj/u_wb_host/clkbuf_3_4__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.16    3.84 ^ mprj/u_wb_host/clkbuf_3_4__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    14    0.05                           mprj/u_wb_host/clknet_3_4__leaf_wbs_clk_i (net)
+                  0.07    0.00    3.85 ^ mprj/u_wb_host/_5951_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.04    0.31    4.16 ^ mprj/u_wb_host/_5951_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.rd_reset_n (net)
+                  0.04    0.00    4.16 ^ mprj/u_wb_host/hold2/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.13    0.58    4.74 ^ mprj/u_wb_host/hold2/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     2    0.01                           mprj/u_wb_host/net856 (net)
+                  0.13    0.00    4.74 ^ mprj/u_wb_host/fanout604/A (sky130_fd_sc_hd__buf_4)
+                  0.15    0.21    4.95 ^ mprj/u_wb_host/fanout604/X (sky130_fd_sc_hd__buf_4)
+    10    0.05                           mprj/u_wb_host/net604 (net)
+                  0.15    0.00    4.95 ^ mprj/u_wb_host/fanout603/A (sky130_fd_sc_hd__buf_4)
+                  0.14    0.21    5.16 ^ mprj/u_wb_host/fanout603/X (sky130_fd_sc_hd__buf_4)
+    10    0.05                           mprj/u_wb_host/net603 (net)
+                  0.14    0.00    5.16 ^ mprj/u_wb_host/_5489_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
+                                  5.16   data arrival time
 
-                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.19    0.19 ^ mprj/u_wb_host/_6523_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    0.29   clock uncertainty
-                          0.00    0.29   clock reconvergence pessimism
-                          0.76    1.04   library removal time
-                                  1.04   data required time
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.43    0.43 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__clkbuf_4)
+     4    0.05                           mprj/u_wb_host/net40 (net)
+                  0.17    0.00    0.43 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    0.55 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_1.X1 (net)
+                  0.05    0.00    0.55 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    0.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
+                  0.07    0.00    0.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.09    0.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_2.X1 (net)
+                  0.04    0.00    0.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    0.85 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
+                  0.08    0.00    0.85 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    0.95 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_3.X1 (net)
+                  0.05    0.00    0.95 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    1.10 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
+                  0.13    0.00    1.10 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.23 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_4.X1 (net)
+                  0.08    0.00    1.23 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.14    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
+                  0.10    0.00    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    1.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_5.X1 (net)
+                  0.05    0.00    1.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.06    0.12    1.60 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
+                  0.06    0.00    1.60 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.12    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_6.X1 (net)
+                  0.09    0.00    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.07    0.14    1.86 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.10    1.96 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_7.X1 (net)
+                  0.06    0.00    1.96 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.14    2.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
+                  0.11    0.00    2.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    2.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_8.X1 (net)
+                  0.05    0.00    2.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    2.32 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
+                  0.08    0.00    2.32 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.42 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_9.X1 (net)
+                  0.05    0.00    2.42 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.13    2.54 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
+                  0.10    0.00    2.54 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_10.X1 (net)
+                  0.05    0.00    2.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.12    2.76 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
+                  0.09    0.00    2.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.16    2.92 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.d05 (net)
+                  0.07    0.00    2.92 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.13    3.05 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
+                  0.04    0.00    3.05 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12    3.17 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
+                  0.05    0.00    3.17 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.21    0.29    3.46 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     2    0.07                           mprj/u_wb_host/net223 (net)
+                  0.22    0.00    3.47 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
+                  0.19    0.28    3.74 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/wbd_clk_wh (net)
+                  0.19    0.00    3.74 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.23    3.97 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
+                  0.10    0.00    3.97 ^ mprj/u_wb_host/clkbuf_3_7__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.13    4.10 ^ mprj/u_wb_host/clkbuf_3_7__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_3_7__leaf_wbs_clk_i (net)
+                  0.03    0.00    4.10 ^ mprj/u_wb_host/wire2/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.22    0.26    4.36 ^ mprj/u_wb_host/wire2/X (sky130_fd_sc_hd__clkbuf_4)
+    26    0.07                           mprj/u_wb_host/net853 (net)
+                  0.22    0.01    4.37 ^ mprj/u_wb_host/_5489_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    4.47   clock uncertainty
+                         -0.29    4.18   clock reconvergence pessimism
+                          0.39    4.58   library removal time
+                                  4.58   data required time
 -----------------------------------------------------------------------------
-                                  1.04   data required time
-                                 -1.15   data arrival time
+                                  4.58   data required time
+                                 -5.16   data arrival time
 -----------------------------------------------------------------------------
-                                  0.11   slack (MET)
+                                  0.58   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_6420_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6422_
-          (removal check against rising-edge clock uart_clk)
+Startpoint: mprj/u_wb_host/_5951_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_wb_host/_5483_
+          (removal check against rising-edge clock wbs_clk_i)
 Path Group: **async_default**
 Path Type: min
 
 Fanout     Cap    Slew   Delay    Time   Description
 -----------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.16    0.16 ^ mprj/u_wb_host/_6420_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.43    0.98    1.14 ^ mprj/u_wb_host/_6420_/Q (sky130_fd_sc_hd__dfrtp_4)
-    46    0.15                           mprj/u_wb_host/u_uart2wb.line_reset_n (net)
-                  0.43    0.01    1.15 ^ mprj/u_wb_host/_6422_/RESET_B (sky130_fd_sc_hd__dfrtp_4)
-                                  1.15   data arrival time
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.40    0.40 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__clkbuf_4)
+     4    0.05                           mprj/u_wb_host/net40 (net)
+                  0.17    0.00    0.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    0.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_1.X1 (net)
+                  0.05    0.00    0.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    0.61 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
+                  0.07    0.00    0.61 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.69 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_2.X1 (net)
+                  0.04    0.00    0.69 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    0.79 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
+                  0.08    0.00    0.79 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.09    0.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_3.X1 (net)
+                  0.05    0.00    0.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    1.02 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
+                  0.13    0.00    1.02 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    1.14 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_4.X1 (net)
+                  0.08    0.00    1.14 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.13    1.27 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
+                  0.10    0.00    1.27 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_5.X1 (net)
+                  0.05    0.00    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.06    0.11    1.48 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
+                  0.06    0.00    1.48 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.11    1.59 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_6.X1 (net)
+                  0.09    0.00    1.59 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.07    0.13    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    1.81 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_7.X1 (net)
+                  0.06    0.00    1.81 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.13    1.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
+                  0.11    0.00    1.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.04 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_8.X1 (net)
+                  0.05    0.00    2.04 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    2.15 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
+                  0.08    0.00    2.15 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.09    2.24 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_9.X1 (net)
+                  0.05    0.00    2.24 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.12    2.36 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
+                  0.10    0.00    2.36 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.46 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_10.X1 (net)
+                  0.05    0.00    2.46 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.11    2.56 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
+                  0.09    0.00    2.56 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.15    2.71 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.d05 (net)
+                  0.07    0.00    2.71 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    2.83 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
+                  0.04    0.00    2.83 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.11    2.94 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
+                  0.05    0.00    2.94 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.21    0.27    3.21 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     2    0.07                           mprj/u_wb_host/net223 (net)
+                  0.22    0.00    3.22 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
+                  0.19    0.26    3.47 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/wbd_clk_wh (net)
+                  0.19    0.00    3.47 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.21    3.68 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
+                  0.10    0.00    3.69 ^ mprj/u_wb_host/clkbuf_3_4__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.16    3.84 ^ mprj/u_wb_host/clkbuf_3_4__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    14    0.05                           mprj/u_wb_host/clknet_3_4__leaf_wbs_clk_i (net)
+                  0.07    0.00    3.85 ^ mprj/u_wb_host/_5951_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.04    0.31    4.16 ^ mprj/u_wb_host/_5951_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.rd_reset_n (net)
+                  0.04    0.00    4.16 ^ mprj/u_wb_host/hold2/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.13    0.58    4.74 ^ mprj/u_wb_host/hold2/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     2    0.01                           mprj/u_wb_host/net856 (net)
+                  0.13    0.00    4.74 ^ mprj/u_wb_host/fanout604/A (sky130_fd_sc_hd__buf_4)
+                  0.15    0.21    4.95 ^ mprj/u_wb_host/fanout604/X (sky130_fd_sc_hd__buf_4)
+    10    0.05                           mprj/u_wb_host/net604 (net)
+                  0.15    0.00    4.95 ^ mprj/u_wb_host/fanout603/A (sky130_fd_sc_hd__buf_4)
+                  0.14    0.21    5.16 ^ mprj/u_wb_host/fanout603/X (sky130_fd_sc_hd__buf_4)
+    10    0.05                           mprj/u_wb_host/net603 (net)
+                  0.14    0.00    5.16 ^ mprj/u_wb_host/_5483_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
+                                  5.16   data arrival time
 
-                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.19    0.19 ^ mprj/u_wb_host/_6422_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                          0.10    0.29   clock uncertainty
-                          0.00    0.29   clock reconvergence pessimism
-                          0.76    1.04   library removal time
-                                  1.04   data required time
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.43    0.43 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__clkbuf_4)
+     4    0.05                           mprj/u_wb_host/net40 (net)
+                  0.17    0.00    0.43 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    0.55 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_1.X1 (net)
+                  0.05    0.00    0.55 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    0.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
+                  0.07    0.00    0.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.09    0.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_2.X1 (net)
+                  0.04    0.00    0.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    0.85 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
+                  0.08    0.00    0.85 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    0.95 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_3.X1 (net)
+                  0.05    0.00    0.95 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    1.10 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
+                  0.13    0.00    1.10 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.23 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_4.X1 (net)
+                  0.08    0.00    1.23 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.14    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
+                  0.10    0.00    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    1.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_5.X1 (net)
+                  0.05    0.00    1.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.06    0.12    1.60 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
+                  0.06    0.00    1.60 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.12    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_6.X1 (net)
+                  0.09    0.00    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.07    0.14    1.86 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.10    1.96 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_7.X1 (net)
+                  0.06    0.00    1.96 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.14    2.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
+                  0.11    0.00    2.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    2.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_8.X1 (net)
+                  0.05    0.00    2.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    2.32 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
+                  0.08    0.00    2.32 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.42 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_9.X1 (net)
+                  0.05    0.00    2.42 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.13    2.54 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
+                  0.10    0.00    2.54 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_10.X1 (net)
+                  0.05    0.00    2.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.12    2.76 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
+                  0.09    0.00    2.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.16    2.92 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.d05 (net)
+                  0.07    0.00    2.92 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.13    3.05 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
+                  0.04    0.00    3.05 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12    3.17 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
+                  0.05    0.00    3.17 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.21    0.29    3.46 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     2    0.07                           mprj/u_wb_host/net223 (net)
+                  0.22    0.00    3.47 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
+                  0.19    0.28    3.74 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/wbd_clk_wh (net)
+                  0.19    0.00    3.74 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.23    3.97 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
+                  0.10    0.00    3.97 ^ mprj/u_wb_host/clkbuf_3_7__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.13    4.10 ^ mprj/u_wb_host/clkbuf_3_7__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_3_7__leaf_wbs_clk_i (net)
+                  0.03    0.00    4.10 ^ mprj/u_wb_host/wire2/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.22    0.26    4.36 ^ mprj/u_wb_host/wire2/X (sky130_fd_sc_hd__clkbuf_4)
+    26    0.07                           mprj/u_wb_host/net853 (net)
+                  0.22    0.01    4.37 ^ mprj/u_wb_host/_5483_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    4.47   clock uncertainty
+                         -0.29    4.18   clock reconvergence pessimism
+                          0.39    4.58   library removal time
+                                  4.58   data required time
 -----------------------------------------------------------------------------
-                                  1.04   data required time
-                                 -1.15   data arrival time
+                                  4.58   data required time
+                                 -5.16   data arrival time
 -----------------------------------------------------------------------------
-                                  0.11   slack (MET)
+                                  0.58   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_6420_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6424_
-          (removal check against rising-edge clock uart_clk)
+Startpoint: mprj/u_wb_host/_5951_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_wb_host/_6544_
+          (removal check against rising-edge clock wbs_clk_i)
 Path Group: **async_default**
 Path Type: min
 
 Fanout     Cap    Slew   Delay    Time   Description
 -----------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.16    0.16 ^ mprj/u_wb_host/_6420_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.43    0.98    1.14 ^ mprj/u_wb_host/_6420_/Q (sky130_fd_sc_hd__dfrtp_4)
-    46    0.15                           mprj/u_wb_host/u_uart2wb.line_reset_n (net)
-                  0.43    0.01    1.15 ^ mprj/u_wb_host/_6424_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  1.15   data arrival time
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.40    0.40 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__clkbuf_4)
+     4    0.05                           mprj/u_wb_host/net40 (net)
+                  0.17    0.00    0.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    0.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_1.X1 (net)
+                  0.05    0.00    0.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    0.61 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
+                  0.07    0.00    0.61 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.69 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_2.X1 (net)
+                  0.04    0.00    0.69 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    0.79 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
+                  0.08    0.00    0.79 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.09    0.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_3.X1 (net)
+                  0.05    0.00    0.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    1.02 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
+                  0.13    0.00    1.02 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    1.14 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_4.X1 (net)
+                  0.08    0.00    1.14 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.13    1.27 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
+                  0.10    0.00    1.27 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_5.X1 (net)
+                  0.05    0.00    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.06    0.11    1.48 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
+                  0.06    0.00    1.48 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.11    1.59 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_6.X1 (net)
+                  0.09    0.00    1.59 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.07    0.13    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    1.81 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_7.X1 (net)
+                  0.06    0.00    1.81 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.13    1.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
+                  0.11    0.00    1.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.04 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_8.X1 (net)
+                  0.05    0.00    2.04 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    2.15 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
+                  0.08    0.00    2.15 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.09    2.24 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_9.X1 (net)
+                  0.05    0.00    2.24 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.12    2.36 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
+                  0.10    0.00    2.36 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.46 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_10.X1 (net)
+                  0.05    0.00    2.46 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.11    2.56 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
+                  0.09    0.00    2.56 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.15    2.71 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.d05 (net)
+                  0.07    0.00    2.71 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    2.83 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
+                  0.04    0.00    2.83 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.11    2.94 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
+                  0.05    0.00    2.94 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.21    0.27    3.21 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     2    0.07                           mprj/u_wb_host/net223 (net)
+                  0.22    0.00    3.22 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
+                  0.19    0.26    3.47 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/wbd_clk_wh (net)
+                  0.19    0.00    3.47 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.21    3.68 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
+                  0.10    0.00    3.69 ^ mprj/u_wb_host/clkbuf_3_4__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.16    3.84 ^ mprj/u_wb_host/clkbuf_3_4__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    14    0.05                           mprj/u_wb_host/clknet_3_4__leaf_wbs_clk_i (net)
+                  0.07    0.00    3.85 ^ mprj/u_wb_host/_5951_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.04    0.31    4.16 ^ mprj/u_wb_host/_5951_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.rd_reset_n (net)
+                  0.04    0.00    4.16 ^ mprj/u_wb_host/hold2/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.13    0.58    4.74 ^ mprj/u_wb_host/hold2/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     2    0.01                           mprj/u_wb_host/net856 (net)
+                  0.13    0.00    4.74 ^ mprj/u_wb_host/fanout604/A (sky130_fd_sc_hd__buf_4)
+                  0.15    0.21    4.95 ^ mprj/u_wb_host/fanout604/X (sky130_fd_sc_hd__buf_4)
+    10    0.05                           mprj/u_wb_host/net604 (net)
+                  0.15    0.00    4.95 ^ mprj/u_wb_host/fanout603/A (sky130_fd_sc_hd__buf_4)
+                  0.14    0.21    5.16 ^ mprj/u_wb_host/fanout603/X (sky130_fd_sc_hd__buf_4)
+    10    0.05                           mprj/u_wb_host/net603 (net)
+                  0.14    0.00    5.16 ^ mprj/u_wb_host/_6544_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
+                                  5.16   data arrival time
 
-                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.19    0.19 ^ mprj/u_wb_host/_6424_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    0.29   clock uncertainty
-                          0.00    0.29   clock reconvergence pessimism
-                          0.76    1.04   library removal time
-                                  1.04   data required time
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.43    0.43 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__clkbuf_4)
+     4    0.05                           mprj/u_wb_host/net40 (net)
+                  0.17    0.00    0.43 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    0.55 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_1.X1 (net)
+                  0.05    0.00    0.55 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    0.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
+                  0.07    0.00    0.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.09    0.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_2.X1 (net)
+                  0.04    0.00    0.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    0.85 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
+                  0.08    0.00    0.85 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    0.95 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_3.X1 (net)
+                  0.05    0.00    0.95 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    1.10 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
+                  0.13    0.00    1.10 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.23 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_4.X1 (net)
+                  0.08    0.00    1.23 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.14    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
+                  0.10    0.00    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    1.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_5.X1 (net)
+                  0.05    0.00    1.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.06    0.12    1.60 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
+                  0.06    0.00    1.60 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.12    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_6.X1 (net)
+                  0.09    0.00    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.07    0.14    1.86 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.10    1.96 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_7.X1 (net)
+                  0.06    0.00    1.96 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.14    2.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
+                  0.11    0.00    2.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    2.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_8.X1 (net)
+                  0.05    0.00    2.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    2.32 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
+                  0.08    0.00    2.32 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.42 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_9.X1 (net)
+                  0.05    0.00    2.42 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.13    2.54 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
+                  0.10    0.00    2.54 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_10.X1 (net)
+                  0.05    0.00    2.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.12    2.76 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
+                  0.09    0.00    2.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.16    2.92 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.d05 (net)
+                  0.07    0.00    2.92 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.13    3.05 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
+                  0.04    0.00    3.05 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12    3.17 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
+                  0.05    0.00    3.17 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.21    0.29    3.46 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     2    0.07                           mprj/u_wb_host/net223 (net)
+                  0.22    0.00    3.47 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
+                  0.19    0.28    3.74 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/wbd_clk_wh (net)
+                  0.19    0.00    3.74 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.23    3.97 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
+                  0.10    0.00    3.97 ^ mprj/u_wb_host/clkbuf_3_7__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.13    4.10 ^ mprj/u_wb_host/clkbuf_3_7__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_3_7__leaf_wbs_clk_i (net)
+                  0.03    0.00    4.10 ^ mprj/u_wb_host/wire2/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.22    0.26    4.36 ^ mprj/u_wb_host/wire2/X (sky130_fd_sc_hd__clkbuf_4)
+    26    0.07                           mprj/u_wb_host/net853 (net)
+                  0.22    0.01    4.37 ^ mprj/u_wb_host/_6544_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    4.47   clock uncertainty
+                         -0.29    4.18   clock reconvergence pessimism
+                          0.39    4.58   library removal time
+                                  4.58   data required time
 -----------------------------------------------------------------------------
-                                  1.04   data required time
-                                 -1.15   data arrival time
+                                  4.58   data required time
+                                 -5.16   data arrival time
 -----------------------------------------------------------------------------
-                                  0.11   slack (MET)
+                                  0.58   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_6420_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6425_
-          (removal check against rising-edge clock uart_clk)
+Startpoint: mprj/u_wb_host/_5951_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_wb_host/_5494_
+          (removal check against rising-edge clock wbs_clk_i)
 Path Group: **async_default**
 Path Type: min
 
 Fanout     Cap    Slew   Delay    Time   Description
 -----------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.16    0.16 ^ mprj/u_wb_host/_6420_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.43    0.98    1.14 ^ mprj/u_wb_host/_6420_/Q (sky130_fd_sc_hd__dfrtp_4)
-    46    0.15                           mprj/u_wb_host/u_uart2wb.line_reset_n (net)
-                  0.43    0.01    1.15 ^ mprj/u_wb_host/_6425_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  1.15   data arrival time
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.40    0.40 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__clkbuf_4)
+     4    0.05                           mprj/u_wb_host/net40 (net)
+                  0.17    0.00    0.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    0.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_1.X1 (net)
+                  0.05    0.00    0.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    0.61 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
+                  0.07    0.00    0.61 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.69 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_2.X1 (net)
+                  0.04    0.00    0.69 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    0.79 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
+                  0.08    0.00    0.79 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.09    0.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_3.X1 (net)
+                  0.05    0.00    0.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    1.02 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
+                  0.13    0.00    1.02 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    1.14 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_4.X1 (net)
+                  0.08    0.00    1.14 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.13    1.27 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
+                  0.10    0.00    1.27 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_5.X1 (net)
+                  0.05    0.00    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.06    0.11    1.48 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
+                  0.06    0.00    1.48 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.11    1.59 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_6.X1 (net)
+                  0.09    0.00    1.59 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.07    0.13    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    1.81 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_7.X1 (net)
+                  0.06    0.00    1.81 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.13    1.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
+                  0.11    0.00    1.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.04 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_8.X1 (net)
+                  0.05    0.00    2.04 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    2.15 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
+                  0.08    0.00    2.15 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.09    2.24 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_9.X1 (net)
+                  0.05    0.00    2.24 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.12    2.36 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
+                  0.10    0.00    2.36 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.46 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_10.X1 (net)
+                  0.05    0.00    2.46 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.11    2.56 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
+                  0.09    0.00    2.56 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.15    2.71 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.d05 (net)
+                  0.07    0.00    2.71 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    2.83 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
+                  0.04    0.00    2.83 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.11    2.94 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
+                  0.05    0.00    2.94 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.21    0.27    3.21 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     2    0.07                           mprj/u_wb_host/net223 (net)
+                  0.22    0.00    3.22 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
+                  0.19    0.26    3.47 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/wbd_clk_wh (net)
+                  0.19    0.00    3.47 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.21    3.68 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
+                  0.10    0.00    3.69 ^ mprj/u_wb_host/clkbuf_3_4__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.16    3.84 ^ mprj/u_wb_host/clkbuf_3_4__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    14    0.05                           mprj/u_wb_host/clknet_3_4__leaf_wbs_clk_i (net)
+                  0.07    0.00    3.85 ^ mprj/u_wb_host/_5951_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.04    0.31    4.16 ^ mprj/u_wb_host/_5951_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.rd_reset_n (net)
+                  0.04    0.00    4.16 ^ mprj/u_wb_host/hold2/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.13    0.58    4.74 ^ mprj/u_wb_host/hold2/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     2    0.01                           mprj/u_wb_host/net856 (net)
+                  0.13    0.00    4.74 ^ mprj/u_wb_host/fanout604/A (sky130_fd_sc_hd__buf_4)
+                  0.15    0.21    4.95 ^ mprj/u_wb_host/fanout604/X (sky130_fd_sc_hd__buf_4)
+    10    0.05                           mprj/u_wb_host/net604 (net)
+                  0.15    0.00    4.95 ^ mprj/u_wb_host/_5494_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
+                                  4.95   data arrival time
 
-                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.19    0.19 ^ mprj/u_wb_host/_6425_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    0.29   clock uncertainty
-                          0.00    0.29   clock reconvergence pessimism
-                          0.76    1.04   library removal time
-                                  1.04   data required time
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.43    0.43 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__clkbuf_4)
+     4    0.05                           mprj/u_wb_host/net40 (net)
+                  0.17    0.00    0.43 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    0.55 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_1.X1 (net)
+                  0.05    0.00    0.55 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    0.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
+                  0.07    0.00    0.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.09    0.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_2.X1 (net)
+                  0.04    0.00    0.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    0.85 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
+                  0.08    0.00    0.85 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    0.95 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_3.X1 (net)
+                  0.05    0.00    0.95 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    1.10 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
+                  0.13    0.00    1.10 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.23 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_4.X1 (net)
+                  0.08    0.00    1.23 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.14    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
+                  0.10    0.00    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    1.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_5.X1 (net)
+                  0.05    0.00    1.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.06    0.12    1.60 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
+                  0.06    0.00    1.60 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.12    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_6.X1 (net)
+                  0.09    0.00    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.07    0.14    1.86 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.10    1.96 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_7.X1 (net)
+                  0.06    0.00    1.96 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.14    2.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
+                  0.11    0.00    2.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    2.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_8.X1 (net)
+                  0.05    0.00    2.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    2.32 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
+                  0.08    0.00    2.32 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.42 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_9.X1 (net)
+                  0.05    0.00    2.42 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.13    2.54 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
+                  0.10    0.00    2.54 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_10.X1 (net)
+                  0.05    0.00    2.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.12    2.76 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
+                  0.09    0.00    2.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.16    2.92 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.d05 (net)
+                  0.07    0.00    2.92 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.13    3.05 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
+                  0.04    0.00    3.05 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12    3.17 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
+                  0.05    0.00    3.17 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.21    0.29    3.46 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     2    0.07                           mprj/u_wb_host/net223 (net)
+                  0.22    0.00    3.47 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
+                  0.19    0.28    3.74 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/wbd_clk_wh (net)
+                  0.19    0.00    3.74 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.23    3.97 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
+                  0.10    0.00    3.97 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.08    0.18    4.15 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    18    0.06                           mprj/u_wb_host/clknet_3_5__leaf_wbs_clk_i (net)
+                  0.08    0.00    4.16 ^ mprj/u_wb_host/_5494_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    4.26   clock uncertainty
+                         -0.29    3.97   clock reconvergence pessimism
+                          0.36    4.33   library removal time
+                                  4.33   data required time
 -----------------------------------------------------------------------------
-                                  1.04   data required time
-                                 -1.15   data arrival time
+                                  4.33   data required time
+                                 -4.95   data arrival time
 -----------------------------------------------------------------------------
-                                  0.11   slack (MET)
+                                  0.62   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_6420_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6525_
-          (removal check against rising-edge clock uart_clk)
+Startpoint: mprj/u_wb_host/_5951_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_wb_host/_5472_
+          (removal check against rising-edge clock wbs_clk_i)
 Path Group: **async_default**
 Path Type: min
 
 Fanout     Cap    Slew   Delay    Time   Description
 -----------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.16    0.16 ^ mprj/u_wb_host/_6420_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.43    0.98    1.14 ^ mprj/u_wb_host/_6420_/Q (sky130_fd_sc_hd__dfrtp_4)
-    46    0.15                           mprj/u_wb_host/u_uart2wb.line_reset_n (net)
-                  0.43    0.01    1.15 ^ mprj/u_wb_host/_6525_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  1.15   data arrival time
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.40    0.40 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__clkbuf_4)
+     4    0.05                           mprj/u_wb_host/net40 (net)
+                  0.17    0.00    0.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    0.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_1.X1 (net)
+                  0.05    0.00    0.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    0.61 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
+                  0.07    0.00    0.61 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.69 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_2.X1 (net)
+                  0.04    0.00    0.69 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    0.79 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
+                  0.08    0.00    0.79 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.09    0.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_3.X1 (net)
+                  0.05    0.00    0.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    1.02 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
+                  0.13    0.00    1.02 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    1.14 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_4.X1 (net)
+                  0.08    0.00    1.14 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.13    1.27 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
+                  0.10    0.00    1.27 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_5.X1 (net)
+                  0.05    0.00    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.06    0.11    1.48 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
+                  0.06    0.00    1.48 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.11    1.59 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_6.X1 (net)
+                  0.09    0.00    1.59 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.07    0.13    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    1.81 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_7.X1 (net)
+                  0.06    0.00    1.81 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.13    1.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
+                  0.11    0.00    1.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.04 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_8.X1 (net)
+                  0.05    0.00    2.04 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    2.15 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
+                  0.08    0.00    2.15 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.09    2.24 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_9.X1 (net)
+                  0.05    0.00    2.24 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.12    2.36 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
+                  0.10    0.00    2.36 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.46 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_10.X1 (net)
+                  0.05    0.00    2.46 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.11    2.56 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
+                  0.09    0.00    2.56 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.15    2.71 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.d05 (net)
+                  0.07    0.00    2.71 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    2.83 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
+                  0.04    0.00    2.83 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.11    2.94 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
+                  0.05    0.00    2.94 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.21    0.27    3.21 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     2    0.07                           mprj/u_wb_host/net223 (net)
+                  0.22    0.00    3.22 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
+                  0.19    0.26    3.47 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/wbd_clk_wh (net)
+                  0.19    0.00    3.47 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.21    3.68 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
+                  0.10    0.00    3.69 ^ mprj/u_wb_host/clkbuf_3_4__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.16    3.84 ^ mprj/u_wb_host/clkbuf_3_4__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    14    0.05                           mprj/u_wb_host/clknet_3_4__leaf_wbs_clk_i (net)
+                  0.07    0.00    3.85 ^ mprj/u_wb_host/_5951_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.04    0.31    4.16 ^ mprj/u_wb_host/_5951_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.rd_reset_n (net)
+                  0.04    0.00    4.16 ^ mprj/u_wb_host/hold2/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.13    0.58    4.74 ^ mprj/u_wb_host/hold2/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     2    0.01                           mprj/u_wb_host/net856 (net)
+                  0.13    0.00    4.74 ^ mprj/u_wb_host/fanout604/A (sky130_fd_sc_hd__buf_4)
+                  0.15    0.21    4.95 ^ mprj/u_wb_host/fanout604/X (sky130_fd_sc_hd__buf_4)
+    10    0.05                           mprj/u_wb_host/net604 (net)
+                  0.15    0.00    4.95 ^ mprj/u_wb_host/_5472_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
+                                  4.95   data arrival time
 
-                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.18    0.18 ^ mprj/u_wb_host/_6525_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    0.28   clock uncertainty
-                          0.00    0.28   clock reconvergence pessimism
-                          0.76    1.04   library removal time
-                                  1.04   data required time
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.43    0.43 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__clkbuf_4)
+     4    0.05                           mprj/u_wb_host/net40 (net)
+                  0.17    0.00    0.43 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    0.55 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_1.X1 (net)
+                  0.05    0.00    0.55 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    0.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
+                  0.07    0.00    0.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.09    0.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_2.X1 (net)
+                  0.04    0.00    0.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    0.85 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
+                  0.08    0.00    0.85 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    0.95 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_3.X1 (net)
+                  0.05    0.00    0.95 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    1.10 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
+                  0.13    0.00    1.10 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.23 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_4.X1 (net)
+                  0.08    0.00    1.23 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.14    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
+                  0.10    0.00    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    1.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_5.X1 (net)
+                  0.05    0.00    1.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.06    0.12    1.60 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
+                  0.06    0.00    1.60 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.12    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_6.X1 (net)
+                  0.09    0.00    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.07    0.14    1.86 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.10    1.96 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_7.X1 (net)
+                  0.06    0.00    1.96 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.14    2.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
+                  0.11    0.00    2.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    2.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_8.X1 (net)
+                  0.05    0.00    2.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    2.32 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
+                  0.08    0.00    2.32 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.42 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_9.X1 (net)
+                  0.05    0.00    2.42 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.13    2.54 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
+                  0.10    0.00    2.54 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_10.X1 (net)
+                  0.05    0.00    2.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.12    2.76 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
+                  0.09    0.00    2.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.16    2.92 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.d05 (net)
+                  0.07    0.00    2.92 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.13    3.05 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
+                  0.04    0.00    3.05 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12    3.17 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
+                  0.05    0.00    3.17 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.21    0.29    3.46 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     2    0.07                           mprj/u_wb_host/net223 (net)
+                  0.22    0.00    3.47 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
+                  0.19    0.28    3.74 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/wbd_clk_wh (net)
+                  0.19    0.00    3.74 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.23    3.97 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
+                  0.10    0.00    3.97 ^ mprj/u_wb_host/clkbuf_3_6__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.08    0.18    4.15 ^ mprj/u_wb_host/clkbuf_3_6__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    20    0.06                           mprj/u_wb_host/clknet_3_6__leaf_wbs_clk_i (net)
+                  0.08    0.00    4.16 ^ mprj/u_wb_host/_5472_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    4.26   clock uncertainty
+                         -0.29    3.97   clock reconvergence pessimism
+                          0.36    4.33   library removal time
+                                  4.33   data required time
 -----------------------------------------------------------------------------
-                                  1.04   data required time
-                                 -1.15   data arrival time
+                                  4.33   data required time
+                                 -4.95   data arrival time
 -----------------------------------------------------------------------------
-                                  0.11   slack (MET)
+                                  0.62   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_6420_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6524_
-          (removal check against rising-edge clock uart_clk)
+Startpoint: mprj/u_wb_host/_5951_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_wb_host/_5491_
+          (removal check against rising-edge clock wbs_clk_i)
 Path Group: **async_default**
 Path Type: min
 
 Fanout     Cap    Slew   Delay    Time   Description
 -----------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.16    0.16 ^ mprj/u_wb_host/_6420_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.43    0.98    1.14 ^ mprj/u_wb_host/_6420_/Q (sky130_fd_sc_hd__dfrtp_4)
-    46    0.15                           mprj/u_wb_host/u_uart2wb.line_reset_n (net)
-                  0.43    0.01    1.15 ^ mprj/u_wb_host/_6524_/RESET_B (sky130_fd_sc_hd__dfrtp_2)
-                                  1.15   data arrival time
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.40    0.40 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__clkbuf_4)
+     4    0.05                           mprj/u_wb_host/net40 (net)
+                  0.17    0.00    0.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    0.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_1.X1 (net)
+                  0.05    0.00    0.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    0.61 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
+                  0.07    0.00    0.61 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.69 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_2.X1 (net)
+                  0.04    0.00    0.69 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    0.79 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
+                  0.08    0.00    0.79 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.09    0.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_3.X1 (net)
+                  0.05    0.00    0.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    1.02 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
+                  0.13    0.00    1.02 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    1.14 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_4.X1 (net)
+                  0.08    0.00    1.14 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.13    1.27 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
+                  0.10    0.00    1.27 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_5.X1 (net)
+                  0.05    0.00    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.06    0.11    1.48 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
+                  0.06    0.00    1.48 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.11    1.59 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_6.X1 (net)
+                  0.09    0.00    1.59 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.07    0.13    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    1.81 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_7.X1 (net)
+                  0.06    0.00    1.81 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.13    1.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
+                  0.11    0.00    1.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.04 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_8.X1 (net)
+                  0.05    0.00    2.04 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    2.15 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
+                  0.08    0.00    2.15 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.09    2.24 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_9.X1 (net)
+                  0.05    0.00    2.24 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.12    2.36 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
+                  0.10    0.00    2.36 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.46 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_10.X1 (net)
+                  0.05    0.00    2.46 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.11    2.56 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
+                  0.09    0.00    2.56 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.15    2.71 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.d05 (net)
+                  0.07    0.00    2.71 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    2.83 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
+                  0.04    0.00    2.83 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.11    2.94 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
+                  0.05    0.00    2.94 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.21    0.27    3.21 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     2    0.07                           mprj/u_wb_host/net223 (net)
+                  0.22    0.00    3.22 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
+                  0.19    0.26    3.47 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/wbd_clk_wh (net)
+                  0.19    0.00    3.47 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.21    3.68 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
+                  0.10    0.00    3.69 ^ mprj/u_wb_host/clkbuf_3_4__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.16    3.84 ^ mprj/u_wb_host/clkbuf_3_4__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    14    0.05                           mprj/u_wb_host/clknet_3_4__leaf_wbs_clk_i (net)
+                  0.07    0.00    3.85 ^ mprj/u_wb_host/_5951_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.04    0.31    4.16 ^ mprj/u_wb_host/_5951_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.rd_reset_n (net)
+                  0.04    0.00    4.16 ^ mprj/u_wb_host/hold2/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.13    0.58    4.74 ^ mprj/u_wb_host/hold2/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     2    0.01                           mprj/u_wb_host/net856 (net)
+                  0.13    0.00    4.74 ^ mprj/u_wb_host/fanout604/A (sky130_fd_sc_hd__buf_4)
+                  0.15    0.21    4.95 ^ mprj/u_wb_host/fanout604/X (sky130_fd_sc_hd__buf_4)
+    10    0.05                           mprj/u_wb_host/net604 (net)
+                  0.15    0.00    4.95 ^ mprj/u_wb_host/_5491_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
+                                  4.95   data arrival time
 
-                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.19    0.19 ^ mprj/u_wb_host/_6524_/CLK (sky130_fd_sc_hd__dfrtp_2)
-                          0.10    0.29   clock uncertainty
-                          0.00    0.29   clock reconvergence pessimism
-                          0.76    1.04   library removal time
-                                  1.04   data required time
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.43    0.43 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__clkbuf_4)
+     4    0.05                           mprj/u_wb_host/net40 (net)
+                  0.17    0.00    0.43 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    0.55 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_1.X1 (net)
+                  0.05    0.00    0.55 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    0.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
+                  0.07    0.00    0.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.09    0.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_2.X1 (net)
+                  0.04    0.00    0.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    0.85 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
+                  0.08    0.00    0.85 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    0.95 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_3.X1 (net)
+                  0.05    0.00    0.95 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    1.10 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
+                  0.13    0.00    1.10 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.23 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_4.X1 (net)
+                  0.08    0.00    1.23 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.14    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
+                  0.10    0.00    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    1.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_5.X1 (net)
+                  0.05    0.00    1.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.06    0.12    1.60 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
+                  0.06    0.00    1.60 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.12    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_6.X1 (net)
+                  0.09    0.00    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.07    0.14    1.86 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.10    1.96 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_7.X1 (net)
+                  0.06    0.00    1.96 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.14    2.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
+                  0.11    0.00    2.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    2.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_8.X1 (net)
+                  0.05    0.00    2.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    2.32 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
+                  0.08    0.00    2.32 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.42 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_9.X1 (net)
+                  0.05    0.00    2.42 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.13    2.54 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
+                  0.10    0.00    2.54 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_10.X1 (net)
+                  0.05    0.00    2.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.12    2.76 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
+                  0.09    0.00    2.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.16    2.92 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.d05 (net)
+                  0.07    0.00    2.92 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.13    3.05 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
+                  0.04    0.00    3.05 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12    3.17 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
+                  0.05    0.00    3.17 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.21    0.29    3.46 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     2    0.07                           mprj/u_wb_host/net223 (net)
+                  0.22    0.00    3.47 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
+                  0.19    0.28    3.74 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/wbd_clk_wh (net)
+                  0.19    0.00    3.74 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.23    3.97 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
+                  0.10    0.00    3.97 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.08    0.18    4.15 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    18    0.06                           mprj/u_wb_host/clknet_3_5__leaf_wbs_clk_i (net)
+                  0.08    0.00    4.16 ^ mprj/u_wb_host/_5491_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    4.26   clock uncertainty
+                         -0.29    3.97   clock reconvergence pessimism
+                          0.36    4.33   library removal time
+                                  4.33   data required time
 -----------------------------------------------------------------------------
-                                  1.04   data required time
-                                 -1.15   data arrival time
+                                  4.33   data required time
+                                 -4.95   data arrival time
 -----------------------------------------------------------------------------
-                                  0.11   slack (MET)
+                                  0.62   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_6420_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6346_
-          (removal check against rising-edge clock uart_clk)
+Startpoint: mprj/u_wb_host/_5951_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_wb_host/_5484_
+          (removal check against rising-edge clock wbs_clk_i)
 Path Group: **async_default**
 Path Type: min
 
 Fanout     Cap    Slew   Delay    Time   Description
 -----------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.16    0.16 ^ mprj/u_wb_host/_6420_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.43    0.98    1.14 ^ mprj/u_wb_host/_6420_/Q (sky130_fd_sc_hd__dfrtp_4)
-    46    0.15                           mprj/u_wb_host/u_uart2wb.line_reset_n (net)
-                  0.43    0.00    1.15 ^ mprj/u_wb_host/_6346_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  1.15   data arrival time
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.40    0.40 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__clkbuf_4)
+     4    0.05                           mprj/u_wb_host/net40 (net)
+                  0.17    0.00    0.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    0.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_1.X1 (net)
+                  0.05    0.00    0.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    0.61 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
+                  0.07    0.00    0.61 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.69 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_2.X1 (net)
+                  0.04    0.00    0.69 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    0.79 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
+                  0.08    0.00    0.79 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.09    0.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_3.X1 (net)
+                  0.05    0.00    0.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    1.02 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
+                  0.13    0.00    1.02 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    1.14 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_4.X1 (net)
+                  0.08    0.00    1.14 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.13    1.27 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
+                  0.10    0.00    1.27 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_5.X1 (net)
+                  0.05    0.00    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.06    0.11    1.48 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
+                  0.06    0.00    1.48 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.11    1.59 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_6.X1 (net)
+                  0.09    0.00    1.59 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.07    0.13    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    1.81 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_7.X1 (net)
+                  0.06    0.00    1.81 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.13    1.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
+                  0.11    0.00    1.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.04 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_8.X1 (net)
+                  0.05    0.00    2.04 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    2.15 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
+                  0.08    0.00    2.15 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.09    2.24 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_9.X1 (net)
+                  0.05    0.00    2.24 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.12    2.36 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
+                  0.10    0.00    2.36 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.46 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_10.X1 (net)
+                  0.05    0.00    2.46 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.11    2.56 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
+                  0.09    0.00    2.56 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.15    2.71 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.d05 (net)
+                  0.07    0.00    2.71 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    2.83 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
+                  0.04    0.00    2.83 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.11    2.94 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
+                  0.05    0.00    2.94 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.21    0.27    3.21 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     2    0.07                           mprj/u_wb_host/net223 (net)
+                  0.22    0.00    3.22 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
+                  0.19    0.26    3.47 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/wbd_clk_wh (net)
+                  0.19    0.00    3.47 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.21    3.68 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
+                  0.10    0.00    3.69 ^ mprj/u_wb_host/clkbuf_3_4__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.16    3.84 ^ mprj/u_wb_host/clkbuf_3_4__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    14    0.05                           mprj/u_wb_host/clknet_3_4__leaf_wbs_clk_i (net)
+                  0.07    0.00    3.85 ^ mprj/u_wb_host/_5951_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.04    0.31    4.16 ^ mprj/u_wb_host/_5951_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.rd_reset_n (net)
+                  0.04    0.00    4.16 ^ mprj/u_wb_host/hold2/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.13    0.58    4.74 ^ mprj/u_wb_host/hold2/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     2    0.01                           mprj/u_wb_host/net856 (net)
+                  0.13    0.00    4.74 ^ mprj/u_wb_host/fanout604/A (sky130_fd_sc_hd__buf_4)
+                  0.15    0.21    4.95 ^ mprj/u_wb_host/fanout604/X (sky130_fd_sc_hd__buf_4)
+    10    0.05                           mprj/u_wb_host/net604 (net)
+                  0.15    0.00    4.95 ^ mprj/u_wb_host/_5484_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
+                                  4.95   data arrival time
 
-                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.18    0.18 ^ mprj/u_wb_host/_6346_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    0.28   clock uncertainty
-                          0.00    0.28   clock reconvergence pessimism
-                          0.76    1.03   library removal time
-                                  1.03   data required time
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.43    0.43 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__clkbuf_4)
+     4    0.05                           mprj/u_wb_host/net40 (net)
+                  0.17    0.00    0.43 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    0.55 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_1.X1 (net)
+                  0.05    0.00    0.55 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    0.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
+                  0.07    0.00    0.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.09    0.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_2.X1 (net)
+                  0.04    0.00    0.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    0.85 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
+                  0.08    0.00    0.85 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    0.95 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_3.X1 (net)
+                  0.05    0.00    0.95 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    1.10 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
+                  0.13    0.00    1.10 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.23 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_4.X1 (net)
+                  0.08    0.00    1.23 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.14    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
+                  0.10    0.00    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    1.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_5.X1 (net)
+                  0.05    0.00    1.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.06    0.12    1.60 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
+                  0.06    0.00    1.60 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.12    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_6.X1 (net)
+                  0.09    0.00    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.07    0.14    1.86 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.10    1.96 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_7.X1 (net)
+                  0.06    0.00    1.96 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.14    2.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
+                  0.11    0.00    2.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    2.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_8.X1 (net)
+                  0.05    0.00    2.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    2.32 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
+                  0.08    0.00    2.32 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.42 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_9.X1 (net)
+                  0.05    0.00    2.42 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.13    2.54 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
+                  0.10    0.00    2.54 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_10.X1 (net)
+                  0.05    0.00    2.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.12    2.76 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
+                  0.09    0.00    2.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.16    2.92 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.d05 (net)
+                  0.07    0.00    2.92 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.13    3.05 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
+                  0.04    0.00    3.05 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12    3.17 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
+                  0.05    0.00    3.17 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.21    0.29    3.46 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     2    0.07                           mprj/u_wb_host/net223 (net)
+                  0.22    0.00    3.47 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
+                  0.19    0.28    3.74 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/wbd_clk_wh (net)
+                  0.19    0.00    3.74 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.23    3.97 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
+                  0.10    0.00    3.97 ^ mprj/u_wb_host/clkbuf_3_6__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.08    0.18    4.15 ^ mprj/u_wb_host/clkbuf_3_6__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    20    0.06                           mprj/u_wb_host/clknet_3_6__leaf_wbs_clk_i (net)
+                  0.08    0.00    4.16 ^ mprj/u_wb_host/_5484_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    4.26   clock uncertainty
+                         -0.29    3.97   clock reconvergence pessimism
+                          0.36    4.33   library removal time
+                                  4.33   data required time
 -----------------------------------------------------------------------------
-                                  1.03   data required time
-                                 -1.15   data arrival time
+                                  4.33   data required time
+                                 -4.95   data arrival time
 -----------------------------------------------------------------------------
-                                  0.11   slack (MET)
+                                  0.62   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_6420_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6344_
-          (removal check against rising-edge clock uart_clk)
+Startpoint: mprj/u_wb_host/_5951_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_wb_host/_5488_
+          (removal check against rising-edge clock wbs_clk_i)
 Path Group: **async_default**
 Path Type: min
 
 Fanout     Cap    Slew   Delay    Time   Description
 -----------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.16    0.16 ^ mprj/u_wb_host/_6420_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.43    0.98    1.14 ^ mprj/u_wb_host/_6420_/Q (sky130_fd_sc_hd__dfrtp_4)
-    46    0.15                           mprj/u_wb_host/u_uart2wb.line_reset_n (net)
-                  0.43    0.00    1.15 ^ mprj/u_wb_host/_6344_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  1.15   data arrival time
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.40    0.40 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__clkbuf_4)
+     4    0.05                           mprj/u_wb_host/net40 (net)
+                  0.17    0.00    0.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    0.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_1.X1 (net)
+                  0.05    0.00    0.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    0.61 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
+                  0.07    0.00    0.61 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.69 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_2.X1 (net)
+                  0.04    0.00    0.69 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    0.79 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
+                  0.08    0.00    0.79 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.09    0.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_3.X1 (net)
+                  0.05    0.00    0.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    1.02 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
+                  0.13    0.00    1.02 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    1.14 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_4.X1 (net)
+                  0.08    0.00    1.14 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.13    1.27 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
+                  0.10    0.00    1.27 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_5.X1 (net)
+                  0.05    0.00    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.06    0.11    1.48 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
+                  0.06    0.00    1.48 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.11    1.59 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_6.X1 (net)
+                  0.09    0.00    1.59 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.07    0.13    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    1.81 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_7.X1 (net)
+                  0.06    0.00    1.81 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.13    1.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
+                  0.11    0.00    1.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.04 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_8.X1 (net)
+                  0.05    0.00    2.04 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    2.15 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
+                  0.08    0.00    2.15 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.09    2.24 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_9.X1 (net)
+                  0.05    0.00    2.24 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.12    2.36 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
+                  0.10    0.00    2.36 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.46 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_10.X1 (net)
+                  0.05    0.00    2.46 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.11    2.56 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
+                  0.09    0.00    2.56 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.15    2.71 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.d05 (net)
+                  0.07    0.00    2.71 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    2.83 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
+                  0.04    0.00    2.83 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.11    2.94 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
+                  0.05    0.00    2.94 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.21    0.27    3.21 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     2    0.07                           mprj/u_wb_host/net223 (net)
+                  0.22    0.00    3.22 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
+                  0.19    0.26    3.47 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/wbd_clk_wh (net)
+                  0.19    0.00    3.47 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.21    3.68 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
+                  0.10    0.00    3.69 ^ mprj/u_wb_host/clkbuf_3_4__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.16    3.84 ^ mprj/u_wb_host/clkbuf_3_4__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    14    0.05                           mprj/u_wb_host/clknet_3_4__leaf_wbs_clk_i (net)
+                  0.07    0.00    3.85 ^ mprj/u_wb_host/_5951_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.04    0.31    4.16 ^ mprj/u_wb_host/_5951_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.rd_reset_n (net)
+                  0.04    0.00    4.16 ^ mprj/u_wb_host/hold2/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.13    0.58    4.74 ^ mprj/u_wb_host/hold2/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     2    0.01                           mprj/u_wb_host/net856 (net)
+                  0.13    0.00    4.74 ^ mprj/u_wb_host/fanout604/A (sky130_fd_sc_hd__buf_4)
+                  0.15    0.21    4.95 ^ mprj/u_wb_host/fanout604/X (sky130_fd_sc_hd__buf_4)
+    10    0.05                           mprj/u_wb_host/net604 (net)
+                  0.15    0.00    4.95 ^ mprj/u_wb_host/_5488_/RESET_B (sky130_fd_sc_hd__dfrtp_4)
+                                  4.95   data arrival time
 
-                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.18    0.18 ^ mprj/u_wb_host/_6344_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    0.28   clock uncertainty
-                          0.00    0.28   clock reconvergence pessimism
-                          0.76    1.03   library removal time
-                                  1.03   data required time
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.43    0.43 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__clkbuf_4)
+     4    0.05                           mprj/u_wb_host/net40 (net)
+                  0.17    0.00    0.43 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    0.55 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_1.X1 (net)
+                  0.05    0.00    0.55 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    0.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
+                  0.07    0.00    0.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.09    0.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_2.X1 (net)
+                  0.04    0.00    0.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    0.85 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
+                  0.08    0.00    0.85 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    0.95 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_3.X1 (net)
+                  0.05    0.00    0.95 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    1.10 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
+                  0.13    0.00    1.10 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.23 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_4.X1 (net)
+                  0.08    0.00    1.23 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.14    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
+                  0.10    0.00    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    1.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_5.X1 (net)
+                  0.05    0.00    1.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.06    0.12    1.60 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
+                  0.06    0.00    1.60 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.12    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_6.X1 (net)
+                  0.09    0.00    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.07    0.14    1.86 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.10    1.96 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_7.X1 (net)
+                  0.06    0.00    1.96 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.14    2.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
+                  0.11    0.00    2.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    2.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_8.X1 (net)
+                  0.05    0.00    2.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    2.32 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
+                  0.08    0.00    2.32 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.42 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_9.X1 (net)
+                  0.05    0.00    2.42 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.13    2.54 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
+                  0.10    0.00    2.54 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_10.X1 (net)
+                  0.05    0.00    2.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.12    2.76 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
+                  0.09    0.00    2.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.16    2.92 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.d05 (net)
+                  0.07    0.00    2.92 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.13    3.05 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
+                  0.04    0.00    3.05 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12    3.17 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
+                  0.05    0.00    3.17 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.21    0.29    3.46 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     2    0.07                           mprj/u_wb_host/net223 (net)
+                  0.22    0.00    3.47 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
+                  0.19    0.28    3.74 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/wbd_clk_wh (net)
+                  0.19    0.00    3.74 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.23    3.97 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
+                  0.10    0.00    3.97 ^ mprj/u_wb_host/clkbuf_3_6__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.08    0.18    4.15 ^ mprj/u_wb_host/clkbuf_3_6__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    20    0.06                           mprj/u_wb_host/clknet_3_6__leaf_wbs_clk_i (net)
+                  0.08    0.00    4.16 ^ mprj/u_wb_host/_5488_/CLK (sky130_fd_sc_hd__dfrtp_4)
+                          0.10    4.26   clock uncertainty
+                         -0.29    3.97   clock reconvergence pessimism
+                          0.36    4.33   library removal time
+                                  4.33   data required time
 -----------------------------------------------------------------------------
-                                  1.03   data required time
-                                 -1.15   data arrival time
+                                  4.33   data required time
+                                 -4.95   data arrival time
 -----------------------------------------------------------------------------
-                                  0.11   slack (MET)
+                                  0.62   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_6420_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6348_
-          (removal check against rising-edge clock uart_clk)
+Startpoint: mprj/u_wb_host/_5949_
+            (rising edge-triggered flip-flop clocked by wb_clk)
+Endpoint: mprj/u_wb_host/_5843_ (removal check against rising-edge clock wb_clk)
 Path Group: **async_default**
 Path Type: min
 
 Fanout     Cap    Slew   Delay    Time   Description
 -----------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock wb_clk (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.16    0.16 ^ mprj/u_wb_host/_6420_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.43    0.98    1.14 ^ mprj/u_wb_host/_6420_/Q (sky130_fd_sc_hd__dfrtp_4)
-    46    0.15                           mprj/u_wb_host/u_uart2wb.line_reset_n (net)
-                  0.43    0.00    1.15 ^ mprj/u_wb_host/_6348_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  1.15   data arrival time
+                  1.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09    4.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    4.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27    4.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    4.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37    4.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20    5.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35    5.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    5.65 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.79 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
+                  0.06    0.00    5.79 ^ mprj/u_wb_host/clkbuf_2_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.92 ^ mprj/u_wb_host/clkbuf_2_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_2_0_wbm_clk_i (net)
+                  0.06    0.00    5.92 ^ mprj/u_wb_host/clkbuf_3_4_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.19    0.23    6.16 ^ mprj/u_wb_host/clkbuf_3_4_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_wb_host/clknet_3_4_0_wbm_clk_i (net)
+                  0.19    0.00    6.16 ^ mprj/u_wb_host/clkbuf_leaf_48_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.18    6.34 ^ mprj/u_wb_host/clkbuf_leaf_48_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    11    0.04                           mprj/u_wb_host/clknet_leaf_48_wbm_clk_i (net)
+                  0.06    0.00    6.34 ^ mprj/u_wb_host/_5949_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.04    0.30    6.65 ^ mprj/u_wb_host/_5949_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.00                           mprj/u_wb_host/u_arb.rstn (net)
+                  0.04    0.00    6.65 ^ mprj/u_wb_host/hold4/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.13    0.58    7.23 ^ mprj/u_wb_host/hold4/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     2    0.01                           mprj/u_wb_host/net858 (net)
+                  0.13    0.00    7.23 ^ mprj/u_wb_host/fanout653/A (sky130_fd_sc_hd__buf_8)
+                  0.20    0.23    7.45 ^ mprj/u_wb_host/fanout653/X (sky130_fd_sc_hd__buf_8)
+    14    0.13                           mprj/u_wb_host/net653 (net)
+                  0.21    0.01    7.46 ^ mprj/u_wb_host/_5843_/RESET_B (sky130_fd_sc_hd__dfrtp_4)
+                                  7.46   data arrival time
 
-                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock wb_clk (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.18    0.18 ^ mprj/u_wb_host/_6348_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    0.28   clock uncertainty
-                          0.00    0.28   clock reconvergence pessimism
-                          0.76    1.03   library removal time
-                                  1.03   data required time
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.10    5.31 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    5.31 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.30    5.61 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    5.64 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.40    6.04 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.21    6.26 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.37    6.63 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    6.63 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.84 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    6.84 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.99 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
+                  0.06    0.00    6.99 ^ mprj/u_wb_host/clkbuf_2_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.14 ^ mprj/u_wb_host/clkbuf_2_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_2_0_wbm_clk_i (net)
+                  0.06    0.00    7.14 ^ mprj/u_wb_host/clkbuf_3_5_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.16    0.23    7.36 ^ mprj/u_wb_host/clkbuf_3_5_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.09                           mprj/u_wb_host/clknet_3_5_0_wbm_clk_i (net)
+                  0.16    0.00    7.36 ^ mprj/u_wb_host/clkbuf_leaf_45_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    7.53 ^ mprj/u_wb_host/clkbuf_leaf_45_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     5    0.02                           mprj/u_wb_host/clknet_leaf_45_wbm_clk_i (net)
+                  0.04    0.00    7.54 ^ mprj/u_wb_host/_5843_/CLK (sky130_fd_sc_hd__dfrtp_4)
+                          0.10    7.64   clock uncertainty
+                         -1.21    6.42   clock reconvergence pessimism
+                          0.36    6.79   library removal time
+                                  6.79   data required time
 -----------------------------------------------------------------------------
-                                  1.03   data required time
-                                 -1.15   data arrival time
+                                  6.79   data required time
+                                 -7.46   data arrival time
 -----------------------------------------------------------------------------
-                                  0.11   slack (MET)
+                                  0.67   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_6420_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6522_
-          (removal check against rising-edge clock uart_clk)
-Path Group: **async_default**
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.16    0.16 ^ mprj/u_wb_host/_6420_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.43    0.98    1.14 ^ mprj/u_wb_host/_6420_/Q (sky130_fd_sc_hd__dfrtp_4)
-    46    0.15                           mprj/u_wb_host/u_uart2wb.line_reset_n (net)
-                  0.43    0.01    1.16 ^ mprj/u_wb_host/_6522_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  1.16   data arrival time
-
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.18    0.18 ^ mprj/u_wb_host/_6522_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    0.28   clock uncertainty
-                          0.00    0.28   clock reconvergence pessimism
-                          0.76    1.04   library removal time
-                                  1.04   data required time
------------------------------------------------------------------------------
-                                  1.04   data required time
-                                 -1.16   data arrival time
------------------------------------------------------------------------------
-                                  0.12   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6420_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6345_
-          (removal check against rising-edge clock uart_clk)
-Path Group: **async_default**
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.16    0.16 ^ mprj/u_wb_host/_6420_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.43    0.98    1.14 ^ mprj/u_wb_host/_6420_/Q (sky130_fd_sc_hd__dfrtp_4)
-    46    0.15                           mprj/u_wb_host/u_uart2wb.line_reset_n (net)
-                  0.43    0.01    1.16 ^ mprj/u_wb_host/_6345_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  1.16   data arrival time
-
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.18    0.18 ^ mprj/u_wb_host/_6345_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    0.28   clock uncertainty
-                          0.00    0.28   clock reconvergence pessimism
-                          0.76    1.04   library removal time
-                                  1.04   data required time
------------------------------------------------------------------------------
-                                  1.04   data required time
-                                 -1.16   data arrival time
------------------------------------------------------------------------------
-                                  0.12   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6420_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6427_
-          (removal check against rising-edge clock uart_clk)
-Path Group: **async_default**
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.16    0.16 ^ mprj/u_wb_host/_6420_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.43    0.98    1.14 ^ mprj/u_wb_host/_6420_/Q (sky130_fd_sc_hd__dfrtp_4)
-    46    0.15                           mprj/u_wb_host/u_uart2wb.line_reset_n (net)
-                  0.43    0.01    1.16 ^ mprj/u_wb_host/_6427_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  1.16   data arrival time
-
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.18    0.18 ^ mprj/u_wb_host/_6427_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    0.28   clock uncertainty
-                          0.00    0.28   clock reconvergence pessimism
-                          0.76    1.04   library removal time
-                                  1.04   data required time
------------------------------------------------------------------------------
-                                  1.04   data required time
-                                 -1.16   data arrival time
------------------------------------------------------------------------------
-                                  0.12   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6420_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6350_
-          (removal check against rising-edge clock uart_clk)
-Path Group: **async_default**
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.16    0.16 ^ mprj/u_wb_host/_6420_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.43    0.98    1.14 ^ mprj/u_wb_host/_6420_/Q (sky130_fd_sc_hd__dfrtp_4)
-    46    0.15                           mprj/u_wb_host/u_uart2wb.line_reset_n (net)
-                  0.43    0.01    1.16 ^ mprj/u_wb_host/_6350_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  1.16   data arrival time
-
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.18    0.18 ^ mprj/u_wb_host/_6350_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    0.28   clock uncertainty
-                          0.00    0.28   clock reconvergence pessimism
-                          0.76    1.04   library removal time
-                                  1.04   data required time
------------------------------------------------------------------------------
-                                  1.04   data required time
-                                 -1.16   data arrival time
------------------------------------------------------------------------------
-                                  0.12   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6420_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6347_
-          (removal check against rising-edge clock uart_clk)
-Path Group: **async_default**
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.16    0.16 ^ mprj/u_wb_host/_6420_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.43    0.98    1.14 ^ mprj/u_wb_host/_6420_/Q (sky130_fd_sc_hd__dfrtp_4)
-    46    0.15                           mprj/u_wb_host/u_uart2wb.line_reset_n (net)
-                  0.43    0.01    1.16 ^ mprj/u_wb_host/_6347_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  1.16   data arrival time
-
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.18    0.18 ^ mprj/u_wb_host/_6347_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    0.28   clock uncertainty
-                          0.00    0.28   clock reconvergence pessimism
-                          0.76    1.04   library removal time
-                                  1.04   data required time
------------------------------------------------------------------------------
-                                  1.04   data required time
-                                 -1.16   data arrival time
------------------------------------------------------------------------------
-                                  0.12   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5883_
+Startpoint: mprj/u_wb_host/_5877_
             (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5713_
+Endpoint: mprj/u_wb_host/_5669_
           (removal check against rising-edge clock lbist_clk)
 Path Group: **async_default**
 Path Type: min
@@ -1071,115 +3430,154 @@
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.11    0.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    0.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    0.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17    0.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    1.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    1.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    3.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    3.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    3.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    3.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    3.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    4.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13    4.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    4.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13    4.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    4.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25    5.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.30 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    5.32 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12    5.55 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00    5.55 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.68 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
-                  0.05    0.00    5.30 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.41 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    5.68 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.80 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      2    0.01                           mprj/u_wb_host/clknet_3_6_0_lbist_clk_int (net)
-                  0.04    0.00    5.41 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.11    0.17    5.58 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.06                           mprj/u_wb_host/clknet_4_13_0_lbist_clk_int (net)
-                  0.11    0.00    5.58 ^ mprj/u_wb_host/_5883_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.32    0.61    6.19 ^ mprj/u_wb_host/_5883_/Q (sky130_fd_sc_hd__dfrtp_4)
-    28    0.11                           mprj/u_wb_host/u_lbist.lbist_rst_n (net)
-                  0.32    0.00    6.20 ^ mprj/u_wb_host/_5713_/SET_B (sky130_fd_sc_hd__dfstp_1)
-                                  6.20   data arrival time
+                  0.04    0.00    5.80 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.17    5.97 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.06                           mprj/u_wb_host/clknet_4_13_0_lbist_clk_int (net)
+                  0.12    0.00    5.98 ^ mprj/u_wb_host/_5877_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.05    0.33    6.31 ^ mprj/u_wb_host/_5877_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.00                           mprj/u_wb_host/u_lbist.lbist_rst_n (net)
+                  0.05    0.00    6.31 ^ mprj/u_wb_host/hold7/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.05    0.51    6.82 ^ mprj/u_wb_host/hold7/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.00                           mprj/u_wb_host/net861 (net)
+                  0.05    0.00    6.82 ^ mprj/u_wb_host/fanout679/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.23    7.06 ^ mprj/u_wb_host/fanout679/X (sky130_fd_sc_hd__buf_2)
+    10    0.05                           mprj/u_wb_host/net679 (net)
+                  0.23    0.00    7.06 ^ mprj/u_wb_host/fanout678/A (sky130_fd_sc_hd__buf_2)
+                  0.16    0.24    7.30 ^ mprj/u_wb_host/fanout678/X (sky130_fd_sc_hd__buf_2)
+     6    0.03                           mprj/u_wb_host/net678 (net)
+                  0.16    0.00    7.30 ^ mprj/u_wb_host/_5669_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
+                                  7.30   data arrival time
 
                           0.00    0.00   clock lbist_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -1187,7305 +3585,2702 @@
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
                   0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.71 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    6.13 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
+                  0.05    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.25 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_7_0_lbist_clk_int (net)
+                  0.04    0.00    6.25 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.20    6.45 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_14_0_lbist_clk_int (net)
+                  0.13    0.00    6.45 ^ mprj/u_wb_host/_5669_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    6.55   clock uncertainty
+                         -0.44    6.11   clock reconvergence pessimism
+                          0.38    6.48   library removal time
+                                  6.48   data required time
+-----------------------------------------------------------------------------
+                                  6.48   data required time
+                                 -7.30   data arrival time
+-----------------------------------------------------------------------------
+                                  0.82   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5877_
+            (rising edge-triggered flip-flop clocked by lbist_clk)
+Endpoint: mprj/u_wb_host/_5671_
+          (removal check against rising-edge clock lbist_clk)
+Path Group: **async_default**
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.11    0.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    0.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    0.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17    0.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    1.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    1.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    1.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    2.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    3.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    3.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    3.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    3.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    3.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    3.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    4.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13    4.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    4.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13    4.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    4.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25    5.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.32 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12    5.55 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00    5.55 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.68 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
+                  0.05    0.00    5.68 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.80 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_6_0_lbist_clk_int (net)
+                  0.04    0.00    5.80 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.17    5.97 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.06                           mprj/u_wb_host/clknet_4_13_0_lbist_clk_int (net)
+                  0.12    0.00    5.98 ^ mprj/u_wb_host/_5877_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.05    0.33    6.31 ^ mprj/u_wb_host/_5877_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.00                           mprj/u_wb_host/u_lbist.lbist_rst_n (net)
+                  0.05    0.00    6.31 ^ mprj/u_wb_host/hold7/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.05    0.51    6.82 ^ mprj/u_wb_host/hold7/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.00                           mprj/u_wb_host/net861 (net)
+                  0.05    0.00    6.82 ^ mprj/u_wb_host/fanout679/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.23    7.06 ^ mprj/u_wb_host/fanout679/X (sky130_fd_sc_hd__buf_2)
+    10    0.05                           mprj/u_wb_host/net679 (net)
+                  0.23    0.00    7.06 ^ mprj/u_wb_host/fanout678/A (sky130_fd_sc_hd__buf_2)
+                  0.16    0.24    7.30 ^ mprj/u_wb_host/fanout678/X (sky130_fd_sc_hd__buf_2)
+     6    0.03                           mprj/u_wb_host/net678 (net)
+                  0.16    0.00    7.30 ^ mprj/u_wb_host/_5671_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
+                                  7.30   data arrival time
+
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    6.13 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
+                  0.05    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.25 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_7_0_lbist_clk_int (net)
+                  0.04    0.00    6.25 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.20    6.45 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_14_0_lbist_clk_int (net)
+                  0.13    0.00    6.45 ^ mprj/u_wb_host/_5671_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    6.55   clock uncertainty
+                         -0.44    6.11   clock reconvergence pessimism
+                          0.38    6.48   library removal time
+                                  6.48   data required time
+-----------------------------------------------------------------------------
+                                  6.48   data required time
+                                 -7.30   data arrival time
+-----------------------------------------------------------------------------
+                                  0.82   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5951_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_wb_host/_5499_
+          (removal check against rising-edge clock wbs_clk_i)
+Path Group: **async_default**
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.40    0.40 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__clkbuf_4)
+     4    0.05                           mprj/u_wb_host/net40 (net)
+                  0.17    0.00    0.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    0.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_1.X1 (net)
+                  0.05    0.00    0.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    0.61 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
+                  0.07    0.00    0.61 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.69 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_2.X1 (net)
+                  0.04    0.00    0.69 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    0.79 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
+                  0.08    0.00    0.79 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.09    0.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_3.X1 (net)
+                  0.05    0.00    0.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    1.02 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
+                  0.13    0.00    1.02 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    1.14 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_4.X1 (net)
+                  0.08    0.00    1.14 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.13    1.27 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
+                  0.10    0.00    1.27 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_5.X1 (net)
+                  0.05    0.00    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.06    0.11    1.48 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
+                  0.06    0.00    1.48 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.11    1.59 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_6.X1 (net)
+                  0.09    0.00    1.59 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.07    0.13    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    1.81 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_7.X1 (net)
+                  0.06    0.00    1.81 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.13    1.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
+                  0.11    0.00    1.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.04 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_8.X1 (net)
+                  0.05    0.00    2.04 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    2.15 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
+                  0.08    0.00    2.15 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.09    2.24 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_9.X1 (net)
+                  0.05    0.00    2.24 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.12    2.36 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
+                  0.10    0.00    2.36 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.46 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_10.X1 (net)
+                  0.05    0.00    2.46 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.11    2.56 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
+                  0.09    0.00    2.56 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.15    2.71 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.d05 (net)
+                  0.07    0.00    2.71 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    2.83 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
+                  0.04    0.00    2.83 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.11    2.94 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
+                  0.05    0.00    2.94 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.21    0.27    3.21 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     2    0.07                           mprj/u_wb_host/net223 (net)
+                  0.22    0.00    3.22 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
+                  0.19    0.26    3.47 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/wbd_clk_wh (net)
+                  0.19    0.00    3.47 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.21    3.68 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
+                  0.10    0.00    3.69 ^ mprj/u_wb_host/clkbuf_3_4__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.16    3.84 ^ mprj/u_wb_host/clkbuf_3_4__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    14    0.05                           mprj/u_wb_host/clknet_3_4__leaf_wbs_clk_i (net)
+                  0.07    0.00    3.85 ^ mprj/u_wb_host/_5951_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.04    0.31    4.16 ^ mprj/u_wb_host/_5951_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.rd_reset_n (net)
+                  0.04    0.00    4.16 ^ mprj/u_wb_host/hold2/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.13    0.58    4.74 ^ mprj/u_wb_host/hold2/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     2    0.01                           mprj/u_wb_host/net856 (net)
+                  0.13    0.00    4.74 ^ mprj/u_wb_host/fanout604/A (sky130_fd_sc_hd__buf_4)
+                  0.15    0.21    4.95 ^ mprj/u_wb_host/fanout604/X (sky130_fd_sc_hd__buf_4)
+    10    0.05                           mprj/u_wb_host/net604 (net)
+                  0.15    0.00    4.95 ^ mprj/u_wb_host/fanout603/A (sky130_fd_sc_hd__buf_4)
+                  0.14    0.21    5.16 ^ mprj/u_wb_host/fanout603/X (sky130_fd_sc_hd__buf_4)
+    10    0.05                           mprj/u_wb_host/net603 (net)
+                  0.14    0.00    5.16 ^ mprj/u_wb_host/_5499_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
+                                  5.16   data arrival time
+
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.43    0.43 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__clkbuf_4)
+     4    0.05                           mprj/u_wb_host/net40 (net)
+                  0.17    0.00    0.43 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    0.55 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_1.X1 (net)
+                  0.05    0.00    0.55 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    0.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
+                  0.07    0.00    0.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.09    0.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_2.X1 (net)
+                  0.04    0.00    0.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    0.85 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
+                  0.08    0.00    0.85 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    0.95 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_3.X1 (net)
+                  0.05    0.00    0.95 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    1.10 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
+                  0.13    0.00    1.10 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.23 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_4.X1 (net)
+                  0.08    0.00    1.23 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.14    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
+                  0.10    0.00    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    1.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_5.X1 (net)
+                  0.05    0.00    1.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.06    0.12    1.60 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
+                  0.06    0.00    1.60 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.12    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_6.X1 (net)
+                  0.09    0.00    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.07    0.14    1.86 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.10    1.96 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_7.X1 (net)
+                  0.06    0.00    1.96 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.14    2.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
+                  0.11    0.00    2.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    2.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_8.X1 (net)
+                  0.05    0.00    2.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    2.32 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
+                  0.08    0.00    2.32 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.42 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_9.X1 (net)
+                  0.05    0.00    2.42 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.13    2.54 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
+                  0.10    0.00    2.54 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_10.X1 (net)
+                  0.05    0.00    2.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.12    2.76 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
+                  0.09    0.00    2.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.16    2.92 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.d05 (net)
+                  0.07    0.00    2.92 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.13    3.05 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
+                  0.04    0.00    3.05 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12    3.17 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
+                  0.05    0.00    3.17 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.21    0.29    3.46 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     2    0.07                           mprj/u_wb_host/net223 (net)
+                  0.22    0.00    3.47 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
+                  0.19    0.28    3.74 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/wbd_clk_wh (net)
+                  0.19    0.00    3.74 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.23    3.97 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
+                  0.10    0.00    3.97 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.08    0.18    4.15 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    18    0.06                           mprj/u_wb_host/clknet_3_5__leaf_wbs_clk_i (net)
+                  0.08    0.01    4.16 ^ mprj/u_wb_host/_5499_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    4.26   clock uncertainty
+                         -0.29    3.97   clock reconvergence pessimism
+                          0.36    4.33   library removal time
+                                  4.33   data required time
+-----------------------------------------------------------------------------
+                                  4.33   data required time
+                                 -5.16   data arrival time
+-----------------------------------------------------------------------------
+                                  0.83   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5951_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_wb_host/_5495_
+          (removal check against rising-edge clock wbs_clk_i)
+Path Group: **async_default**
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.40    0.40 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__clkbuf_4)
+     4    0.05                           mprj/u_wb_host/net40 (net)
+                  0.17    0.00    0.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    0.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_1.X1 (net)
+                  0.05    0.00    0.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    0.61 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
+                  0.07    0.00    0.61 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.69 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_2.X1 (net)
+                  0.04    0.00    0.69 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    0.79 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
+                  0.08    0.00    0.79 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.09    0.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_3.X1 (net)
+                  0.05    0.00    0.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    1.02 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
+                  0.13    0.00    1.02 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    1.14 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_4.X1 (net)
+                  0.08    0.00    1.14 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.13    1.27 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
+                  0.10    0.00    1.27 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_5.X1 (net)
+                  0.05    0.00    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.06    0.11    1.48 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
+                  0.06    0.00    1.48 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.11    1.59 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_6.X1 (net)
+                  0.09    0.00    1.59 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.07    0.13    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    1.81 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_7.X1 (net)
+                  0.06    0.00    1.81 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.13    1.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
+                  0.11    0.00    1.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.04 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_8.X1 (net)
+                  0.05    0.00    2.04 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    2.15 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
+                  0.08    0.00    2.15 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.09    2.24 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_9.X1 (net)
+                  0.05    0.00    2.24 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.12    2.36 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
+                  0.10    0.00    2.36 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.46 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_10.X1 (net)
+                  0.05    0.00    2.46 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.11    2.56 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
+                  0.09    0.00    2.56 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.15    2.71 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.d05 (net)
+                  0.07    0.00    2.71 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    2.83 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
+                  0.04    0.00    2.83 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.11    2.94 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
+                  0.05    0.00    2.94 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.21    0.27    3.21 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     2    0.07                           mprj/u_wb_host/net223 (net)
+                  0.22    0.00    3.22 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
+                  0.19    0.26    3.47 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/wbd_clk_wh (net)
+                  0.19    0.00    3.47 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.21    3.68 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
+                  0.10    0.00    3.69 ^ mprj/u_wb_host/clkbuf_3_4__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.16    3.84 ^ mprj/u_wb_host/clkbuf_3_4__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    14    0.05                           mprj/u_wb_host/clknet_3_4__leaf_wbs_clk_i (net)
+                  0.07    0.00    3.85 ^ mprj/u_wb_host/_5951_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.04    0.31    4.16 ^ mprj/u_wb_host/_5951_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.rd_reset_n (net)
+                  0.04    0.00    4.16 ^ mprj/u_wb_host/hold2/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.13    0.58    4.74 ^ mprj/u_wb_host/hold2/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     2    0.01                           mprj/u_wb_host/net856 (net)
+                  0.13    0.00    4.74 ^ mprj/u_wb_host/fanout604/A (sky130_fd_sc_hd__buf_4)
+                  0.15    0.21    4.95 ^ mprj/u_wb_host/fanout604/X (sky130_fd_sc_hd__buf_4)
+    10    0.05                           mprj/u_wb_host/net604 (net)
+                  0.15    0.00    4.95 ^ mprj/u_wb_host/fanout603/A (sky130_fd_sc_hd__buf_4)
+                  0.14    0.21    5.16 ^ mprj/u_wb_host/fanout603/X (sky130_fd_sc_hd__buf_4)
+    10    0.05                           mprj/u_wb_host/net603 (net)
+                  0.14    0.00    5.16 ^ mprj/u_wb_host/_5495_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
+                                  5.16   data arrival time
+
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.43    0.43 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__clkbuf_4)
+     4    0.05                           mprj/u_wb_host/net40 (net)
+                  0.17    0.00    0.43 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    0.55 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_1.X1 (net)
+                  0.05    0.00    0.55 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    0.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
+                  0.07    0.00    0.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.09    0.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_2.X1 (net)
+                  0.04    0.00    0.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    0.85 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
+                  0.08    0.00    0.85 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    0.95 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_3.X1 (net)
+                  0.05    0.00    0.95 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    1.10 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
+                  0.13    0.00    1.10 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.23 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_4.X1 (net)
+                  0.08    0.00    1.23 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.14    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
+                  0.10    0.00    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    1.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_5.X1 (net)
+                  0.05    0.00    1.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.06    0.12    1.60 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
+                  0.06    0.00    1.60 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.12    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_6.X1 (net)
+                  0.09    0.00    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.07    0.14    1.86 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.10    1.96 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_7.X1 (net)
+                  0.06    0.00    1.96 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.14    2.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
+                  0.11    0.00    2.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    2.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_8.X1 (net)
+                  0.05    0.00    2.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    2.32 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
+                  0.08    0.00    2.32 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.42 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_9.X1 (net)
+                  0.05    0.00    2.42 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.13    2.54 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
+                  0.10    0.00    2.54 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_10.X1 (net)
+                  0.05    0.00    2.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.12    2.76 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
+                  0.09    0.00    2.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.16    2.92 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.d05 (net)
+                  0.07    0.00    2.92 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.13    3.05 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
+                  0.04    0.00    3.05 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12    3.17 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
+                  0.05    0.00    3.17 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.21    0.29    3.46 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     2    0.07                           mprj/u_wb_host/net223 (net)
+                  0.22    0.00    3.47 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
+                  0.19    0.28    3.74 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/wbd_clk_wh (net)
+                  0.19    0.00    3.74 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.23    3.97 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
+                  0.10    0.00    3.97 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.08    0.18    4.15 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    18    0.06                           mprj/u_wb_host/clknet_3_5__leaf_wbs_clk_i (net)
+                  0.08    0.01    4.16 ^ mprj/u_wb_host/_5495_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    4.26   clock uncertainty
+                         -0.29    3.97   clock reconvergence pessimism
+                          0.36    4.33   library removal time
+                                  4.33   data required time
+-----------------------------------------------------------------------------
+                                  4.33   data required time
+                                 -5.16   data arrival time
+-----------------------------------------------------------------------------
+                                  0.83   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5951_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_wb_host/_5492_
+          (removal check against rising-edge clock wbs_clk_i)
+Path Group: **async_default**
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.40    0.40 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__clkbuf_4)
+     4    0.05                           mprj/u_wb_host/net40 (net)
+                  0.17    0.00    0.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    0.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_1.X1 (net)
+                  0.05    0.00    0.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    0.61 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
+                  0.07    0.00    0.61 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.69 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_2.X1 (net)
+                  0.04    0.00    0.69 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    0.79 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
+                  0.08    0.00    0.79 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.09    0.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_3.X1 (net)
+                  0.05    0.00    0.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    1.02 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
+                  0.13    0.00    1.02 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    1.14 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_4.X1 (net)
+                  0.08    0.00    1.14 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.13    1.27 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
+                  0.10    0.00    1.27 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_5.X1 (net)
+                  0.05    0.00    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.06    0.11    1.48 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
+                  0.06    0.00    1.48 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.11    1.59 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_6.X1 (net)
+                  0.09    0.00    1.59 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.07    0.13    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    1.81 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_7.X1 (net)
+                  0.06    0.00    1.81 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.13    1.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
+                  0.11    0.00    1.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.04 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_8.X1 (net)
+                  0.05    0.00    2.04 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    2.15 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
+                  0.08    0.00    2.15 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.09    2.24 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_9.X1 (net)
+                  0.05    0.00    2.24 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.12    2.36 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
+                  0.10    0.00    2.36 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.46 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_10.X1 (net)
+                  0.05    0.00    2.46 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.11    2.56 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
+                  0.09    0.00    2.56 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.15    2.71 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.d05 (net)
+                  0.07    0.00    2.71 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    2.83 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
+                  0.04    0.00    2.83 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.11    2.94 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
+                  0.05    0.00    2.94 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.21    0.27    3.21 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     2    0.07                           mprj/u_wb_host/net223 (net)
+                  0.22    0.00    3.22 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
+                  0.19    0.26    3.47 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/wbd_clk_wh (net)
+                  0.19    0.00    3.47 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.21    3.68 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
+                  0.10    0.00    3.69 ^ mprj/u_wb_host/clkbuf_3_4__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.16    3.84 ^ mprj/u_wb_host/clkbuf_3_4__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    14    0.05                           mprj/u_wb_host/clknet_3_4__leaf_wbs_clk_i (net)
+                  0.07    0.00    3.85 ^ mprj/u_wb_host/_5951_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.04    0.31    4.16 ^ mprj/u_wb_host/_5951_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.rd_reset_n (net)
+                  0.04    0.00    4.16 ^ mprj/u_wb_host/hold2/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.13    0.58    4.74 ^ mprj/u_wb_host/hold2/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     2    0.01                           mprj/u_wb_host/net856 (net)
+                  0.13    0.00    4.74 ^ mprj/u_wb_host/fanout604/A (sky130_fd_sc_hd__buf_4)
+                  0.15    0.21    4.95 ^ mprj/u_wb_host/fanout604/X (sky130_fd_sc_hd__buf_4)
+    10    0.05                           mprj/u_wb_host/net604 (net)
+                  0.15    0.00    4.95 ^ mprj/u_wb_host/fanout603/A (sky130_fd_sc_hd__buf_4)
+                  0.14    0.21    5.16 ^ mprj/u_wb_host/fanout603/X (sky130_fd_sc_hd__buf_4)
+    10    0.05                           mprj/u_wb_host/net603 (net)
+                  0.14    0.00    5.16 ^ mprj/u_wb_host/_5492_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
+                                  5.16   data arrival time
+
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.43    0.43 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__clkbuf_4)
+     4    0.05                           mprj/u_wb_host/net40 (net)
+                  0.17    0.00    0.43 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    0.55 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_1.X1 (net)
+                  0.05    0.00    0.55 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    0.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
+                  0.07    0.00    0.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.09    0.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_2.X1 (net)
+                  0.04    0.00    0.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    0.85 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
+                  0.08    0.00    0.85 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    0.95 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_3.X1 (net)
+                  0.05    0.00    0.95 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    1.10 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
+                  0.13    0.00    1.10 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.23 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_4.X1 (net)
+                  0.08    0.00    1.23 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.14    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
+                  0.10    0.00    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    1.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_5.X1 (net)
+                  0.05    0.00    1.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.06    0.12    1.60 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
+                  0.06    0.00    1.60 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.12    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_6.X1 (net)
+                  0.09    0.00    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.07    0.14    1.86 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.10    1.96 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_7.X1 (net)
+                  0.06    0.00    1.96 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.14    2.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
+                  0.11    0.00    2.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    2.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_8.X1 (net)
+                  0.05    0.00    2.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    2.32 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
+                  0.08    0.00    2.32 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.42 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_9.X1 (net)
+                  0.05    0.00    2.42 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.13    2.54 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
+                  0.10    0.00    2.54 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_10.X1 (net)
+                  0.05    0.00    2.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.12    2.76 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
+                  0.09    0.00    2.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.16    2.92 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.d05 (net)
+                  0.07    0.00    2.92 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.13    3.05 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
+                  0.04    0.00    3.05 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12    3.17 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
+                  0.05    0.00    3.17 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.21    0.29    3.46 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     2    0.07                           mprj/u_wb_host/net223 (net)
+                  0.22    0.00    3.47 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
+                  0.19    0.28    3.74 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/wbd_clk_wh (net)
+                  0.19    0.00    3.74 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.23    3.97 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
+                  0.10    0.00    3.97 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.08    0.18    4.15 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    18    0.06                           mprj/u_wb_host/clknet_3_5__leaf_wbs_clk_i (net)
+                  0.08    0.01    4.16 ^ mprj/u_wb_host/_5492_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    4.26   clock uncertainty
+                         -0.29    3.97   clock reconvergence pessimism
+                          0.36    4.33   library removal time
+                                  4.33   data required time
+-----------------------------------------------------------------------------
+                                  4.33   data required time
+                                 -5.16   data arrival time
+-----------------------------------------------------------------------------
+                                  0.83   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5951_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_wb_host/_5493_
+          (removal check against rising-edge clock wbs_clk_i)
+Path Group: **async_default**
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.40    0.40 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__clkbuf_4)
+     4    0.05                           mprj/u_wb_host/net40 (net)
+                  0.17    0.00    0.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    0.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_1.X1 (net)
+                  0.05    0.00    0.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    0.61 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
+                  0.07    0.00    0.61 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.69 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_2.X1 (net)
+                  0.04    0.00    0.69 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    0.79 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
+                  0.08    0.00    0.79 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.09    0.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_3.X1 (net)
+                  0.05    0.00    0.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    1.02 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
+                  0.13    0.00    1.02 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    1.14 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_4.X1 (net)
+                  0.08    0.00    1.14 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.13    1.27 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
+                  0.10    0.00    1.27 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_5.X1 (net)
+                  0.05    0.00    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.06    0.11    1.48 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
+                  0.06    0.00    1.48 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.11    1.59 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_6.X1 (net)
+                  0.09    0.00    1.59 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.07    0.13    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    1.81 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_7.X1 (net)
+                  0.06    0.00    1.81 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.13    1.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
+                  0.11    0.00    1.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.04 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_8.X1 (net)
+                  0.05    0.00    2.04 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    2.15 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
+                  0.08    0.00    2.15 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.09    2.24 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_9.X1 (net)
+                  0.05    0.00    2.24 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.12    2.36 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
+                  0.10    0.00    2.36 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.46 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_10.X1 (net)
+                  0.05    0.00    2.46 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.11    2.56 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
+                  0.09    0.00    2.56 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.15    2.71 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.d05 (net)
+                  0.07    0.00    2.71 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    2.83 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
+                  0.04    0.00    2.83 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.11    2.94 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
+                  0.05    0.00    2.94 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.21    0.27    3.21 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     2    0.07                           mprj/u_wb_host/net223 (net)
+                  0.22    0.00    3.22 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
+                  0.19    0.26    3.47 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/wbd_clk_wh (net)
+                  0.19    0.00    3.47 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.21    3.68 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
+                  0.10    0.00    3.69 ^ mprj/u_wb_host/clkbuf_3_4__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.16    3.84 ^ mprj/u_wb_host/clkbuf_3_4__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    14    0.05                           mprj/u_wb_host/clknet_3_4__leaf_wbs_clk_i (net)
+                  0.07    0.00    3.85 ^ mprj/u_wb_host/_5951_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.04    0.31    4.16 ^ mprj/u_wb_host/_5951_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.rd_reset_n (net)
+                  0.04    0.00    4.16 ^ mprj/u_wb_host/hold2/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.13    0.58    4.74 ^ mprj/u_wb_host/hold2/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     2    0.01                           mprj/u_wb_host/net856 (net)
+                  0.13    0.00    4.74 ^ mprj/u_wb_host/fanout604/A (sky130_fd_sc_hd__buf_4)
+                  0.15    0.21    4.95 ^ mprj/u_wb_host/fanout604/X (sky130_fd_sc_hd__buf_4)
+    10    0.05                           mprj/u_wb_host/net604 (net)
+                  0.15    0.00    4.95 ^ mprj/u_wb_host/fanout603/A (sky130_fd_sc_hd__buf_4)
+                  0.14    0.21    5.16 ^ mprj/u_wb_host/fanout603/X (sky130_fd_sc_hd__buf_4)
+    10    0.05                           mprj/u_wb_host/net603 (net)
+                  0.14    0.00    5.16 ^ mprj/u_wb_host/_5493_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
+                                  5.16   data arrival time
+
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.43    0.43 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__clkbuf_4)
+     4    0.05                           mprj/u_wb_host/net40 (net)
+                  0.17    0.00    0.43 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    0.55 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_1.X1 (net)
+                  0.05    0.00    0.55 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    0.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
+                  0.07    0.00    0.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.09    0.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_2.X1 (net)
+                  0.04    0.00    0.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    0.85 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
+                  0.08    0.00    0.85 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    0.95 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_3.X1 (net)
+                  0.05    0.00    0.95 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    1.10 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
+                  0.13    0.00    1.10 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.23 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_4.X1 (net)
+                  0.08    0.00    1.23 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.14    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
+                  0.10    0.00    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    1.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_5.X1 (net)
+                  0.05    0.00    1.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.06    0.12    1.60 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
+                  0.06    0.00    1.60 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.12    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_6.X1 (net)
+                  0.09    0.00    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.07    0.14    1.86 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.10    1.96 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_7.X1 (net)
+                  0.06    0.00    1.96 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.14    2.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
+                  0.11    0.00    2.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    2.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_8.X1 (net)
+                  0.05    0.00    2.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    2.32 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
+                  0.08    0.00    2.32 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.42 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_9.X1 (net)
+                  0.05    0.00    2.42 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.13    2.54 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
+                  0.10    0.00    2.54 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_10.X1 (net)
+                  0.05    0.00    2.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.12    2.76 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
+                  0.09    0.00    2.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.16    2.92 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.d05 (net)
+                  0.07    0.00    2.92 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.13    3.05 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
+                  0.04    0.00    3.05 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12    3.17 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
+                  0.05    0.00    3.17 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.21    0.29    3.46 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     2    0.07                           mprj/u_wb_host/net223 (net)
+                  0.22    0.00    3.47 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
+                  0.19    0.28    3.74 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/wbd_clk_wh (net)
+                  0.19    0.00    3.74 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.23    3.97 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
+                  0.10    0.00    3.97 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.08    0.18    4.15 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    18    0.06                           mprj/u_wb_host/clknet_3_5__leaf_wbs_clk_i (net)
+                  0.08    0.01    4.16 ^ mprj/u_wb_host/_5493_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    4.26   clock uncertainty
+                         -0.29    3.97   clock reconvergence pessimism
+                          0.36    4.33   library removal time
+                                  4.33   data required time
+-----------------------------------------------------------------------------
+                                  4.33   data required time
+                                 -5.16   data arrival time
+-----------------------------------------------------------------------------
+                                  0.83   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5951_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_wb_host/_5490_
+          (removal check against rising-edge clock wbs_clk_i)
+Path Group: **async_default**
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.40    0.40 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__clkbuf_4)
+     4    0.05                           mprj/u_wb_host/net40 (net)
+                  0.17    0.00    0.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    0.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_1.X1 (net)
+                  0.05    0.00    0.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    0.61 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
+                  0.07    0.00    0.61 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.69 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_2.X1 (net)
+                  0.04    0.00    0.69 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    0.79 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
+                  0.08    0.00    0.79 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.09    0.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_3.X1 (net)
+                  0.05    0.00    0.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    1.02 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
+                  0.13    0.00    1.02 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    1.14 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_4.X1 (net)
+                  0.08    0.00    1.14 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.13    1.27 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
+                  0.10    0.00    1.27 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_5.X1 (net)
+                  0.05    0.00    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.06    0.11    1.48 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
+                  0.06    0.00    1.48 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.11    1.59 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_6.X1 (net)
+                  0.09    0.00    1.59 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.07    0.13    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    1.81 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_7.X1 (net)
+                  0.06    0.00    1.81 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.13    1.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
+                  0.11    0.00    1.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.04 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_8.X1 (net)
+                  0.05    0.00    2.04 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    2.15 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
+                  0.08    0.00    2.15 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.09    2.24 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_9.X1 (net)
+                  0.05    0.00    2.24 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.12    2.36 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
+                  0.10    0.00    2.36 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.46 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_10.X1 (net)
+                  0.05    0.00    2.46 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.11    2.56 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
+                  0.09    0.00    2.56 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.15    2.71 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.d05 (net)
+                  0.07    0.00    2.71 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    2.83 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
+                  0.04    0.00    2.83 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.11    2.94 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
+                  0.05    0.00    2.94 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.21    0.27    3.21 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     2    0.07                           mprj/u_wb_host/net223 (net)
+                  0.22    0.00    3.22 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
+                  0.19    0.26    3.47 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/wbd_clk_wh (net)
+                  0.19    0.00    3.47 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.21    3.68 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
+                  0.10    0.00    3.69 ^ mprj/u_wb_host/clkbuf_3_4__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.16    3.84 ^ mprj/u_wb_host/clkbuf_3_4__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    14    0.05                           mprj/u_wb_host/clknet_3_4__leaf_wbs_clk_i (net)
+                  0.07    0.00    3.85 ^ mprj/u_wb_host/_5951_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.04    0.31    4.16 ^ mprj/u_wb_host/_5951_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.rd_reset_n (net)
+                  0.04    0.00    4.16 ^ mprj/u_wb_host/hold2/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.13    0.58    4.74 ^ mprj/u_wb_host/hold2/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     2    0.01                           mprj/u_wb_host/net856 (net)
+                  0.13    0.00    4.74 ^ mprj/u_wb_host/fanout604/A (sky130_fd_sc_hd__buf_4)
+                  0.15    0.21    4.95 ^ mprj/u_wb_host/fanout604/X (sky130_fd_sc_hd__buf_4)
+    10    0.05                           mprj/u_wb_host/net604 (net)
+                  0.15    0.00    4.95 ^ mprj/u_wb_host/fanout603/A (sky130_fd_sc_hd__buf_4)
+                  0.14    0.21    5.16 ^ mprj/u_wb_host/fanout603/X (sky130_fd_sc_hd__buf_4)
+    10    0.05                           mprj/u_wb_host/net603 (net)
+                  0.14    0.00    5.16 ^ mprj/u_wb_host/_5490_/RESET_B (sky130_fd_sc_hd__dfrtp_2)
+                                  5.16   data arrival time
+
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.43    0.43 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__clkbuf_4)
+     4    0.05                           mprj/u_wb_host/net40 (net)
+                  0.17    0.00    0.43 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    0.55 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_1.X1 (net)
+                  0.05    0.00    0.55 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    0.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
+                  0.07    0.00    0.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.09    0.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_2.X1 (net)
+                  0.04    0.00    0.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    0.85 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
+                  0.08    0.00    0.85 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    0.95 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_3.X1 (net)
+                  0.05    0.00    0.95 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    1.10 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
+                  0.13    0.00    1.10 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.23 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_4.X1 (net)
+                  0.08    0.00    1.23 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.14    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
+                  0.10    0.00    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    1.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_5.X1 (net)
+                  0.05    0.00    1.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.06    0.12    1.60 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
+                  0.06    0.00    1.60 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.12    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_6.X1 (net)
+                  0.09    0.00    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.07    0.14    1.86 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.10    1.96 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_7.X1 (net)
+                  0.06    0.00    1.96 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.14    2.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
+                  0.11    0.00    2.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    2.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_8.X1 (net)
+                  0.05    0.00    2.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    2.32 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
+                  0.08    0.00    2.32 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.42 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_9.X1 (net)
+                  0.05    0.00    2.42 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.13    2.54 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
+                  0.10    0.00    2.54 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_10.X1 (net)
+                  0.05    0.00    2.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.12    2.76 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
+                  0.09    0.00    2.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.16    2.92 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.d05 (net)
+                  0.07    0.00    2.92 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.13    3.05 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
+                  0.04    0.00    3.05 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12    3.17 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
+                  0.05    0.00    3.17 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.21    0.29    3.46 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     2    0.07                           mprj/u_wb_host/net223 (net)
+                  0.22    0.00    3.47 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
+                  0.19    0.28    3.74 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/wbd_clk_wh (net)
+                  0.19    0.00    3.74 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.23    3.97 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
+                  0.10    0.00    3.97 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.08    0.18    4.15 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    18    0.06                           mprj/u_wb_host/clknet_3_5__leaf_wbs_clk_i (net)
+                  0.08    0.01    4.16 ^ mprj/u_wb_host/_5490_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                          0.10    4.26   clock uncertainty
+                         -0.29    3.97   clock reconvergence pessimism
+                          0.36    4.33   library removal time
+                                  4.33   data required time
+-----------------------------------------------------------------------------
+                                  4.33   data required time
+                                 -5.16   data arrival time
+-----------------------------------------------------------------------------
+                                  0.83   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5951_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_wb_host/_5498_
+          (removal check against rising-edge clock wbs_clk_i)
+Path Group: **async_default**
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.40    0.40 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__clkbuf_4)
+     4    0.05                           mprj/u_wb_host/net40 (net)
+                  0.17    0.00    0.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    0.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_1.X1 (net)
+                  0.05    0.00    0.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    0.61 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
+                  0.07    0.00    0.61 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.69 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_2.X1 (net)
+                  0.04    0.00    0.69 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    0.79 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
+                  0.08    0.00    0.79 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.09    0.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_3.X1 (net)
+                  0.05    0.00    0.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    1.02 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
+                  0.13    0.00    1.02 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    1.14 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_4.X1 (net)
+                  0.08    0.00    1.14 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.13    1.27 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
+                  0.10    0.00    1.27 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_5.X1 (net)
+                  0.05    0.00    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.06    0.11    1.48 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
+                  0.06    0.00    1.48 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.11    1.59 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_6.X1 (net)
+                  0.09    0.00    1.59 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.07    0.13    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    1.81 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_7.X1 (net)
+                  0.06    0.00    1.81 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.13    1.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
+                  0.11    0.00    1.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.04 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_8.X1 (net)
+                  0.05    0.00    2.04 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    2.15 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
+                  0.08    0.00    2.15 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.09    2.24 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_9.X1 (net)
+                  0.05    0.00    2.24 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.12    2.36 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
+                  0.10    0.00    2.36 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.46 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_10.X1 (net)
+                  0.05    0.00    2.46 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.11    2.56 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
+                  0.09    0.00    2.56 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.15    2.71 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.d05 (net)
+                  0.07    0.00    2.71 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    2.83 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
+                  0.04    0.00    2.83 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.11    2.94 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
+                  0.05    0.00    2.94 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.21    0.27    3.21 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     2    0.07                           mprj/u_wb_host/net223 (net)
+                  0.22    0.00    3.22 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
+                  0.19    0.26    3.47 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/wbd_clk_wh (net)
+                  0.19    0.00    3.47 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.21    3.68 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
+                  0.10    0.00    3.69 ^ mprj/u_wb_host/clkbuf_3_4__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.16    3.84 ^ mprj/u_wb_host/clkbuf_3_4__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    14    0.05                           mprj/u_wb_host/clknet_3_4__leaf_wbs_clk_i (net)
+                  0.07    0.00    3.85 ^ mprj/u_wb_host/_5951_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.04    0.31    4.16 ^ mprj/u_wb_host/_5951_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.rd_reset_n (net)
+                  0.04    0.00    4.16 ^ mprj/u_wb_host/hold2/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.13    0.58    4.74 ^ mprj/u_wb_host/hold2/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     2    0.01                           mprj/u_wb_host/net856 (net)
+                  0.13    0.00    4.74 ^ mprj/u_wb_host/fanout604/A (sky130_fd_sc_hd__buf_4)
+                  0.15    0.21    4.95 ^ mprj/u_wb_host/fanout604/X (sky130_fd_sc_hd__buf_4)
+    10    0.05                           mprj/u_wb_host/net604 (net)
+                  0.15    0.00    4.95 ^ mprj/u_wb_host/fanout603/A (sky130_fd_sc_hd__buf_4)
+                  0.14    0.21    5.16 ^ mprj/u_wb_host/fanout603/X (sky130_fd_sc_hd__buf_4)
+    10    0.05                           mprj/u_wb_host/net603 (net)
+                  0.14    0.00    5.16 ^ mprj/u_wb_host/_5498_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
+                                  5.16   data arrival time
+
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.43    0.43 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__clkbuf_4)
+     4    0.05                           mprj/u_wb_host/net40 (net)
+                  0.17    0.00    0.43 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    0.55 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_1.X1 (net)
+                  0.05    0.00    0.55 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    0.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
+                  0.07    0.00    0.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.09    0.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_2.X1 (net)
+                  0.04    0.00    0.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    0.85 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
+                  0.08    0.00    0.85 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    0.95 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_3.X1 (net)
+                  0.05    0.00    0.95 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    1.10 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
+                  0.13    0.00    1.10 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.23 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_4.X1 (net)
+                  0.08    0.00    1.23 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.14    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
+                  0.10    0.00    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    1.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_5.X1 (net)
+                  0.05    0.00    1.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.06    0.12    1.60 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
+                  0.06    0.00    1.60 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.12    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_6.X1 (net)
+                  0.09    0.00    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.07    0.14    1.86 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.10    1.96 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_7.X1 (net)
+                  0.06    0.00    1.96 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.14    2.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
+                  0.11    0.00    2.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    2.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_8.X1 (net)
+                  0.05    0.00    2.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    2.32 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
+                  0.08    0.00    2.32 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.42 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_9.X1 (net)
+                  0.05    0.00    2.42 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.13    2.54 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
+                  0.10    0.00    2.54 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_10.X1 (net)
+                  0.05    0.00    2.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.12    2.76 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
+                  0.09    0.00    2.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.16    2.92 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.d05 (net)
+                  0.07    0.00    2.92 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.13    3.05 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
+                  0.04    0.00    3.05 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12    3.17 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
+                  0.05    0.00    3.17 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.21    0.29    3.46 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     2    0.07                           mprj/u_wb_host/net223 (net)
+                  0.22    0.00    3.47 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
+                  0.19    0.28    3.74 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/wbd_clk_wh (net)
+                  0.19    0.00    3.74 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.23    3.97 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
+                  0.10    0.00    3.97 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.08    0.18    4.15 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    18    0.06                           mprj/u_wb_host/clknet_3_5__leaf_wbs_clk_i (net)
+                  0.08    0.01    4.16 ^ mprj/u_wb_host/_5498_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    4.26   clock uncertainty
+                         -0.29    3.97   clock reconvergence pessimism
+                          0.36    4.33   library removal time
+                                  4.33   data required time
+-----------------------------------------------------------------------------
+                                  4.33   data required time
+                                 -5.16   data arrival time
+-----------------------------------------------------------------------------
+                                  0.83   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5951_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_wb_host/_5485_
+          (removal check against rising-edge clock wbs_clk_i)
+Path Group: **async_default**
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.40    0.40 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__clkbuf_4)
+     4    0.05                           mprj/u_wb_host/net40 (net)
+                  0.17    0.00    0.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    0.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_1.X1 (net)
+                  0.05    0.00    0.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    0.61 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
+                  0.07    0.00    0.61 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.69 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_2.X1 (net)
+                  0.04    0.00    0.69 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    0.79 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
+                  0.08    0.00    0.79 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.09    0.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_3.X1 (net)
+                  0.05    0.00    0.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    1.02 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
+                  0.13    0.00    1.02 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    1.14 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_4.X1 (net)
+                  0.08    0.00    1.14 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.13    1.27 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
+                  0.10    0.00    1.27 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_5.X1 (net)
+                  0.05    0.00    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.06    0.11    1.48 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
+                  0.06    0.00    1.48 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.11    1.59 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_6.X1 (net)
+                  0.09    0.00    1.59 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.07    0.13    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    1.81 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_7.X1 (net)
+                  0.06    0.00    1.81 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.13    1.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
+                  0.11    0.00    1.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.04 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_8.X1 (net)
+                  0.05    0.00    2.04 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    2.15 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
+                  0.08    0.00    2.15 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.09    2.24 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_9.X1 (net)
+                  0.05    0.00    2.24 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.12    2.36 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
+                  0.10    0.00    2.36 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.46 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_10.X1 (net)
+                  0.05    0.00    2.46 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.11    2.56 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
+                  0.09    0.00    2.56 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.15    2.71 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.d05 (net)
+                  0.07    0.00    2.71 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    2.83 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
+                  0.04    0.00    2.83 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.11    2.94 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
+                  0.05    0.00    2.94 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.21    0.27    3.21 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     2    0.07                           mprj/u_wb_host/net223 (net)
+                  0.22    0.00    3.22 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
+                  0.19    0.26    3.47 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/wbd_clk_wh (net)
+                  0.19    0.00    3.47 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.21    3.68 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
+                  0.10    0.00    3.69 ^ mprj/u_wb_host/clkbuf_3_4__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.16    3.84 ^ mprj/u_wb_host/clkbuf_3_4__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    14    0.05                           mprj/u_wb_host/clknet_3_4__leaf_wbs_clk_i (net)
+                  0.07    0.00    3.85 ^ mprj/u_wb_host/_5951_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.04    0.31    4.16 ^ mprj/u_wb_host/_5951_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.rd_reset_n (net)
+                  0.04    0.00    4.16 ^ mprj/u_wb_host/hold2/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.13    0.58    4.74 ^ mprj/u_wb_host/hold2/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     2    0.01                           mprj/u_wb_host/net856 (net)
+                  0.13    0.00    4.74 ^ mprj/u_wb_host/fanout604/A (sky130_fd_sc_hd__buf_4)
+                  0.15    0.21    4.95 ^ mprj/u_wb_host/fanout604/X (sky130_fd_sc_hd__buf_4)
+    10    0.05                           mprj/u_wb_host/net604 (net)
+                  0.15    0.00    4.95 ^ mprj/u_wb_host/fanout603/A (sky130_fd_sc_hd__buf_4)
+                  0.14    0.21    5.16 ^ mprj/u_wb_host/fanout603/X (sky130_fd_sc_hd__buf_4)
+    10    0.05                           mprj/u_wb_host/net603 (net)
+                  0.14    0.00    5.16 ^ mprj/u_wb_host/_5485_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
+                                  5.16   data arrival time
+
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.43    0.43 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__clkbuf_4)
+     4    0.05                           mprj/u_wb_host/net40 (net)
+                  0.17    0.00    0.43 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    0.55 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_1.X1 (net)
+                  0.05    0.00    0.55 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    0.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
+                  0.07    0.00    0.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.09    0.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_2.X1 (net)
+                  0.04    0.00    0.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    0.85 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
+                  0.08    0.00    0.85 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    0.95 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_3.X1 (net)
+                  0.05    0.00    0.95 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    1.10 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
+                  0.13    0.00    1.10 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.23 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_4.X1 (net)
+                  0.08    0.00    1.23 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.14    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
+                  0.10    0.00    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    1.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_5.X1 (net)
+                  0.05    0.00    1.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.06    0.12    1.60 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
+                  0.06    0.00    1.60 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.12    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_6.X1 (net)
+                  0.09    0.00    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.07    0.14    1.86 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.10    1.96 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_7.X1 (net)
+                  0.06    0.00    1.96 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.14    2.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
+                  0.11    0.00    2.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    2.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_8.X1 (net)
+                  0.05    0.00    2.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    2.32 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
+                  0.08    0.00    2.32 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.42 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_9.X1 (net)
+                  0.05    0.00    2.42 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.13    2.54 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
+                  0.10    0.00    2.54 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_10.X1 (net)
+                  0.05    0.00    2.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.12    2.76 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
+                  0.09    0.00    2.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.16    2.92 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.d05 (net)
+                  0.07    0.00    2.92 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.13    3.05 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
+                  0.04    0.00    3.05 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12    3.17 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
+                  0.05    0.00    3.17 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.21    0.29    3.46 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     2    0.07                           mprj/u_wb_host/net223 (net)
+                  0.22    0.00    3.47 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
+                  0.19    0.28    3.74 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/wbd_clk_wh (net)
+                  0.19    0.00    3.74 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.23    3.97 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
+                  0.10    0.00    3.97 ^ mprj/u_wb_host/clkbuf_3_6__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.08    0.18    4.15 ^ mprj/u_wb_host/clkbuf_3_6__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    20    0.06                           mprj/u_wb_host/clknet_3_6__leaf_wbs_clk_i (net)
+                  0.08    0.01    4.16 ^ mprj/u_wb_host/_5485_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    4.26   clock uncertainty
+                         -0.29    3.97   clock reconvergence pessimism
+                          0.36    4.33   library removal time
+                                  4.33   data required time
+-----------------------------------------------------------------------------
+                                  4.33   data required time
+                                 -5.16   data arrival time
+-----------------------------------------------------------------------------
+                                  0.83   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5949_
+            (rising edge-triggered flip-flop clocked by wb_clk)
+Endpoint: mprj/u_wb_host/_6535_ (removal check against rising-edge clock wb_clk)
+Path Group: **async_default**
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09    4.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    4.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27    4.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    4.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37    4.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20    5.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35    5.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    5.65 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.79 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
+                  0.06    0.00    5.79 ^ mprj/u_wb_host/clkbuf_2_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.92 ^ mprj/u_wb_host/clkbuf_2_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_2_0_wbm_clk_i (net)
+                  0.06    0.00    5.92 ^ mprj/u_wb_host/clkbuf_3_4_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.19    0.23    6.16 ^ mprj/u_wb_host/clkbuf_3_4_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_wb_host/clknet_3_4_0_wbm_clk_i (net)
+                  0.19    0.00    6.16 ^ mprj/u_wb_host/clkbuf_leaf_48_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.18    6.34 ^ mprj/u_wb_host/clkbuf_leaf_48_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    11    0.04                           mprj/u_wb_host/clknet_leaf_48_wbm_clk_i (net)
+                  0.06    0.00    6.34 ^ mprj/u_wb_host/_5949_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.04    0.30    6.65 ^ mprj/u_wb_host/_5949_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.00                           mprj/u_wb_host/u_arb.rstn (net)
+                  0.04    0.00    6.65 ^ mprj/u_wb_host/hold4/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.13    0.58    7.23 ^ mprj/u_wb_host/hold4/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     2    0.01                           mprj/u_wb_host/net858 (net)
+                  0.13    0.00    7.23 ^ mprj/u_wb_host/fanout644/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.19    0.26    7.49 ^ mprj/u_wb_host/fanout644/X (sky130_fd_sc_hd__clkbuf_4)
+    10    0.06                           mprj/u_wb_host/net644 (net)
+                  0.19    0.00    7.49 ^ mprj/u_wb_host/fanout642/A (sky130_fd_sc_hd__buf_4)
+                  0.24    0.28    7.78 ^ mprj/u_wb_host/fanout642/X (sky130_fd_sc_hd__buf_4)
+    20    0.09                           mprj/u_wb_host/net642 (net)
+                  0.24    0.01    7.79 ^ mprj/u_wb_host/_6535_/RESET_B (sky130_fd_sc_hd__dfrtp_4)
+                                  7.79   data arrival time
+
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.10    5.31 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    5.31 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.30    5.61 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    5.64 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.40    6.04 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.21    6.26 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.37    6.63 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    6.63 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.84 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    6.84 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.16    7.00 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00    7.00 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.15 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    7.15 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.24    0.28    7.43 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
+                  0.24    0.01    7.44 ^ mprj/u_wb_host/clkbuf_leaf_10_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    7.66 ^ mprj/u_wb_host/clkbuf_leaf_10_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.05                           mprj/u_wb_host/clknet_leaf_10_wbm_clk_i (net)
+                  0.07    0.00    7.66 ^ mprj/u_wb_host/_6535_/CLK (sky130_fd_sc_hd__dfrtp_4)
+                          0.10    7.76   clock uncertainty
+                         -1.19    6.57   clock reconvergence pessimism
+                          0.38    6.95   library removal time
+                                  6.95   data required time
+-----------------------------------------------------------------------------
+                                  6.95   data required time
+                                 -7.79   data arrival time
+-----------------------------------------------------------------------------
+                                  0.84   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5949_
+            (rising edge-triggered flip-flop clocked by wb_clk)
+Endpoint: mprj/u_wb_host/_6537_ (removal check against rising-edge clock wb_clk)
+Path Group: **async_default**
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09    4.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    4.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27    4.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    4.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37    4.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20    5.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35    5.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    5.65 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.79 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
+                  0.06    0.00    5.79 ^ mprj/u_wb_host/clkbuf_2_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.92 ^ mprj/u_wb_host/clkbuf_2_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_2_0_wbm_clk_i (net)
+                  0.06    0.00    5.92 ^ mprj/u_wb_host/clkbuf_3_4_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.19    0.23    6.16 ^ mprj/u_wb_host/clkbuf_3_4_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_wb_host/clknet_3_4_0_wbm_clk_i (net)
+                  0.19    0.00    6.16 ^ mprj/u_wb_host/clkbuf_leaf_48_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.18    6.34 ^ mprj/u_wb_host/clkbuf_leaf_48_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    11    0.04                           mprj/u_wb_host/clknet_leaf_48_wbm_clk_i (net)
+                  0.06    0.00    6.34 ^ mprj/u_wb_host/_5949_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.04    0.30    6.65 ^ mprj/u_wb_host/_5949_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.00                           mprj/u_wb_host/u_arb.rstn (net)
+                  0.04    0.00    6.65 ^ mprj/u_wb_host/hold4/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.13    0.58    7.23 ^ mprj/u_wb_host/hold4/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     2    0.01                           mprj/u_wb_host/net858 (net)
+                  0.13    0.00    7.23 ^ mprj/u_wb_host/fanout644/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.19    0.26    7.49 ^ mprj/u_wb_host/fanout644/X (sky130_fd_sc_hd__clkbuf_4)
+    10    0.06                           mprj/u_wb_host/net644 (net)
+                  0.19    0.00    7.49 ^ mprj/u_wb_host/fanout642/A (sky130_fd_sc_hd__buf_4)
+                  0.24    0.28    7.78 ^ mprj/u_wb_host/fanout642/X (sky130_fd_sc_hd__buf_4)
+    20    0.09                           mprj/u_wb_host/net642 (net)
+                  0.24    0.01    7.79 ^ mprj/u_wb_host/_6537_/RESET_B (sky130_fd_sc_hd__dfrtp_2)
+                                  7.79   data arrival time
+
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.10    5.31 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    5.31 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.30    5.61 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    5.64 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.40    6.04 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.21    6.26 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.37    6.63 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    6.63 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.84 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    6.84 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.16    7.00 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00    7.00 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.15 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    7.15 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.24    0.28    7.43 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
+                  0.24    0.01    7.44 ^ mprj/u_wb_host/clkbuf_leaf_10_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    7.66 ^ mprj/u_wb_host/clkbuf_leaf_10_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.05                           mprj/u_wb_host/clknet_leaf_10_wbm_clk_i (net)
+                  0.07    0.00    7.66 ^ mprj/u_wb_host/_6537_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                          0.10    7.76   clock uncertainty
+                         -1.19    6.57   clock reconvergence pessimism
+                          0.38    6.95   library removal time
+                                  6.95   data required time
+-----------------------------------------------------------------------------
+                                  6.95   data required time
+                                 -7.79   data arrival time
+-----------------------------------------------------------------------------
+                                  0.84   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5877_
+            (rising edge-triggered flip-flop clocked by lbist_clk)
+Endpoint: mprj/u_wb_host/_5746_
+          (removal check against rising-edge clock lbist_clk)
+Path Group: **async_default**
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.11    0.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    0.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    0.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17    0.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    1.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    1.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    1.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    2.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    3.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    3.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    3.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    3.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    3.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    3.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    4.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13    4.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    4.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13    4.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    4.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25    5.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.32 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12    5.55 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00    5.55 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.68 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
+                  0.05    0.00    5.68 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.80 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_6_0_lbist_clk_int (net)
+                  0.04    0.00    5.80 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.17    5.97 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.06                           mprj/u_wb_host/clknet_4_13_0_lbist_clk_int (net)
+                  0.12    0.00    5.98 ^ mprj/u_wb_host/_5877_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.05    0.33    6.31 ^ mprj/u_wb_host/_5877_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.00                           mprj/u_wb_host/u_lbist.lbist_rst_n (net)
+                  0.05    0.00    6.31 ^ mprj/u_wb_host/hold7/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.05    0.51    6.82 ^ mprj/u_wb_host/hold7/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.00                           mprj/u_wb_host/net861 (net)
+                  0.05    0.00    6.82 ^ mprj/u_wb_host/fanout679/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.23    7.06 ^ mprj/u_wb_host/fanout679/X (sky130_fd_sc_hd__buf_2)
+    10    0.05                           mprj/u_wb_host/net679 (net)
+                  0.23    0.00    7.06 ^ mprj/u_wb_host/fanout671/A (sky130_fd_sc_hd__buf_2)
+                  0.19    0.26    7.32 ^ mprj/u_wb_host/fanout671/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_wb_host/net671 (net)
+                  0.19    0.00    7.32 ^ mprj/u_wb_host/_5746_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
+                                  7.32   data arrival time
+
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00    5.99 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.71 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      2    0.01                           mprj/u_wb_host/clknet_3_4_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_9_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.21    6.05 ^ mprj/u_wb_host/clkbuf_4_9_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    32    0.08                           mprj/u_wb_host/clknet_4_9_0_lbist_clk_int (net)
-                  0.14    0.00    6.05 ^ mprj/u_wb_host/_5713_/CLK (sky130_fd_sc_hd__dfstp_1)
-                          0.10    6.15   clock uncertainty
-                         -0.40    5.75   clock reconvergence pessimism
-                          0.16    5.91   library removal time
-                                  5.91   data required time
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.17    6.43 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.05                           mprj/u_wb_host/clknet_4_8_0_lbist_clk_int (net)
+                  0.09    0.00    6.43 ^ mprj/u_wb_host/_5746_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    6.53   clock uncertainty
+                         -0.43    6.10   clock reconvergence pessimism
+                          0.37    6.47   library removal time
+                                  6.47   data required time
 -----------------------------------------------------------------------------
-                                  5.91   data required time
-                                 -6.20   data arrival time
+                                  6.47   data required time
+                                 -7.32   data arrival time
 -----------------------------------------------------------------------------
-                                  0.29   slack (MET)
+                                  0.84   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_5883_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5982_
-          (removal check against rising-edge clock lbist_clk)
-Path Group: **async_default**
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.30 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
-                  0.05    0.00    5.30 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.41 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_6_0_lbist_clk_int (net)
-                  0.04    0.00    5.41 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.11    0.17    5.58 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.06                           mprj/u_wb_host/clknet_4_13_0_lbist_clk_int (net)
-                  0.11    0.00    5.58 ^ mprj/u_wb_host/_5883_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.32    0.61    6.19 ^ mprj/u_wb_host/_5883_/Q (sky130_fd_sc_hd__dfrtp_4)
-    28    0.11                           mprj/u_wb_host/u_lbist.lbist_rst_n (net)
-                  0.32    0.00    6.20 ^ mprj/u_wb_host/max_length375/A (sky130_fd_sc_hd__buf_12)
-                  0.20    0.26    6.45 ^ mprj/u_wb_host/max_length375/X (sky130_fd_sc_hd__buf_12)
-    52    0.17                           mprj/u_wb_host/net375 (net)
-                  0.20    0.01    6.46 ^ mprj/u_wb_host/_5982_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  6.46   data arrival time
-
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.71 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.71 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_4_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_9_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.21    6.05 ^ mprj/u_wb_host/clkbuf_4_9_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    32    0.08                           mprj/u_wb_host/clknet_4_9_0_lbist_clk_int (net)
-                  0.14    0.00    6.05 ^ mprj/u_wb_host/_5982_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    6.15   clock uncertainty
-                         -0.40    5.75   clock reconvergence pessimism
-                          0.39    6.14   library removal time
-                                  6.14   data required time
------------------------------------------------------------------------------
-                                  6.14   data required time
-                                 -6.46   data arrival time
------------------------------------------------------------------------------
-                                  0.32   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5883_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5978_
-          (removal check against rising-edge clock lbist_clk)
-Path Group: **async_default**
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.30 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
-                  0.05    0.00    5.30 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.41 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_6_0_lbist_clk_int (net)
-                  0.04    0.00    5.41 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.11    0.17    5.58 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.06                           mprj/u_wb_host/clknet_4_13_0_lbist_clk_int (net)
-                  0.11    0.00    5.58 ^ mprj/u_wb_host/_5883_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.32    0.61    6.19 ^ mprj/u_wb_host/_5883_/Q (sky130_fd_sc_hd__dfrtp_4)
-    28    0.11                           mprj/u_wb_host/u_lbist.lbist_rst_n (net)
-                  0.32    0.00    6.20 ^ mprj/u_wb_host/max_length375/A (sky130_fd_sc_hd__buf_12)
-                  0.20    0.26    6.45 ^ mprj/u_wb_host/max_length375/X (sky130_fd_sc_hd__buf_12)
-    52    0.17                           mprj/u_wb_host/net375 (net)
-                  0.20    0.01    6.46 ^ mprj/u_wb_host/_5978_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  6.46   data arrival time
-
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.71 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.71 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_4_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_9_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.21    6.05 ^ mprj/u_wb_host/clkbuf_4_9_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    32    0.08                           mprj/u_wb_host/clknet_4_9_0_lbist_clk_int (net)
-                  0.14    0.00    6.05 ^ mprj/u_wb_host/_5978_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    6.15   clock uncertainty
-                         -0.40    5.75   clock reconvergence pessimism
-                          0.39    6.14   library removal time
-                                  6.14   data required time
------------------------------------------------------------------------------
-                                  6.14   data required time
-                                 -6.46   data arrival time
------------------------------------------------------------------------------
-                                  0.32   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5883_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5752_
-          (removal check against rising-edge clock lbist_clk)
-Path Group: **async_default**
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.30 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
-                  0.05    0.00    5.30 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.41 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_6_0_lbist_clk_int (net)
-                  0.04    0.00    5.41 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.11    0.17    5.58 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.06                           mprj/u_wb_host/clknet_4_13_0_lbist_clk_int (net)
-                  0.11    0.00    5.58 ^ mprj/u_wb_host/_5883_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.32    0.61    6.19 ^ mprj/u_wb_host/_5883_/Q (sky130_fd_sc_hd__dfrtp_4)
-    28    0.11                           mprj/u_wb_host/u_lbist.lbist_rst_n (net)
-                  0.32    0.00    6.20 ^ mprj/u_wb_host/max_length375/A (sky130_fd_sc_hd__buf_12)
-                  0.20    0.26    6.45 ^ mprj/u_wb_host/max_length375/X (sky130_fd_sc_hd__buf_12)
-    52    0.17                           mprj/u_wb_host/net375 (net)
-                  0.20    0.01    6.46 ^ mprj/u_wb_host/_5752_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  6.46   data arrival time
-
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.71 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.71 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_4_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_9_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.21    6.05 ^ mprj/u_wb_host/clkbuf_4_9_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    32    0.08                           mprj/u_wb_host/clknet_4_9_0_lbist_clk_int (net)
-                  0.14    0.00    6.05 ^ mprj/u_wb_host/_5752_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    6.15   clock uncertainty
-                         -0.40    5.75   clock reconvergence pessimism
-                          0.39    6.14   library removal time
-                                  6.14   data required time
------------------------------------------------------------------------------
-                                  6.14   data required time
-                                 -6.46   data arrival time
------------------------------------------------------------------------------
-                                  0.33   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5883_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5656_
-          (removal check against rising-edge clock lbist_clk)
-Path Group: **async_default**
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.30 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
-                  0.05    0.00    5.30 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.41 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_6_0_lbist_clk_int (net)
-                  0.04    0.00    5.41 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.11    0.17    5.58 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.06                           mprj/u_wb_host/clknet_4_13_0_lbist_clk_int (net)
-                  0.11    0.00    5.58 ^ mprj/u_wb_host/_5883_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.32    0.61    6.19 ^ mprj/u_wb_host/_5883_/Q (sky130_fd_sc_hd__dfrtp_4)
-    28    0.11                           mprj/u_wb_host/u_lbist.lbist_rst_n (net)
-                  0.32    0.00    6.20 ^ mprj/u_wb_host/max_length375/A (sky130_fd_sc_hd__buf_12)
-                  0.20    0.26    6.45 ^ mprj/u_wb_host/max_length375/X (sky130_fd_sc_hd__buf_12)
-    52    0.17                           mprj/u_wb_host/net375 (net)
-                  0.20    0.01    6.47 ^ mprj/u_wb_host/_5656_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  6.47   data arrival time
-
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.71 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.71 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_4_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.21    6.05 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.08                           mprj/u_wb_host/clknet_4_8_0_lbist_clk_int (net)
-                  0.14    0.00    6.05 ^ mprj/u_wb_host/_5656_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    6.15   clock uncertainty
-                         -0.40    5.75   clock reconvergence pessimism
-                          0.39    6.14   library removal time
-                                  6.14   data required time
------------------------------------------------------------------------------
-                                  6.14   data required time
-                                 -6.47   data arrival time
------------------------------------------------------------------------------
-                                  0.33   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5883_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5653_
-          (removal check against rising-edge clock lbist_clk)
-Path Group: **async_default**
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.30 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
-                  0.05    0.00    5.30 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.41 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_6_0_lbist_clk_int (net)
-                  0.04    0.00    5.41 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.11    0.17    5.58 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.06                           mprj/u_wb_host/clknet_4_13_0_lbist_clk_int (net)
-                  0.11    0.00    5.58 ^ mprj/u_wb_host/_5883_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.32    0.61    6.19 ^ mprj/u_wb_host/_5883_/Q (sky130_fd_sc_hd__dfrtp_4)
-    28    0.11                           mprj/u_wb_host/u_lbist.lbist_rst_n (net)
-                  0.32    0.00    6.20 ^ mprj/u_wb_host/max_length375/A (sky130_fd_sc_hd__buf_12)
-                  0.20    0.26    6.45 ^ mprj/u_wb_host/max_length375/X (sky130_fd_sc_hd__buf_12)
-    52    0.17                           mprj/u_wb_host/net375 (net)
-                  0.20    0.01    6.47 ^ mprj/u_wb_host/_5653_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  6.47   data arrival time
-
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.71 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.71 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_4_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.21    6.05 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.08                           mprj/u_wb_host/clknet_4_8_0_lbist_clk_int (net)
-                  0.14    0.00    6.05 ^ mprj/u_wb_host/_5653_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    6.15   clock uncertainty
-                         -0.40    5.75   clock reconvergence pessimism
-                          0.39    6.14   library removal time
-                                  6.14   data required time
------------------------------------------------------------------------------
-                                  6.14   data required time
-                                 -6.47   data arrival time
------------------------------------------------------------------------------
-                                  0.33   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5883_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5975_
-          (removal check against rising-edge clock lbist_clk)
-Path Group: **async_default**
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.30 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
-                  0.05    0.00    5.30 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.41 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_6_0_lbist_clk_int (net)
-                  0.04    0.00    5.41 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.11    0.17    5.58 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.06                           mprj/u_wb_host/clknet_4_13_0_lbist_clk_int (net)
-                  0.11    0.00    5.58 ^ mprj/u_wb_host/_5883_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.32    0.61    6.19 ^ mprj/u_wb_host/_5883_/Q (sky130_fd_sc_hd__dfrtp_4)
-    28    0.11                           mprj/u_wb_host/u_lbist.lbist_rst_n (net)
-                  0.32    0.00    6.20 ^ mprj/u_wb_host/max_length375/A (sky130_fd_sc_hd__buf_12)
-                  0.20    0.26    6.45 ^ mprj/u_wb_host/max_length375/X (sky130_fd_sc_hd__buf_12)
-    52    0.17                           mprj/u_wb_host/net375 (net)
-                  0.20    0.01    6.46 ^ mprj/u_wb_host/_5975_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  6.46   data arrival time
-
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.71 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.71 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_4_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_9_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.21    6.05 ^ mprj/u_wb_host/clkbuf_4_9_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    32    0.08                           mprj/u_wb_host/clknet_4_9_0_lbist_clk_int (net)
-                  0.14    0.00    6.05 ^ mprj/u_wb_host/_5975_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    6.15   clock uncertainty
-                         -0.40    5.75   clock reconvergence pessimism
-                          0.39    6.14   library removal time
-                                  6.14   data required time
------------------------------------------------------------------------------
-                                  6.14   data required time
-                                 -6.46   data arrival time
------------------------------------------------------------------------------
-                                  0.33   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5883_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5750_
-          (removal check against rising-edge clock lbist_clk)
-Path Group: **async_default**
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.30 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
-                  0.05    0.00    5.30 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.41 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_6_0_lbist_clk_int (net)
-                  0.04    0.00    5.41 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.11    0.17    5.58 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.06                           mprj/u_wb_host/clknet_4_13_0_lbist_clk_int (net)
-                  0.11    0.00    5.58 ^ mprj/u_wb_host/_5883_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.32    0.61    6.19 ^ mprj/u_wb_host/_5883_/Q (sky130_fd_sc_hd__dfrtp_4)
-    28    0.11                           mprj/u_wb_host/u_lbist.lbist_rst_n (net)
-                  0.32    0.00    6.20 ^ mprj/u_wb_host/max_length375/A (sky130_fd_sc_hd__buf_12)
-                  0.20    0.26    6.45 ^ mprj/u_wb_host/max_length375/X (sky130_fd_sc_hd__buf_12)
-    52    0.17                           mprj/u_wb_host/net375 (net)
-                  0.20    0.01    6.47 ^ mprj/u_wb_host/_5750_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  6.47   data arrival time
-
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.71 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.71 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_4_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.21    6.05 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.08                           mprj/u_wb_host/clknet_4_8_0_lbist_clk_int (net)
-                  0.14    0.00    6.05 ^ mprj/u_wb_host/_5750_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    6.15   clock uncertainty
-                         -0.40    5.75   clock reconvergence pessimism
-                          0.39    6.14   library removal time
-                                  6.14   data required time
------------------------------------------------------------------------------
-                                  6.14   data required time
-                                 -6.47   data arrival time
------------------------------------------------------------------------------
-                                  0.33   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5883_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5655_
-          (removal check against rising-edge clock lbist_clk)
-Path Group: **async_default**
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.30 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
-                  0.05    0.00    5.30 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.41 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_6_0_lbist_clk_int (net)
-                  0.04    0.00    5.41 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.11    0.17    5.58 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.06                           mprj/u_wb_host/clknet_4_13_0_lbist_clk_int (net)
-                  0.11    0.00    5.58 ^ mprj/u_wb_host/_5883_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.32    0.61    6.19 ^ mprj/u_wb_host/_5883_/Q (sky130_fd_sc_hd__dfrtp_4)
-    28    0.11                           mprj/u_wb_host/u_lbist.lbist_rst_n (net)
-                  0.32    0.00    6.20 ^ mprj/u_wb_host/max_length375/A (sky130_fd_sc_hd__buf_12)
-                  0.20    0.26    6.45 ^ mprj/u_wb_host/max_length375/X (sky130_fd_sc_hd__buf_12)
-    52    0.17                           mprj/u_wb_host/net375 (net)
-                  0.20    0.01    6.47 ^ mprj/u_wb_host/_5655_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  6.47   data arrival time
-
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.71 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.71 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_4_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.21    6.05 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.08                           mprj/u_wb_host/clknet_4_8_0_lbist_clk_int (net)
-                  0.14    0.00    6.05 ^ mprj/u_wb_host/_5655_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    6.15   clock uncertainty
-                         -0.40    5.75   clock reconvergence pessimism
-                          0.39    6.14   library removal time
-                                  6.14   data required time
------------------------------------------------------------------------------
-                                  6.14   data required time
-                                 -6.47   data arrival time
------------------------------------------------------------------------------
-                                  0.33   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5883_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5787_
-          (removal check against rising-edge clock lbist_clk)
-Path Group: **async_default**
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.30 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
-                  0.05    0.00    5.30 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.41 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_6_0_lbist_clk_int (net)
-                  0.04    0.00    5.41 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.11    0.17    5.58 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.06                           mprj/u_wb_host/clknet_4_13_0_lbist_clk_int (net)
-                  0.11    0.00    5.58 ^ mprj/u_wb_host/_5883_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.32    0.61    6.19 ^ mprj/u_wb_host/_5883_/Q (sky130_fd_sc_hd__dfrtp_4)
-    28    0.11                           mprj/u_wb_host/u_lbist.lbist_rst_n (net)
-                  0.32    0.00    6.20 ^ mprj/u_wb_host/max_length375/A (sky130_fd_sc_hd__buf_12)
-                  0.20    0.26    6.45 ^ mprj/u_wb_host/max_length375/X (sky130_fd_sc_hd__buf_12)
-    52    0.17                           mprj/u_wb_host/net375 (net)
-                  0.20    0.01    6.47 ^ mprj/u_wb_host/_5787_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  6.47   data arrival time
-
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.71 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.71 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_4_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.21    6.05 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.08                           mprj/u_wb_host/clknet_4_8_0_lbist_clk_int (net)
-                  0.14    0.00    6.05 ^ mprj/u_wb_host/_5787_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    6.15   clock uncertainty
-                         -0.40    5.75   clock reconvergence pessimism
-                          0.39    6.14   library removal time
-                                  6.14   data required time
------------------------------------------------------------------------------
-                                  6.14   data required time
-                                 -6.47   data arrival time
------------------------------------------------------------------------------
-                                  0.33   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5883_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5754_
-          (removal check against rising-edge clock lbist_clk)
-Path Group: **async_default**
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.30 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
-                  0.05    0.00    5.30 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.41 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_6_0_lbist_clk_int (net)
-                  0.04    0.00    5.41 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.11    0.17    5.58 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.06                           mprj/u_wb_host/clknet_4_13_0_lbist_clk_int (net)
-                  0.11    0.00    5.58 ^ mprj/u_wb_host/_5883_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.32    0.61    6.19 ^ mprj/u_wb_host/_5883_/Q (sky130_fd_sc_hd__dfrtp_4)
-    28    0.11                           mprj/u_wb_host/u_lbist.lbist_rst_n (net)
-                  0.32    0.00    6.20 ^ mprj/u_wb_host/max_length375/A (sky130_fd_sc_hd__buf_12)
-                  0.20    0.26    6.45 ^ mprj/u_wb_host/max_length375/X (sky130_fd_sc_hd__buf_12)
-    52    0.17                           mprj/u_wb_host/net375 (net)
-                  0.20    0.02    6.47 ^ mprj/u_wb_host/_5754_/RESET_B (sky130_fd_sc_hd__dfrtp_4)
-                                  6.47   data arrival time
-
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.71 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.71 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_4_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.21    6.05 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.08                           mprj/u_wb_host/clknet_4_8_0_lbist_clk_int (net)
-                  0.14    0.00    6.05 ^ mprj/u_wb_host/_5754_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                          0.10    6.15   clock uncertainty
-                         -0.40    5.75   clock reconvergence pessimism
-                          0.39    6.14   library removal time
-                                  6.14   data required time
------------------------------------------------------------------------------
-                                  6.14   data required time
-                                 -6.47   data arrival time
------------------------------------------------------------------------------
-                                  0.33   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5883_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5753_
-          (removal check against rising-edge clock lbist_clk)
-Path Group: **async_default**
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.30 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
-                  0.05    0.00    5.30 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.41 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_6_0_lbist_clk_int (net)
-                  0.04    0.00    5.41 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.11    0.17    5.58 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.06                           mprj/u_wb_host/clknet_4_13_0_lbist_clk_int (net)
-                  0.11    0.00    5.58 ^ mprj/u_wb_host/_5883_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.32    0.61    6.19 ^ mprj/u_wb_host/_5883_/Q (sky130_fd_sc_hd__dfrtp_4)
-    28    0.11                           mprj/u_wb_host/u_lbist.lbist_rst_n (net)
-                  0.32    0.00    6.20 ^ mprj/u_wb_host/max_length375/A (sky130_fd_sc_hd__buf_12)
-                  0.20    0.26    6.45 ^ mprj/u_wb_host/max_length375/X (sky130_fd_sc_hd__buf_12)
-    52    0.17                           mprj/u_wb_host/net375 (net)
-                  0.20    0.01    6.47 ^ mprj/u_wb_host/_5753_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  6.47   data arrival time
-
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.71 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.71 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_4_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_9_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.21    6.05 ^ mprj/u_wb_host/clkbuf_4_9_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    32    0.08                           mprj/u_wb_host/clknet_4_9_0_lbist_clk_int (net)
-                  0.14    0.00    6.05 ^ mprj/u_wb_host/_5753_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    6.15   clock uncertainty
-                         -0.40    5.75   clock reconvergence pessimism
-                          0.39    6.14   library removal time
-                                  6.14   data required time
------------------------------------------------------------------------------
-                                  6.14   data required time
-                                 -6.47   data arrival time
------------------------------------------------------------------------------
-                                  0.33   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5883_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5762_
-          (removal check against rising-edge clock lbist_clk)
-Path Group: **async_default**
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.30 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
-                  0.05    0.00    5.30 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.41 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_6_0_lbist_clk_int (net)
-                  0.04    0.00    5.41 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.11    0.17    5.58 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.06                           mprj/u_wb_host/clknet_4_13_0_lbist_clk_int (net)
-                  0.11    0.00    5.58 ^ mprj/u_wb_host/_5883_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.32    0.61    6.19 ^ mprj/u_wb_host/_5883_/Q (sky130_fd_sc_hd__dfrtp_4)
-    28    0.11                           mprj/u_wb_host/u_lbist.lbist_rst_n (net)
-                  0.32    0.00    6.20 ^ mprj/u_wb_host/max_length374/A (sky130_fd_sc_hd__buf_12)
-                  0.27    0.29    6.49 ^ mprj/u_wb_host/max_length374/X (sky130_fd_sc_hd__buf_12)
-    66    0.23                           mprj/u_wb_host/net374 (net)
-                  0.27    0.01    6.50 ^ mprj/u_wb_host/_5762_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  6.50   data arrival time
-
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.71 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.71 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_4_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_9_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.21    6.05 ^ mprj/u_wb_host/clkbuf_4_9_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    32    0.08                           mprj/u_wb_host/clknet_4_9_0_lbist_clk_int (net)
-                  0.14    0.00    6.05 ^ mprj/u_wb_host/_5762_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    6.15   clock uncertainty
-                         -0.40    5.75   clock reconvergence pessimism
-                          0.41    6.16   library removal time
-                                  6.16   data required time
------------------------------------------------------------------------------
-                                  6.16   data required time
-                                 -6.50   data arrival time
------------------------------------------------------------------------------
-                                  0.34   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5883_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5913_
-          (removal check against rising-edge clock lbist_clk)
-Path Group: **async_default**
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.30 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
-                  0.05    0.00    5.30 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.41 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_6_0_lbist_clk_int (net)
-                  0.04    0.00    5.41 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.11    0.17    5.58 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.06                           mprj/u_wb_host/clknet_4_13_0_lbist_clk_int (net)
-                  0.11    0.00    5.58 ^ mprj/u_wb_host/_5883_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.32    0.61    6.19 ^ mprj/u_wb_host/_5883_/Q (sky130_fd_sc_hd__dfrtp_4)
-    28    0.11                           mprj/u_wb_host/u_lbist.lbist_rst_n (net)
-                  0.32    0.00    6.20 ^ mprj/u_wb_host/max_length374/A (sky130_fd_sc_hd__buf_12)
-                  0.27    0.29    6.49 ^ mprj/u_wb_host/max_length374/X (sky130_fd_sc_hd__buf_12)
-    66    0.23                           mprj/u_wb_host/net374 (net)
-                  0.27    0.02    6.50 ^ mprj/u_wb_host/_5913_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  6.50   data arrival time
-
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.71 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.71 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_4_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.21    6.05 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.08                           mprj/u_wb_host/clknet_4_8_0_lbist_clk_int (net)
-                  0.14    0.00    6.06 ^ mprj/u_wb_host/_5913_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    6.16   clock uncertainty
-                         -0.40    5.75   clock reconvergence pessimism
-                          0.41    6.16   library removal time
-                                  6.16   data required time
------------------------------------------------------------------------------
-                                  6.16   data required time
-                                 -6.50   data arrival time
------------------------------------------------------------------------------
-                                  0.34   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5883_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5662_
-          (removal check against rising-edge clock lbist_clk)
-Path Group: **async_default**
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.30 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
-                  0.05    0.00    5.30 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.41 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_6_0_lbist_clk_int (net)
-                  0.04    0.00    5.41 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.11    0.17    5.58 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.06                           mprj/u_wb_host/clknet_4_13_0_lbist_clk_int (net)
-                  0.11    0.00    5.58 ^ mprj/u_wb_host/_5883_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.32    0.61    6.19 ^ mprj/u_wb_host/_5883_/Q (sky130_fd_sc_hd__dfrtp_4)
-    28    0.11                           mprj/u_wb_host/u_lbist.lbist_rst_n (net)
-                  0.32    0.00    6.20 ^ mprj/u_wb_host/max_length375/A (sky130_fd_sc_hd__buf_12)
-                  0.20    0.26    6.45 ^ mprj/u_wb_host/max_length375/X (sky130_fd_sc_hd__buf_12)
-    52    0.17                           mprj/u_wb_host/net375 (net)
-                  0.20    0.02    6.47 ^ mprj/u_wb_host/_5662_/RESET_B (sky130_fd_sc_hd__dfrtp_4)
-                                  6.47   data arrival time
-
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.71 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.71 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.84 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
-                  0.04    0.00    5.84 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.13    0.20    6.04 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.07                           mprj/u_wb_host/clknet_4_10_0_lbist_clk_int (net)
-                  0.13    0.00    6.04 ^ mprj/u_wb_host/_5662_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                          0.10    6.14   clock uncertainty
-                         -0.40    5.74   clock reconvergence pessimism
-                          0.39    6.13   library removal time
-                                  6.13   data required time
------------------------------------------------------------------------------
-                                  6.13   data required time
-                                 -6.47   data arrival time
------------------------------------------------------------------------------
-                                  0.35   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5883_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5658_
-          (removal check against rising-edge clock lbist_clk)
-Path Group: **async_default**
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.30 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
-                  0.05    0.00    5.30 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.41 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_6_0_lbist_clk_int (net)
-                  0.04    0.00    5.41 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.11    0.17    5.58 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.06                           mprj/u_wb_host/clknet_4_13_0_lbist_clk_int (net)
-                  0.11    0.00    5.58 ^ mprj/u_wb_host/_5883_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.32    0.61    6.19 ^ mprj/u_wb_host/_5883_/Q (sky130_fd_sc_hd__dfrtp_4)
-    28    0.11                           mprj/u_wb_host/u_lbist.lbist_rst_n (net)
-                  0.32    0.00    6.20 ^ mprj/u_wb_host/max_length375/A (sky130_fd_sc_hd__buf_12)
-                  0.20    0.26    6.45 ^ mprj/u_wb_host/max_length375/X (sky130_fd_sc_hd__buf_12)
-    52    0.17                           mprj/u_wb_host/net375 (net)
-                  0.20    0.02    6.47 ^ mprj/u_wb_host/_5658_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  6.47   data arrival time
-
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.71 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.71 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.84 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
-                  0.04    0.00    5.84 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.13    0.20    6.04 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.07                           mprj/u_wb_host/clknet_4_10_0_lbist_clk_int (net)
-                  0.13    0.00    6.04 ^ mprj/u_wb_host/_5658_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    6.14   clock uncertainty
-                         -0.40    5.74   clock reconvergence pessimism
-                          0.39    6.13   library removal time
-                                  6.13   data required time
------------------------------------------------------------------------------
-                                  6.13   data required time
-                                 -6.47   data arrival time
------------------------------------------------------------------------------
-                                  0.35   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5883_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5657_
-          (removal check against rising-edge clock lbist_clk)
-Path Group: **async_default**
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.30 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
-                  0.05    0.00    5.30 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.41 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_6_0_lbist_clk_int (net)
-                  0.04    0.00    5.41 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.11    0.17    5.58 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.06                           mprj/u_wb_host/clknet_4_13_0_lbist_clk_int (net)
-                  0.11    0.00    5.58 ^ mprj/u_wb_host/_5883_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.32    0.61    6.19 ^ mprj/u_wb_host/_5883_/Q (sky130_fd_sc_hd__dfrtp_4)
-    28    0.11                           mprj/u_wb_host/u_lbist.lbist_rst_n (net)
-                  0.32    0.00    6.20 ^ mprj/u_wb_host/max_length375/A (sky130_fd_sc_hd__buf_12)
-                  0.20    0.26    6.45 ^ mprj/u_wb_host/max_length375/X (sky130_fd_sc_hd__buf_12)
-    52    0.17                           mprj/u_wb_host/net375 (net)
-                  0.20    0.02    6.47 ^ mprj/u_wb_host/_5657_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  6.47   data arrival time
-
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.71 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.71 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.84 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
-                  0.04    0.00    5.84 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.13    0.20    6.04 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.07                           mprj/u_wb_host/clknet_4_10_0_lbist_clk_int (net)
-                  0.13    0.00    6.04 ^ mprj/u_wb_host/_5657_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    6.14   clock uncertainty
-                         -0.40    5.74   clock reconvergence pessimism
-                          0.39    6.13   library removal time
-                                  6.13   data required time
------------------------------------------------------------------------------
-                                  6.13   data required time
-                                 -6.47   data arrival time
------------------------------------------------------------------------------
-                                  0.35   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5883_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5758_
-          (removal check against rising-edge clock lbist_clk)
-Path Group: **async_default**
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.30 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
-                  0.05    0.00    5.30 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.41 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_6_0_lbist_clk_int (net)
-                  0.04    0.00    5.41 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.11    0.17    5.58 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.06                           mprj/u_wb_host/clknet_4_13_0_lbist_clk_int (net)
-                  0.11    0.00    5.58 ^ mprj/u_wb_host/_5883_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.32    0.61    6.19 ^ mprj/u_wb_host/_5883_/Q (sky130_fd_sc_hd__dfrtp_4)
-    28    0.11                           mprj/u_wb_host/u_lbist.lbist_rst_n (net)
-                  0.32    0.00    6.20 ^ mprj/u_wb_host/max_length374/A (sky130_fd_sc_hd__buf_12)
-                  0.27    0.29    6.49 ^ mprj/u_wb_host/max_length374/X (sky130_fd_sc_hd__buf_12)
-    66    0.23                           mprj/u_wb_host/net374 (net)
-                  0.27    0.02    6.51 ^ mprj/u_wb_host/_5758_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  6.51   data arrival time
-
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.71 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.71 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_4_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.21    6.05 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.08                           mprj/u_wb_host/clknet_4_8_0_lbist_clk_int (net)
-                  0.14    0.00    6.06 ^ mprj/u_wb_host/_5758_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    6.16   clock uncertainty
-                         -0.40    5.75   clock reconvergence pessimism
-                          0.41    6.16   library removal time
-                                  6.16   data required time
------------------------------------------------------------------------------
-                                  6.16   data required time
-                                 -6.51   data arrival time
------------------------------------------------------------------------------
-                                  0.35   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5883_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5786_
-          (removal check against rising-edge clock lbist_clk)
-Path Group: **async_default**
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.30 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
-                  0.05    0.00    5.30 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.41 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_6_0_lbist_clk_int (net)
-                  0.04    0.00    5.41 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.11    0.17    5.58 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.06                           mprj/u_wb_host/clknet_4_13_0_lbist_clk_int (net)
-                  0.11    0.00    5.58 ^ mprj/u_wb_host/_5883_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.32    0.61    6.19 ^ mprj/u_wb_host/_5883_/Q (sky130_fd_sc_hd__dfrtp_4)
-    28    0.11                           mprj/u_wb_host/u_lbist.lbist_rst_n (net)
-                  0.32    0.00    6.20 ^ mprj/u_wb_host/max_length374/A (sky130_fd_sc_hd__buf_12)
-                  0.27    0.29    6.49 ^ mprj/u_wb_host/max_length374/X (sky130_fd_sc_hd__buf_12)
-    66    0.23                           mprj/u_wb_host/net374 (net)
-                  0.27    0.02    6.51 ^ mprj/u_wb_host/_5786_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  6.51   data arrival time
-
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.71 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.71 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_4_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.21    6.05 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.08                           mprj/u_wb_host/clknet_4_8_0_lbist_clk_int (net)
-                  0.14    0.00    6.06 ^ mprj/u_wb_host/_5786_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    6.16   clock uncertainty
-                         -0.40    5.75   clock reconvergence pessimism
-                          0.41    6.16   library removal time
-                                  6.16   data required time
------------------------------------------------------------------------------
-                                  6.16   data required time
-                                 -6.51   data arrival time
------------------------------------------------------------------------------
-                                  0.35   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5883_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5661_
-          (removal check against rising-edge clock lbist_clk)
-Path Group: **async_default**
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.30 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
-                  0.05    0.00    5.30 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.41 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_6_0_lbist_clk_int (net)
-                  0.04    0.00    5.41 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.11    0.17    5.58 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.06                           mprj/u_wb_host/clknet_4_13_0_lbist_clk_int (net)
-                  0.11    0.00    5.58 ^ mprj/u_wb_host/_5883_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.32    0.61    6.19 ^ mprj/u_wb_host/_5883_/Q (sky130_fd_sc_hd__dfrtp_4)
-    28    0.11                           mprj/u_wb_host/u_lbist.lbist_rst_n (net)
-                  0.32    0.00    6.20 ^ mprj/u_wb_host/max_length375/A (sky130_fd_sc_hd__buf_12)
-                  0.20    0.26    6.45 ^ mprj/u_wb_host/max_length375/X (sky130_fd_sc_hd__buf_12)
-    52    0.17                           mprj/u_wb_host/net375 (net)
-                  0.20    0.02    6.47 ^ mprj/u_wb_host/_5661_/RESET_B (sky130_fd_sc_hd__dfrtp_4)
-                                  6.47   data arrival time
-
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.71 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.71 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.84 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
-                  0.04    0.00    5.84 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.13    0.20    6.04 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.07                           mprj/u_wb_host/clknet_4_10_0_lbist_clk_int (net)
-                  0.13    0.00    6.04 ^ mprj/u_wb_host/_5661_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                          0.10    6.14   clock uncertainty
-                         -0.40    5.74   clock reconvergence pessimism
-                          0.39    6.13   library removal time
-                                  6.13   data required time
------------------------------------------------------------------------------
-                                  6.13   data required time
-                                 -6.47   data arrival time
------------------------------------------------------------------------------
-                                  0.35   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5883_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5663_
-          (removal check against rising-edge clock lbist_clk)
-Path Group: **async_default**
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.30 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
-                  0.05    0.00    5.30 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.41 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_6_0_lbist_clk_int (net)
-                  0.04    0.00    5.41 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.11    0.17    5.58 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.06                           mprj/u_wb_host/clknet_4_13_0_lbist_clk_int (net)
-                  0.11    0.00    5.58 ^ mprj/u_wb_host/_5883_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.32    0.61    6.19 ^ mprj/u_wb_host/_5883_/Q (sky130_fd_sc_hd__dfrtp_4)
-    28    0.11                           mprj/u_wb_host/u_lbist.lbist_rst_n (net)
-                  0.32    0.00    6.20 ^ mprj/u_wb_host/max_length375/A (sky130_fd_sc_hd__buf_12)
-                  0.20    0.26    6.45 ^ mprj/u_wb_host/max_length375/X (sky130_fd_sc_hd__buf_12)
-    52    0.17                           mprj/u_wb_host/net375 (net)
-                  0.20    0.02    6.47 ^ mprj/u_wb_host/_5663_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  6.47   data arrival time
-
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.71 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.71 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.84 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
-                  0.04    0.00    5.84 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.13    0.20    6.04 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.07                           mprj/u_wb_host/clknet_4_10_0_lbist_clk_int (net)
-                  0.13    0.00    6.04 ^ mprj/u_wb_host/_5663_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    6.14   clock uncertainty
-                         -0.40    5.74   clock reconvergence pessimism
-                          0.39    6.12   library removal time
-                                  6.12   data required time
------------------------------------------------------------------------------
-                                  6.12   data required time
-                                 -6.47   data arrival time
------------------------------------------------------------------------------
-                                  0.35   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5883_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5784_
-          (removal check against rising-edge clock lbist_clk)
-Path Group: **async_default**
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.30 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
-                  0.05    0.00    5.30 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.41 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_6_0_lbist_clk_int (net)
-                  0.04    0.00    5.41 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.11    0.17    5.58 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.06                           mprj/u_wb_host/clknet_4_13_0_lbist_clk_int (net)
-                  0.11    0.00    5.58 ^ mprj/u_wb_host/_5883_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.32    0.61    6.19 ^ mprj/u_wb_host/_5883_/Q (sky130_fd_sc_hd__dfrtp_4)
-    28    0.11                           mprj/u_wb_host/u_lbist.lbist_rst_n (net)
-                  0.32    0.00    6.20 ^ mprj/u_wb_host/max_length374/A (sky130_fd_sc_hd__buf_12)
-                  0.27    0.29    6.49 ^ mprj/u_wb_host/max_length374/X (sky130_fd_sc_hd__buf_12)
-    66    0.23                           mprj/u_wb_host/net374 (net)
-                  0.27    0.02    6.51 ^ mprj/u_wb_host/_5784_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  6.51   data arrival time
-
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.71 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.71 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_4_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.21    6.05 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.08                           mprj/u_wb_host/clknet_4_8_0_lbist_clk_int (net)
-                  0.14    0.00    6.06 ^ mprj/u_wb_host/_5784_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    6.16   clock uncertainty
-                         -0.40    5.75   clock reconvergence pessimism
-                          0.41    6.16   library removal time
-                                  6.16   data required time
------------------------------------------------------------------------------
-                                  6.16   data required time
-                                 -6.51   data arrival time
------------------------------------------------------------------------------
-                                  0.35   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5883_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_6528_
-          (removal check against rising-edge clock lbist_clk)
-Path Group: **async_default**
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.30 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
-                  0.05    0.00    5.30 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.41 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_6_0_lbist_clk_int (net)
-                  0.04    0.00    5.41 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.11    0.17    5.58 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.06                           mprj/u_wb_host/clknet_4_13_0_lbist_clk_int (net)
-                  0.11    0.00    5.58 ^ mprj/u_wb_host/_5883_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.32    0.61    6.19 ^ mprj/u_wb_host/_5883_/Q (sky130_fd_sc_hd__dfrtp_4)
-    28    0.11                           mprj/u_wb_host/u_lbist.lbist_rst_n (net)
-                  0.32    0.00    6.20 ^ mprj/u_wb_host/max_length375/A (sky130_fd_sc_hd__buf_12)
-                  0.20    0.26    6.45 ^ mprj/u_wb_host/max_length375/X (sky130_fd_sc_hd__buf_12)
-    52    0.17                           mprj/u_wb_host/net375 (net)
-                  0.20    0.02    6.47 ^ mprj/u_wb_host/_6528_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  6.47   data arrival time
-
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.71 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.71 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.84 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
-                  0.04    0.00    5.84 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.13    0.20    6.04 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.07                           mprj/u_wb_host/clknet_4_10_0_lbist_clk_int (net)
-                  0.13    0.00    6.04 ^ mprj/u_wb_host/_6528_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    6.14   clock uncertainty
-                         -0.40    5.74   clock reconvergence pessimism
-                          0.39    6.12   library removal time
-                                  6.12   data required time
------------------------------------------------------------------------------
-                                  6.12   data required time
-                                 -6.47   data arrival time
------------------------------------------------------------------------------
-                                  0.35   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5883_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_6530_
-          (removal check against rising-edge clock lbist_clk)
-Path Group: **async_default**
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.30 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
-                  0.05    0.00    5.30 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.41 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_6_0_lbist_clk_int (net)
-                  0.04    0.00    5.41 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.11    0.17    5.58 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.06                           mprj/u_wb_host/clknet_4_13_0_lbist_clk_int (net)
-                  0.11    0.00    5.58 ^ mprj/u_wb_host/_5883_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.32    0.61    6.19 ^ mprj/u_wb_host/_5883_/Q (sky130_fd_sc_hd__dfrtp_4)
-    28    0.11                           mprj/u_wb_host/u_lbist.lbist_rst_n (net)
-                  0.32    0.00    6.20 ^ mprj/u_wb_host/max_length375/A (sky130_fd_sc_hd__buf_12)
-                  0.20    0.26    6.45 ^ mprj/u_wb_host/max_length375/X (sky130_fd_sc_hd__buf_12)
-    52    0.17                           mprj/u_wb_host/net375 (net)
-                  0.20    0.02    6.47 ^ mprj/u_wb_host/_6530_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  6.47   data arrival time
-
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.71 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.71 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.84 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
-                  0.04    0.00    5.84 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.13    0.20    6.04 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.07                           mprj/u_wb_host/clknet_4_10_0_lbist_clk_int (net)
-                  0.13    0.00    6.04 ^ mprj/u_wb_host/_6530_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    6.14   clock uncertainty
-                         -0.40    5.74   clock reconvergence pessimism
-                          0.39    6.12   library removal time
-                                  6.12   data required time
------------------------------------------------------------------------------
-                                  6.12   data required time
-                                 -6.47   data arrival time
------------------------------------------------------------------------------
-                                  0.35   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5883_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5701_
-          (removal check against rising-edge clock lbist_clk)
-Path Group: **async_default**
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.30 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
-                  0.05    0.00    5.30 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.41 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_6_0_lbist_clk_int (net)
-                  0.04    0.00    5.41 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.11    0.17    5.58 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.06                           mprj/u_wb_host/clknet_4_13_0_lbist_clk_int (net)
-                  0.11    0.00    5.58 ^ mprj/u_wb_host/_5883_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.32    0.61    6.19 ^ mprj/u_wb_host/_5883_/Q (sky130_fd_sc_hd__dfrtp_4)
-    28    0.11                           mprj/u_wb_host/u_lbist.lbist_rst_n (net)
-                  0.32    0.00    6.20 ^ mprj/u_wb_host/_5701_/SET_B (sky130_fd_sc_hd__dfstp_2)
-                                  6.20   data arrival time
-
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.71 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
-                  0.05    0.00    5.71 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.84 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_6_0_lbist_clk_int (net)
-                  0.04    0.00    5.84 ^ mprj/u_wb_host/clkbuf_4_12_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.11    0.18    6.02 ^ mprj/u_wb_host/clkbuf_4_12_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    24    0.06                           mprj/u_wb_host/clknet_4_12_0_lbist_clk_int (net)
-                  0.11    0.00    6.02 ^ mprj/u_wb_host/_5701_/CLK (sky130_fd_sc_hd__dfstp_2)
-                          0.10    6.12   clock uncertainty
-                         -0.42    5.70   clock reconvergence pessimism
-                          0.15    5.85   library removal time
-                                  5.85   data required time
------------------------------------------------------------------------------
-                                  5.85   data required time
-                                 -6.20   data arrival time
------------------------------------------------------------------------------
-                                  0.35   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5883_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5709_
-          (removal check against rising-edge clock lbist_clk)
-Path Group: **async_default**
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.30 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
-                  0.05    0.00    5.30 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.41 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_6_0_lbist_clk_int (net)
-                  0.04    0.00    5.41 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.11    0.17    5.58 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.06                           mprj/u_wb_host/clknet_4_13_0_lbist_clk_int (net)
-                  0.11    0.00    5.58 ^ mprj/u_wb_host/_5883_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.32    0.61    6.19 ^ mprj/u_wb_host/_5883_/Q (sky130_fd_sc_hd__dfrtp_4)
-    28    0.11                           mprj/u_wb_host/u_lbist.lbist_rst_n (net)
-                  0.32    0.00    6.20 ^ mprj/u_wb_host/_5709_/SET_B (sky130_fd_sc_hd__dfstp_1)
-                                  6.20   data arrival time
-
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.71 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
-                  0.05    0.00    5.71 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.84 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_6_0_lbist_clk_int (net)
-                  0.04    0.00    5.84 ^ mprj/u_wb_host/clkbuf_4_12_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.11    0.18    6.02 ^ mprj/u_wb_host/clkbuf_4_12_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    24    0.06                           mprj/u_wb_host/clknet_4_12_0_lbist_clk_int (net)
-                  0.11    0.00    6.02 ^ mprj/u_wb_host/_5709_/CLK (sky130_fd_sc_hd__dfstp_1)
-                          0.10    6.12   clock uncertainty
-                         -0.42    5.70   clock reconvergence pessimism
-                          0.15    5.85   library removal time
-                                  5.85   data required time
------------------------------------------------------------------------------
-                                  5.85   data required time
-                                 -6.20   data arrival time
------------------------------------------------------------------------------
-                                  0.35   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5883_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5685_
-          (removal check against rising-edge clock lbist_clk)
-Path Group: **async_default**
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.30 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
-                  0.05    0.00    5.30 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.41 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_6_0_lbist_clk_int (net)
-                  0.04    0.00    5.41 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.11    0.17    5.58 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.06                           mprj/u_wb_host/clknet_4_13_0_lbist_clk_int (net)
-                  0.11    0.00    5.58 ^ mprj/u_wb_host/_5883_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.32    0.61    6.19 ^ mprj/u_wb_host/_5883_/Q (sky130_fd_sc_hd__dfrtp_4)
-    28    0.11                           mprj/u_wb_host/u_lbist.lbist_rst_n (net)
-                  0.32    0.00    6.20 ^ mprj/u_wb_host/_5685_/SET_B (sky130_fd_sc_hd__dfstp_4)
-                                  6.20   data arrival time
-
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.71 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
-                  0.05    0.00    5.71 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.84 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_6_0_lbist_clk_int (net)
-                  0.04    0.00    5.84 ^ mprj/u_wb_host/clkbuf_4_12_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.11    0.18    6.02 ^ mprj/u_wb_host/clkbuf_4_12_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    24    0.06                           mprj/u_wb_host/clknet_4_12_0_lbist_clk_int (net)
-                  0.11    0.00    6.02 ^ mprj/u_wb_host/_5685_/CLK (sky130_fd_sc_hd__dfstp_4)
-                          0.10    6.12   clock uncertainty
-                         -0.42    5.70   clock reconvergence pessimism
-                          0.15    5.85   library removal time
-                                  5.85   data required time
------------------------------------------------------------------------------
-                                  5.85   data required time
-                                 -6.20   data arrival time
------------------------------------------------------------------------------
-                                  0.35   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5883_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5664_
-          (removal check against rising-edge clock lbist_clk)
-Path Group: **async_default**
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.30 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
-                  0.05    0.00    5.30 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.41 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_6_0_lbist_clk_int (net)
-                  0.04    0.00    5.41 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.11    0.17    5.58 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.06                           mprj/u_wb_host/clknet_4_13_0_lbist_clk_int (net)
-                  0.11    0.00    5.58 ^ mprj/u_wb_host/_5883_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.32    0.61    6.19 ^ mprj/u_wb_host/_5883_/Q (sky130_fd_sc_hd__dfrtp_4)
-    28    0.11                           mprj/u_wb_host/u_lbist.lbist_rst_n (net)
-                  0.32    0.00    6.20 ^ mprj/u_wb_host/max_length376/A (sky130_fd_sc_hd__buf_12)
-                  0.22    0.27    6.47 ^ mprj/u_wb_host/max_length376/X (sky130_fd_sc_hd__buf_12)
-    54    0.19                           mprj/u_wb_host/net376 (net)
-                  0.22    0.01    6.48 ^ mprj/u_wb_host/_5664_/RESET_B (sky130_fd_sc_hd__dfrtp_4)
-                                  6.48   data arrival time
-
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.71 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.71 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.84 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
-                  0.04    0.00    5.84 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.13    0.20    6.04 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.07                           mprj/u_wb_host/clknet_4_10_0_lbist_clk_int (net)
-                  0.13    0.00    6.04 ^ mprj/u_wb_host/_5664_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                          0.10    6.14   clock uncertainty
-                         -0.40    5.74   clock reconvergence pessimism
-                          0.39    6.13   library removal time
-                                  6.13   data required time
------------------------------------------------------------------------------
-                                  6.13   data required time
-                                 -6.48   data arrival time
------------------------------------------------------------------------------
-                                  0.35   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5883_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5665_
-          (removal check against rising-edge clock lbist_clk)
-Path Group: **async_default**
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.30 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
-                  0.05    0.00    5.30 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.41 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_6_0_lbist_clk_int (net)
-                  0.04    0.00    5.41 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.11    0.17    5.58 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.06                           mprj/u_wb_host/clknet_4_13_0_lbist_clk_int (net)
-                  0.11    0.00    5.58 ^ mprj/u_wb_host/_5883_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.32    0.61    6.19 ^ mprj/u_wb_host/_5883_/Q (sky130_fd_sc_hd__dfrtp_4)
-    28    0.11                           mprj/u_wb_host/u_lbist.lbist_rst_n (net)
-                  0.32    0.00    6.20 ^ mprj/u_wb_host/max_length376/A (sky130_fd_sc_hd__buf_12)
-                  0.22    0.27    6.47 ^ mprj/u_wb_host/max_length376/X (sky130_fd_sc_hd__buf_12)
-    54    0.19                           mprj/u_wb_host/net376 (net)
-                  0.22    0.01    6.48 ^ mprj/u_wb_host/_5665_/RESET_B (sky130_fd_sc_hd__dfrtp_4)
-                                  6.48   data arrival time
-
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.71 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.71 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.84 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
-                  0.04    0.00    5.84 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.12    0.19    6.03 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    26    0.06                           mprj/u_wb_host/clknet_4_11_0_lbist_clk_int (net)
-                  0.12    0.00    6.04 ^ mprj/u_wb_host/_5665_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                          0.10    6.14   clock uncertainty
-                         -0.40    5.73   clock reconvergence pessimism
-                          0.39    6.12   library removal time
-                                  6.12   data required time
------------------------------------------------------------------------------
-                                  6.12   data required time
-                                 -6.48   data arrival time
------------------------------------------------------------------------------
-                                  0.36   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5883_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5681_
-          (removal check against rising-edge clock lbist_clk)
-Path Group: **async_default**
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.30 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
-                  0.05    0.00    5.30 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.41 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_6_0_lbist_clk_int (net)
-                  0.04    0.00    5.41 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.11    0.17    5.58 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.06                           mprj/u_wb_host/clknet_4_13_0_lbist_clk_int (net)
-                  0.11    0.00    5.58 ^ mprj/u_wb_host/_5883_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.32    0.61    6.19 ^ mprj/u_wb_host/_5883_/Q (sky130_fd_sc_hd__dfrtp_4)
-    28    0.11                           mprj/u_wb_host/u_lbist.lbist_rst_n (net)
-                  0.32    0.00    6.20 ^ mprj/u_wb_host/max_length376/A (sky130_fd_sc_hd__buf_12)
-                  0.22    0.27    6.47 ^ mprj/u_wb_host/max_length376/X (sky130_fd_sc_hd__buf_12)
-    54    0.19                           mprj/u_wb_host/net376 (net)
-                  0.22    0.01    6.48 ^ mprj/u_wb_host/_5681_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  6.48   data arrival time
-
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.71 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.71 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.84 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
-                  0.04    0.00    5.84 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.12    0.19    6.03 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    26    0.06                           mprj/u_wb_host/clknet_4_11_0_lbist_clk_int (net)
-                  0.12    0.00    6.04 ^ mprj/u_wb_host/_5681_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    6.14   clock uncertainty
-                         -0.40    5.73   clock reconvergence pessimism
-                          0.39    6.12   library removal time
-                                  6.12   data required time
------------------------------------------------------------------------------
-                                  6.12   data required time
-                                 -6.48   data arrival time
------------------------------------------------------------------------------
-                                  0.36   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5883_
+Startpoint: mprj/u_wb_host/_5877_
             (rising edge-triggered flip-flop clocked by lbist_clk)
 Endpoint: mprj/u_wb_host/_5668_
           (removal check against rising-edge clock lbist_clk)
@@ -8499,118 +6294,154 @@
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.11    0.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    0.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    0.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17    0.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    1.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    1.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    3.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    3.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    3.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    3.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    3.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    4.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13    4.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    4.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13    4.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    4.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25    5.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.30 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    5.32 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12    5.55 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00    5.55 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.68 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
-                  0.05    0.00    5.30 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.41 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    5.68 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.80 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      2    0.01                           mprj/u_wb_host/clknet_3_6_0_lbist_clk_int (net)
-                  0.04    0.00    5.41 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.11    0.17    5.58 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.06                           mprj/u_wb_host/clknet_4_13_0_lbist_clk_int (net)
-                  0.11    0.00    5.58 ^ mprj/u_wb_host/_5883_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.32    0.61    6.19 ^ mprj/u_wb_host/_5883_/Q (sky130_fd_sc_hd__dfrtp_4)
-    28    0.11                           mprj/u_wb_host/u_lbist.lbist_rst_n (net)
-                  0.32    0.00    6.20 ^ mprj/u_wb_host/max_length376/A (sky130_fd_sc_hd__buf_12)
-                  0.22    0.27    6.47 ^ mprj/u_wb_host/max_length376/X (sky130_fd_sc_hd__buf_12)
-    54    0.19                           mprj/u_wb_host/net376 (net)
-                  0.22    0.01    6.48 ^ mprj/u_wb_host/_5668_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  6.48   data arrival time
+                  0.04    0.00    5.80 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.17    5.97 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.06                           mprj/u_wb_host/clknet_4_13_0_lbist_clk_int (net)
+                  0.12    0.00    5.98 ^ mprj/u_wb_host/_5877_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.05    0.33    6.31 ^ mprj/u_wb_host/_5877_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.00                           mprj/u_wb_host/u_lbist.lbist_rst_n (net)
+                  0.05    0.00    6.31 ^ mprj/u_wb_host/hold7/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.05    0.51    6.82 ^ mprj/u_wb_host/hold7/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.00                           mprj/u_wb_host/net861 (net)
+                  0.05    0.00    6.82 ^ mprj/u_wb_host/fanout679/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.23    7.06 ^ mprj/u_wb_host/fanout679/X (sky130_fd_sc_hd__buf_2)
+    10    0.05                           mprj/u_wb_host/net679 (net)
+                  0.23    0.00    7.06 ^ mprj/u_wb_host/fanout675/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.15    0.27    7.32 ^ mprj/u_wb_host/fanout675/X (sky130_fd_sc_hd__clkbuf_4)
+    12    0.05                           mprj/u_wb_host/net675 (net)
+                  0.15    0.00    7.33 ^ mprj/u_wb_host/_5668_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
+                                  7.33   data arrival time
 
                           0.00    0.00   clock lbist_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -8618,119 +6449,4547 @@
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
                   0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.71 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.71 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.84 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
-                  0.04    0.00    5.84 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.12    0.19    6.03 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    26    0.06                           mprj/u_wb_host/clknet_4_11_0_lbist_clk_int (net)
-                  0.12    0.00    6.04 ^ mprj/u_wb_host/_5668_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    6.14   clock uncertainty
-                         -0.40    5.73   clock reconvergence pessimism
-                          0.39    6.12   library removal time
-                                  6.12   data required time
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    6.13 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
+                  0.05    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.25 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_7_0_lbist_clk_int (net)
+                  0.04    0.00    6.25 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.20    6.45 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_14_0_lbist_clk_int (net)
+                  0.13    0.00    6.45 ^ mprj/u_wb_host/_5668_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    6.55   clock uncertainty
+                         -0.44    6.11   clock reconvergence pessimism
+                          0.37    6.48   library removal time
+                                  6.48   data required time
 -----------------------------------------------------------------------------
-                                  6.12   data required time
-                                 -6.48   data arrival time
+                                  6.48   data required time
+                                 -7.33   data arrival time
 -----------------------------------------------------------------------------
-                                  0.36   slack (MET)
+                                  0.85   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5877_
+            (rising edge-triggered flip-flop clocked by lbist_clk)
+Endpoint: mprj/u_wb_host/_5667_
+          (removal check against rising-edge clock lbist_clk)
+Path Group: **async_default**
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.11    0.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    0.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    0.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17    0.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    1.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    1.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    1.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    2.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    3.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    3.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    3.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    3.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    3.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    3.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    4.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13    4.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    4.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13    4.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    4.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25    5.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.32 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12    5.55 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00    5.55 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.68 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
+                  0.05    0.00    5.68 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.80 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_6_0_lbist_clk_int (net)
+                  0.04    0.00    5.80 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.17    5.97 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.06                           mprj/u_wb_host/clknet_4_13_0_lbist_clk_int (net)
+                  0.12    0.00    5.98 ^ mprj/u_wb_host/_5877_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.05    0.33    6.31 ^ mprj/u_wb_host/_5877_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.00                           mprj/u_wb_host/u_lbist.lbist_rst_n (net)
+                  0.05    0.00    6.31 ^ mprj/u_wb_host/hold7/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.05    0.51    6.82 ^ mprj/u_wb_host/hold7/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.00                           mprj/u_wb_host/net861 (net)
+                  0.05    0.00    6.82 ^ mprj/u_wb_host/fanout679/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.23    7.06 ^ mprj/u_wb_host/fanout679/X (sky130_fd_sc_hd__buf_2)
+    10    0.05                           mprj/u_wb_host/net679 (net)
+                  0.23    0.00    7.06 ^ mprj/u_wb_host/fanout675/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.15    0.27    7.32 ^ mprj/u_wb_host/fanout675/X (sky130_fd_sc_hd__clkbuf_4)
+    12    0.05                           mprj/u_wb_host/net675 (net)
+                  0.15    0.00    7.33 ^ mprj/u_wb_host/_5667_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
+                                  7.33   data arrival time
+
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    6.13 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
+                  0.05    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.25 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_7_0_lbist_clk_int (net)
+                  0.04    0.00    6.25 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.20    6.45 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_14_0_lbist_clk_int (net)
+                  0.13    0.00    6.45 ^ mprj/u_wb_host/_5667_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    6.55   clock uncertainty
+                         -0.44    6.11   clock reconvergence pessimism
+                          0.37    6.48   library removal time
+                                  6.48   data required time
+-----------------------------------------------------------------------------
+                                  6.48   data required time
+                                 -7.33   data arrival time
+-----------------------------------------------------------------------------
+                                  0.85   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5949_
+            (rising edge-triggered flip-flop clocked by wb_clk)
+Endpoint: mprj/u_wb_host/_5788_ (removal check against rising-edge clock wb_clk)
+Path Group: **async_default**
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09    4.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    4.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27    4.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    4.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37    4.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20    5.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35    5.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    5.65 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.79 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
+                  0.06    0.00    5.79 ^ mprj/u_wb_host/clkbuf_2_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.92 ^ mprj/u_wb_host/clkbuf_2_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_2_0_wbm_clk_i (net)
+                  0.06    0.00    5.92 ^ mprj/u_wb_host/clkbuf_3_4_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.19    0.23    6.16 ^ mprj/u_wb_host/clkbuf_3_4_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_wb_host/clknet_3_4_0_wbm_clk_i (net)
+                  0.19    0.00    6.16 ^ mprj/u_wb_host/clkbuf_leaf_48_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.18    6.34 ^ mprj/u_wb_host/clkbuf_leaf_48_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    11    0.04                           mprj/u_wb_host/clknet_leaf_48_wbm_clk_i (net)
+                  0.06    0.00    6.34 ^ mprj/u_wb_host/_5949_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.04    0.30    6.65 ^ mprj/u_wb_host/_5949_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.00                           mprj/u_wb_host/u_arb.rstn (net)
+                  0.04    0.00    6.65 ^ mprj/u_wb_host/hold4/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.13    0.58    7.23 ^ mprj/u_wb_host/hold4/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     2    0.01                           mprj/u_wb_host/net858 (net)
+                  0.13    0.00    7.23 ^ mprj/u_wb_host/fanout653/A (sky130_fd_sc_hd__buf_8)
+                  0.20    0.23    7.45 ^ mprj/u_wb_host/fanout653/X (sky130_fd_sc_hd__buf_8)
+    14    0.13                           mprj/u_wb_host/net653 (net)
+                  0.21    0.00    7.46 ^ mprj/u_wb_host/fanout649/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.72 ^ mprj/u_wb_host/fanout649/X (sky130_fd_sc_hd__buf_4)
+    18    0.07                           mprj/u_wb_host/net649 (net)
+                  0.20    0.00    7.72 ^ mprj/u_wb_host/_5788_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
+                                  7.72   data arrival time
+
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.10    5.31 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    5.31 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.30    5.61 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    5.64 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.40    6.04 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.21    6.26 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.37    6.63 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    6.63 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.84 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    6.84 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.99 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
+                  0.06    0.00    6.99 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    7.13 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_3_0_wbm_clk_i (net)
+                  0.05    0.00    7.13 ^ mprj/u_wb_host/clkbuf_3_7_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.18    0.24    7.37 ^ mprj/u_wb_host/clkbuf_3_7_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.10                           mprj/u_wb_host/clknet_3_7_0_wbm_clk_i (net)
+                  0.18    0.00    7.37 ^ mprj/u_wb_host/clkbuf_leaf_40_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.09    0.22    7.59 ^ mprj/u_wb_host/clkbuf_leaf_40_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.07                           mprj/u_wb_host/clknet_leaf_40_wbm_clk_i (net)
+                  0.09    0.00    7.60 ^ mprj/u_wb_host/_5788_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    7.70   clock uncertainty
+                         -1.20    6.49   clock reconvergence pessimism
+                          0.38    6.87   library removal time
+                                  6.87   data required time
+-----------------------------------------------------------------------------
+                                  6.87   data required time
+                                 -7.72   data arrival time
+-----------------------------------------------------------------------------
+                                  0.85   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5949_
+            (rising edge-triggered flip-flop clocked by wb_clk)
+Endpoint: mprj/u_wb_host/_5853_ (removal check against rising-edge clock wb_clk)
+Path Group: **async_default**
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09    4.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    4.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27    4.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    4.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37    4.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20    5.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35    5.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    5.65 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.79 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
+                  0.06    0.00    5.79 ^ mprj/u_wb_host/clkbuf_2_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.92 ^ mprj/u_wb_host/clkbuf_2_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_2_0_wbm_clk_i (net)
+                  0.06    0.00    5.92 ^ mprj/u_wb_host/clkbuf_3_4_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.19    0.23    6.16 ^ mprj/u_wb_host/clkbuf_3_4_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_wb_host/clknet_3_4_0_wbm_clk_i (net)
+                  0.19    0.00    6.16 ^ mprj/u_wb_host/clkbuf_leaf_48_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.18    6.34 ^ mprj/u_wb_host/clkbuf_leaf_48_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    11    0.04                           mprj/u_wb_host/clknet_leaf_48_wbm_clk_i (net)
+                  0.06    0.00    6.34 ^ mprj/u_wb_host/_5949_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.04    0.30    6.65 ^ mprj/u_wb_host/_5949_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.00                           mprj/u_wb_host/u_arb.rstn (net)
+                  0.04    0.00    6.65 ^ mprj/u_wb_host/hold4/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.13    0.58    7.23 ^ mprj/u_wb_host/hold4/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     2    0.01                           mprj/u_wb_host/net858 (net)
+                  0.13    0.00    7.23 ^ mprj/u_wb_host/fanout644/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.19    0.26    7.49 ^ mprj/u_wb_host/fanout644/X (sky130_fd_sc_hd__clkbuf_4)
+    10    0.06                           mprj/u_wb_host/net644 (net)
+                  0.19    0.00    7.49 ^ mprj/u_wb_host/fanout642/A (sky130_fd_sc_hd__buf_4)
+                  0.24    0.28    7.78 ^ mprj/u_wb_host/fanout642/X (sky130_fd_sc_hd__buf_4)
+    20    0.09                           mprj/u_wb_host/net642 (net)
+                  0.24    0.01    7.79 ^ mprj/u_wb_host/_5853_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
+                                  7.79   data arrival time
+
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.10    5.31 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    5.31 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.30    5.61 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    5.64 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.40    6.04 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.21    6.26 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.37    6.63 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    6.63 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.84 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    6.84 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.16    7.00 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00    7.00 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.15 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    7.15 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.24    0.28    7.43 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
+                  0.24    0.01    7.44 ^ mprj/u_wb_host/clkbuf_leaf_9_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.20    7.65 ^ mprj/u_wb_host/clkbuf_leaf_9_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.03                           mprj/u_wb_host/clknet_leaf_9_wbm_clk_i (net)
+                  0.05    0.00    7.65 ^ mprj/u_wb_host/_5853_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    7.75   clock uncertainty
+                         -1.19    6.56   clock reconvergence pessimism
+                          0.38    6.93   library removal time
+                                  6.93   data required time
+-----------------------------------------------------------------------------
+                                  6.93   data required time
+                                 -7.79   data arrival time
+-----------------------------------------------------------------------------
+                                  0.85   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5949_
+            (rising edge-triggered flip-flop clocked by wb_clk)
+Endpoint: mprj/u_wb_host/_5922_ (removal check against rising-edge clock wb_clk)
+Path Group: **async_default**
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09    4.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    4.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27    4.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    4.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37    4.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20    5.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35    5.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    5.65 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.79 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
+                  0.06    0.00    5.79 ^ mprj/u_wb_host/clkbuf_2_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.92 ^ mprj/u_wb_host/clkbuf_2_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_2_0_wbm_clk_i (net)
+                  0.06    0.00    5.92 ^ mprj/u_wb_host/clkbuf_3_4_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.19    0.23    6.16 ^ mprj/u_wb_host/clkbuf_3_4_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_wb_host/clknet_3_4_0_wbm_clk_i (net)
+                  0.19    0.00    6.16 ^ mprj/u_wb_host/clkbuf_leaf_48_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.18    6.34 ^ mprj/u_wb_host/clkbuf_leaf_48_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    11    0.04                           mprj/u_wb_host/clknet_leaf_48_wbm_clk_i (net)
+                  0.06    0.00    6.34 ^ mprj/u_wb_host/_5949_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.04    0.30    6.65 ^ mprj/u_wb_host/_5949_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.00                           mprj/u_wb_host/u_arb.rstn (net)
+                  0.04    0.00    6.65 ^ mprj/u_wb_host/hold4/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.13    0.58    7.23 ^ mprj/u_wb_host/hold4/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     2    0.01                           mprj/u_wb_host/net858 (net)
+                  0.13    0.00    7.23 ^ mprj/u_wb_host/fanout644/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.19    0.26    7.49 ^ mprj/u_wb_host/fanout644/X (sky130_fd_sc_hd__clkbuf_4)
+    10    0.06                           mprj/u_wb_host/net644 (net)
+                  0.19    0.00    7.49 ^ mprj/u_wb_host/fanout642/A (sky130_fd_sc_hd__buf_4)
+                  0.24    0.28    7.78 ^ mprj/u_wb_host/fanout642/X (sky130_fd_sc_hd__buf_4)
+    20    0.09                           mprj/u_wb_host/net642 (net)
+                  0.24    0.01    7.79 ^ mprj/u_wb_host/_5922_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
+                                  7.79   data arrival time
+
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.10    5.31 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    5.31 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.30    5.61 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    5.64 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.40    6.04 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.21    6.26 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.37    6.63 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    6.63 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.84 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    6.84 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.16    7.00 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00    7.00 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.15 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    7.15 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.24    0.28    7.43 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
+                  0.24    0.01    7.44 ^ mprj/u_wb_host/clkbuf_leaf_9_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.20    7.65 ^ mprj/u_wb_host/clkbuf_leaf_9_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.03                           mprj/u_wb_host/clknet_leaf_9_wbm_clk_i (net)
+                  0.05    0.00    7.65 ^ mprj/u_wb_host/_5922_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    7.75   clock uncertainty
+                         -1.19    6.56   clock reconvergence pessimism
+                          0.38    6.93   library removal time
+                                  6.93   data required time
+-----------------------------------------------------------------------------
+                                  6.93   data required time
+                                 -7.79   data arrival time
+-----------------------------------------------------------------------------
+                                  0.86   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5949_
+            (rising edge-triggered flip-flop clocked by wb_clk)
+Endpoint: mprj/u_wb_host/_5786_ (removal check against rising-edge clock wb_clk)
+Path Group: **async_default**
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09    4.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    4.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27    4.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    4.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37    4.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20    5.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35    5.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    5.65 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.79 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
+                  0.06    0.00    5.79 ^ mprj/u_wb_host/clkbuf_2_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.92 ^ mprj/u_wb_host/clkbuf_2_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_2_0_wbm_clk_i (net)
+                  0.06    0.00    5.92 ^ mprj/u_wb_host/clkbuf_3_4_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.19    0.23    6.16 ^ mprj/u_wb_host/clkbuf_3_4_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_wb_host/clknet_3_4_0_wbm_clk_i (net)
+                  0.19    0.00    6.16 ^ mprj/u_wb_host/clkbuf_leaf_48_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.18    6.34 ^ mprj/u_wb_host/clkbuf_leaf_48_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    11    0.04                           mprj/u_wb_host/clknet_leaf_48_wbm_clk_i (net)
+                  0.06    0.00    6.34 ^ mprj/u_wb_host/_5949_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.04    0.30    6.65 ^ mprj/u_wb_host/_5949_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.00                           mprj/u_wb_host/u_arb.rstn (net)
+                  0.04    0.00    6.65 ^ mprj/u_wb_host/hold4/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.13    0.58    7.23 ^ mprj/u_wb_host/hold4/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     2    0.01                           mprj/u_wb_host/net858 (net)
+                  0.13    0.00    7.23 ^ mprj/u_wb_host/fanout653/A (sky130_fd_sc_hd__buf_8)
+                  0.20    0.23    7.45 ^ mprj/u_wb_host/fanout653/X (sky130_fd_sc_hd__buf_8)
+    14    0.13                           mprj/u_wb_host/net653 (net)
+                  0.21    0.00    7.46 ^ mprj/u_wb_host/fanout649/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.72 ^ mprj/u_wb_host/fanout649/X (sky130_fd_sc_hd__buf_4)
+    18    0.07                           mprj/u_wb_host/net649 (net)
+                  0.20    0.00    7.73 ^ mprj/u_wb_host/_5786_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
+                                  7.73   data arrival time
+
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.10    5.31 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    5.31 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.30    5.61 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    5.64 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.40    6.04 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.21    6.26 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.37    6.63 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    6.63 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.84 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    6.84 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.99 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
+                  0.06    0.00    6.99 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    7.13 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_3_0_wbm_clk_i (net)
+                  0.05    0.00    7.13 ^ mprj/u_wb_host/clkbuf_3_7_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.18    0.24    7.37 ^ mprj/u_wb_host/clkbuf_3_7_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.10                           mprj/u_wb_host/clknet_3_7_0_wbm_clk_i (net)
+                  0.18    0.00    7.37 ^ mprj/u_wb_host/clkbuf_leaf_40_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.09    0.22    7.59 ^ mprj/u_wb_host/clkbuf_leaf_40_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.07                           mprj/u_wb_host/clknet_leaf_40_wbm_clk_i (net)
+                  0.09    0.00    7.59 ^ mprj/u_wb_host/_5786_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    7.69   clock uncertainty
+                         -1.20    6.49   clock reconvergence pessimism
+                          0.38    6.87   library removal time
+                                  6.87   data required time
+-----------------------------------------------------------------------------
+                                  6.87   data required time
+                                 -7.73   data arrival time
+-----------------------------------------------------------------------------
+                                  0.86   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5949_
+            (rising edge-triggered flip-flop clocked by wb_clk)
+Endpoint: mprj/u_wb_host/_5854_ (removal check against rising-edge clock wb_clk)
+Path Group: **async_default**
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09    4.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    4.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27    4.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    4.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37    4.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20    5.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35    5.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    5.65 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.79 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
+                  0.06    0.00    5.79 ^ mprj/u_wb_host/clkbuf_2_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.92 ^ mprj/u_wb_host/clkbuf_2_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_2_0_wbm_clk_i (net)
+                  0.06    0.00    5.92 ^ mprj/u_wb_host/clkbuf_3_4_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.19    0.23    6.16 ^ mprj/u_wb_host/clkbuf_3_4_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_wb_host/clknet_3_4_0_wbm_clk_i (net)
+                  0.19    0.00    6.16 ^ mprj/u_wb_host/clkbuf_leaf_48_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.18    6.34 ^ mprj/u_wb_host/clkbuf_leaf_48_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    11    0.04                           mprj/u_wb_host/clknet_leaf_48_wbm_clk_i (net)
+                  0.06    0.00    6.34 ^ mprj/u_wb_host/_5949_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.04    0.30    6.65 ^ mprj/u_wb_host/_5949_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.00                           mprj/u_wb_host/u_arb.rstn (net)
+                  0.04    0.00    6.65 ^ mprj/u_wb_host/hold4/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.13    0.58    7.23 ^ mprj/u_wb_host/hold4/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     2    0.01                           mprj/u_wb_host/net858 (net)
+                  0.13    0.00    7.23 ^ mprj/u_wb_host/fanout644/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.19    0.26    7.49 ^ mprj/u_wb_host/fanout644/X (sky130_fd_sc_hd__clkbuf_4)
+    10    0.06                           mprj/u_wb_host/net644 (net)
+                  0.19    0.00    7.49 ^ mprj/u_wb_host/fanout642/A (sky130_fd_sc_hd__buf_4)
+                  0.24    0.28    7.78 ^ mprj/u_wb_host/fanout642/X (sky130_fd_sc_hd__buf_4)
+    20    0.09                           mprj/u_wb_host/net642 (net)
+                  0.24    0.01    7.79 ^ mprj/u_wb_host/_5854_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
+                                  7.79   data arrival time
+
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.10    5.31 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    5.31 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.30    5.61 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    5.64 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.40    6.04 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.21    6.26 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.37    6.63 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    6.63 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.84 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    6.84 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.16    7.00 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00    7.00 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.15 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    7.15 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.24    0.28    7.43 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
+                  0.24    0.01    7.44 ^ mprj/u_wb_host/clkbuf_leaf_9_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.20    7.65 ^ mprj/u_wb_host/clkbuf_leaf_9_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.03                           mprj/u_wb_host/clknet_leaf_9_wbm_clk_i (net)
+                  0.05    0.00    7.65 ^ mprj/u_wb_host/_5854_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    7.75   clock uncertainty
+                         -1.19    6.56   clock reconvergence pessimism
+                          0.38    6.93   library removal time
+                                  6.93   data required time
+-----------------------------------------------------------------------------
+                                  6.93   data required time
+                                 -7.79   data arrival time
+-----------------------------------------------------------------------------
+                                  0.86   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5949_
+            (rising edge-triggered flip-flop clocked by wb_clk)
+Endpoint: mprj/u_wb_host/_5924_ (removal check against rising-edge clock wb_clk)
+Path Group: **async_default**
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09    4.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    4.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27    4.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    4.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37    4.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20    5.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35    5.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    5.65 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.79 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
+                  0.06    0.00    5.79 ^ mprj/u_wb_host/clkbuf_2_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.92 ^ mprj/u_wb_host/clkbuf_2_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_2_0_wbm_clk_i (net)
+                  0.06    0.00    5.92 ^ mprj/u_wb_host/clkbuf_3_4_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.19    0.23    6.16 ^ mprj/u_wb_host/clkbuf_3_4_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_wb_host/clknet_3_4_0_wbm_clk_i (net)
+                  0.19    0.00    6.16 ^ mprj/u_wb_host/clkbuf_leaf_48_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.18    6.34 ^ mprj/u_wb_host/clkbuf_leaf_48_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    11    0.04                           mprj/u_wb_host/clknet_leaf_48_wbm_clk_i (net)
+                  0.06    0.00    6.34 ^ mprj/u_wb_host/_5949_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.04    0.30    6.65 ^ mprj/u_wb_host/_5949_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.00                           mprj/u_wb_host/u_arb.rstn (net)
+                  0.04    0.00    6.65 ^ mprj/u_wb_host/hold4/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.13    0.58    7.23 ^ mprj/u_wb_host/hold4/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     2    0.01                           mprj/u_wb_host/net858 (net)
+                  0.13    0.00    7.23 ^ mprj/u_wb_host/fanout644/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.19    0.26    7.49 ^ mprj/u_wb_host/fanout644/X (sky130_fd_sc_hd__clkbuf_4)
+    10    0.06                           mprj/u_wb_host/net644 (net)
+                  0.19    0.00    7.49 ^ mprj/u_wb_host/fanout642/A (sky130_fd_sc_hd__buf_4)
+                  0.24    0.28    7.78 ^ mprj/u_wb_host/fanout642/X (sky130_fd_sc_hd__buf_4)
+    20    0.09                           mprj/u_wb_host/net642 (net)
+                  0.24    0.01    7.79 ^ mprj/u_wb_host/_5924_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
+                                  7.79   data arrival time
+
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.10    5.31 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    5.31 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.30    5.61 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    5.64 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.40    6.04 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.21    6.26 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.37    6.63 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    6.63 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.84 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    6.84 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.16    7.00 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00    7.00 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.15 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    7.15 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.24    0.28    7.43 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
+                  0.24    0.01    7.44 ^ mprj/u_wb_host/clkbuf_leaf_9_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.20    7.65 ^ mprj/u_wb_host/clkbuf_leaf_9_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.03                           mprj/u_wb_host/clknet_leaf_9_wbm_clk_i (net)
+                  0.05    0.00    7.65 ^ mprj/u_wb_host/_5924_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    7.75   clock uncertainty
+                         -1.19    6.56   clock reconvergence pessimism
+                          0.38    6.93   library removal time
+                                  6.93   data required time
+-----------------------------------------------------------------------------
+                                  6.93   data required time
+                                 -7.79   data arrival time
+-----------------------------------------------------------------------------
+                                  0.86   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5877_
+            (rising edge-triggered flip-flop clocked by lbist_clk)
+Endpoint: mprj/u_wb_host/_5827_
+          (removal check against rising-edge clock lbist_clk)
+Path Group: **async_default**
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.11    0.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    0.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    0.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17    0.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    1.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    1.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    1.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    2.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    3.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    3.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    3.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    3.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    3.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    3.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    4.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13    4.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    4.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13    4.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    4.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25    5.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.32 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12    5.55 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00    5.55 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.68 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
+                  0.05    0.00    5.68 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.80 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_6_0_lbist_clk_int (net)
+                  0.04    0.00    5.80 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.17    5.97 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.06                           mprj/u_wb_host/clknet_4_13_0_lbist_clk_int (net)
+                  0.12    0.00    5.98 ^ mprj/u_wb_host/_5877_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.05    0.33    6.31 ^ mprj/u_wb_host/_5877_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.00                           mprj/u_wb_host/u_lbist.lbist_rst_n (net)
+                  0.05    0.00    6.31 ^ mprj/u_wb_host/hold7/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.05    0.51    6.82 ^ mprj/u_wb_host/hold7/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.00                           mprj/u_wb_host/net861 (net)
+                  0.05    0.00    6.82 ^ mprj/u_wb_host/fanout679/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.23    7.06 ^ mprj/u_wb_host/fanout679/X (sky130_fd_sc_hd__buf_2)
+    10    0.05                           mprj/u_wb_host/net679 (net)
+                  0.23    0.00    7.06 ^ mprj/u_wb_host/fanout663/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.21    0.31    7.36 ^ mprj/u_wb_host/fanout663/X (sky130_fd_sc_hd__clkbuf_4)
+    14    0.07                           mprj/u_wb_host/net663 (net)
+                  0.21    0.01    7.37 ^ mprj/u_wb_host/_5827_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
+                                  7.37   data arrival time
+
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_lbist_clk_int (net)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_2_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.10    0.18    6.44 ^ mprj/u_wb_host/clkbuf_4_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.05                           mprj/u_wb_host/clknet_4_5_0_lbist_clk_int (net)
+                  0.10    0.00    6.44 ^ mprj/u_wb_host/_5827_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    6.54   clock uncertainty
+                         -0.41    6.12   clock reconvergence pessimism
+                          0.38    6.51   library removal time
+                                  6.51   data required time
+-----------------------------------------------------------------------------
+                                  6.51   data required time
+                                 -7.37   data arrival time
+-----------------------------------------------------------------------------
+                                  0.86   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5877_
+            (rising edge-triggered flip-flop clocked by lbist_clk)
+Endpoint: mprj/u_wb_host/_5759_
+          (removal check against rising-edge clock lbist_clk)
+Path Group: **async_default**
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.11    0.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    0.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    0.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17    0.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    1.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    1.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    1.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    2.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    3.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    3.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    3.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    3.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    3.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    3.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    4.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13    4.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    4.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13    4.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    4.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25    5.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.32 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12    5.55 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00    5.55 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.68 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
+                  0.05    0.00    5.68 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.80 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_6_0_lbist_clk_int (net)
+                  0.04    0.00    5.80 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.17    5.97 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.06                           mprj/u_wb_host/clknet_4_13_0_lbist_clk_int (net)
+                  0.12    0.00    5.98 ^ mprj/u_wb_host/_5877_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.05    0.33    6.31 ^ mprj/u_wb_host/_5877_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.00                           mprj/u_wb_host/u_lbist.lbist_rst_n (net)
+                  0.05    0.00    6.31 ^ mprj/u_wb_host/hold7/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.05    0.51    6.82 ^ mprj/u_wb_host/hold7/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.00                           mprj/u_wb_host/net861 (net)
+                  0.05    0.00    6.82 ^ mprj/u_wb_host/fanout679/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.23    7.06 ^ mprj/u_wb_host/fanout679/X (sky130_fd_sc_hd__buf_2)
+    10    0.05                           mprj/u_wb_host/net679 (net)
+                  0.23    0.00    7.06 ^ mprj/u_wb_host/fanout663/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.21    0.31    7.36 ^ mprj/u_wb_host/fanout663/X (sky130_fd_sc_hd__clkbuf_4)
+    14    0.07                           mprj/u_wb_host/net663 (net)
+                  0.21    0.01    7.37 ^ mprj/u_wb_host/_5759_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
+                                  7.37   data arrival time
+
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_lbist_clk_int (net)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_2_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.10    0.18    6.44 ^ mprj/u_wb_host/clkbuf_4_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.05                           mprj/u_wb_host/clknet_4_5_0_lbist_clk_int (net)
+                  0.10    0.00    6.44 ^ mprj/u_wb_host/_5759_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    6.54   clock uncertainty
+                         -0.41    6.12   clock reconvergence pessimism
+                          0.38    6.51   library removal time
+                                  6.51   data required time
+-----------------------------------------------------------------------------
+                                  6.51   data required time
+                                 -7.37   data arrival time
+-----------------------------------------------------------------------------
+                                  0.86   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6414_
+            (rising edge-triggered flip-flop clocked by uart_clk)
+Endpoint: mprj/u_wb_host/_6426_
+          (removal check against rising-edge clock uart_clk)
+Path Group: **async_default**
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14    0.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    0.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31    0.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.58 ^ mprj/u_wb_host/clkbuf_leaf_9_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    0.80 ^ mprj/u_wb_host/clkbuf_leaf_9_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.05                           mprj/u_wb_host/clknet_leaf_9_u_uart2wb.baud_clk_16x (net)
+                  0.07    0.00    0.81 ^ mprj/u_wb_host/_6414_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                  0.04    0.33    1.13 ^ mprj/u_wb_host/_6414_/Q (sky130_fd_sc_hd__dfrtp_2)
+     1    0.00                           mprj/u_wb_host/u_uart2wb.line_reset_n (net)
+                  0.04    0.00    1.13 ^ mprj/u_wb_host/hold5/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.38    0.75    1.88 ^ mprj/u_wb_host/hold5/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     4    0.04                           mprj/u_wb_host/net859 (net)
+                  0.38    0.00    1.88 ^ mprj/u_wb_host/fanout553/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.31    2.19 ^ mprj/u_wb_host/fanout553/X (sky130_fd_sc_hd__buf_2)
+    10    0.05                           mprj/u_wb_host/net553 (net)
+                  0.22    0.00    2.19 ^ mprj/u_wb_host/_6426_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
+                                  2.19   data arrival time
+
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.00    0.62 ^ mprj/u_wb_host/clkbuf_leaf_15_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    0.88 ^ mprj/u_wb_host/clkbuf_leaf_15_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_15_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.88 ^ mprj/u_wb_host/_6426_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.98   clock uncertainty
+                         -0.04    0.94   clock reconvergence pessimism
+                          0.38    1.32   library removal time
+                                  1.32   data required time
+-----------------------------------------------------------------------------
+                                  1.32   data required time
+                                 -2.19   data arrival time
+-----------------------------------------------------------------------------
+                                  0.87   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6414_
+            (rising edge-triggered flip-flop clocked by uart_clk)
+Endpoint: mprj/u_wb_host/_6425_
+          (removal check against rising-edge clock uart_clk)
+Path Group: **async_default**
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14    0.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    0.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31    0.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.58 ^ mprj/u_wb_host/clkbuf_leaf_9_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    0.80 ^ mprj/u_wb_host/clkbuf_leaf_9_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.05                           mprj/u_wb_host/clknet_leaf_9_u_uart2wb.baud_clk_16x (net)
+                  0.07    0.00    0.81 ^ mprj/u_wb_host/_6414_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                  0.04    0.33    1.13 ^ mprj/u_wb_host/_6414_/Q (sky130_fd_sc_hd__dfrtp_2)
+     1    0.00                           mprj/u_wb_host/u_uart2wb.line_reset_n (net)
+                  0.04    0.00    1.13 ^ mprj/u_wb_host/hold5/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.38    0.75    1.88 ^ mprj/u_wb_host/hold5/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     4    0.04                           mprj/u_wb_host/net859 (net)
+                  0.38    0.00    1.88 ^ mprj/u_wb_host/fanout553/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.31    2.19 ^ mprj/u_wb_host/fanout553/X (sky130_fd_sc_hd__buf_2)
+    10    0.05                           mprj/u_wb_host/net553 (net)
+                  0.22    0.00    2.19 ^ mprj/u_wb_host/_6425_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
+                                  2.19   data arrival time
+
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.00    0.62 ^ mprj/u_wb_host/clkbuf_leaf_15_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    0.88 ^ mprj/u_wb_host/clkbuf_leaf_15_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_15_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.88 ^ mprj/u_wb_host/_6425_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.98   clock uncertainty
+                         -0.04    0.94   clock reconvergence pessimism
+                          0.38    1.32   library removal time
+                                  1.32   data required time
+-----------------------------------------------------------------------------
+                                  1.32   data required time
+                                 -2.19   data arrival time
+-----------------------------------------------------------------------------
+                                  0.87   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6414_
+            (rising edge-triggered flip-flop clocked by uart_clk)
+Endpoint: mprj/u_wb_host/_6423_
+          (removal check against rising-edge clock uart_clk)
+Path Group: **async_default**
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14    0.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    0.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31    0.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.58 ^ mprj/u_wb_host/clkbuf_leaf_9_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    0.80 ^ mprj/u_wb_host/clkbuf_leaf_9_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.05                           mprj/u_wb_host/clknet_leaf_9_u_uart2wb.baud_clk_16x (net)
+                  0.07    0.00    0.81 ^ mprj/u_wb_host/_6414_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                  0.04    0.33    1.13 ^ mprj/u_wb_host/_6414_/Q (sky130_fd_sc_hd__dfrtp_2)
+     1    0.00                           mprj/u_wb_host/u_uart2wb.line_reset_n (net)
+                  0.04    0.00    1.13 ^ mprj/u_wb_host/hold5/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.38    0.75    1.88 ^ mprj/u_wb_host/hold5/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     4    0.04                           mprj/u_wb_host/net859 (net)
+                  0.38    0.00    1.88 ^ mprj/u_wb_host/fanout553/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.31    2.19 ^ mprj/u_wb_host/fanout553/X (sky130_fd_sc_hd__buf_2)
+    10    0.05                           mprj/u_wb_host/net553 (net)
+                  0.22    0.00    2.19 ^ mprj/u_wb_host/_6423_/RESET_B (sky130_fd_sc_hd__dfrtp_2)
+                                  2.19   data arrival time
+
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.00    0.62 ^ mprj/u_wb_host/clkbuf_leaf_15_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    0.88 ^ mprj/u_wb_host/clkbuf_leaf_15_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_15_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.88 ^ mprj/u_wb_host/_6423_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                          0.10    0.98   clock uncertainty
+                         -0.04    0.94   clock reconvergence pessimism
+                          0.38    1.32   library removal time
+                                  1.32   data required time
+-----------------------------------------------------------------------------
+                                  1.32   data required time
+                                 -2.19   data arrival time
+-----------------------------------------------------------------------------
+                                  0.87   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5949_
+            (rising edge-triggered flip-flop clocked by wb_clk)
+Endpoint: mprj/u_wb_host/_5478_ (removal check against rising-edge clock wb_clk)
+Path Group: **async_default**
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09    4.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    4.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27    4.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    4.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37    4.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20    5.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35    5.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    5.65 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.79 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
+                  0.06    0.00    5.79 ^ mprj/u_wb_host/clkbuf_2_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.92 ^ mprj/u_wb_host/clkbuf_2_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_2_0_wbm_clk_i (net)
+                  0.06    0.00    5.92 ^ mprj/u_wb_host/clkbuf_3_4_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.19    0.23    6.16 ^ mprj/u_wb_host/clkbuf_3_4_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_wb_host/clknet_3_4_0_wbm_clk_i (net)
+                  0.19    0.00    6.16 ^ mprj/u_wb_host/clkbuf_leaf_48_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.18    6.34 ^ mprj/u_wb_host/clkbuf_leaf_48_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    11    0.04                           mprj/u_wb_host/clknet_leaf_48_wbm_clk_i (net)
+                  0.06    0.00    6.34 ^ mprj/u_wb_host/_5949_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.04    0.30    6.65 ^ mprj/u_wb_host/_5949_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.00                           mprj/u_wb_host/u_arb.rstn (net)
+                  0.04    0.00    6.65 ^ mprj/u_wb_host/hold4/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.13    0.58    7.23 ^ mprj/u_wb_host/hold4/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     2    0.01                           mprj/u_wb_host/net858 (net)
+                  0.13    0.00    7.23 ^ mprj/u_wb_host/fanout653/A (sky130_fd_sc_hd__buf_8)
+                  0.20    0.23    7.45 ^ mprj/u_wb_host/fanout653/X (sky130_fd_sc_hd__buf_8)
+    14    0.13                           mprj/u_wb_host/net653 (net)
+                  0.21    0.00    7.46 ^ mprj/u_wb_host/fanout649/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.72 ^ mprj/u_wb_host/fanout649/X (sky130_fd_sc_hd__buf_4)
+    18    0.07                           mprj/u_wb_host/net649 (net)
+                  0.20    0.01    7.73 ^ mprj/u_wb_host/_5478_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
+                                  7.73   data arrival time
+
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.10    5.31 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    5.31 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.30    5.61 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    5.64 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.40    6.04 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.21    6.26 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.37    6.63 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    6.63 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.84 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    6.84 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.99 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
+                  0.06    0.00    6.99 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    7.13 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_3_0_wbm_clk_i (net)
+                  0.05    0.00    7.13 ^ mprj/u_wb_host/clkbuf_3_7_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.18    0.24    7.37 ^ mprj/u_wb_host/clkbuf_3_7_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.10                           mprj/u_wb_host/clknet_3_7_0_wbm_clk_i (net)
+                  0.18    0.00    7.38 ^ mprj/u_wb_host/clkbuf_leaf_37_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    7.57 ^ mprj/u_wb_host/clkbuf_leaf_37_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.04                           mprj/u_wb_host/clknet_leaf_37_wbm_clk_i (net)
+                  0.06    0.00    7.57 ^ mprj/u_wb_host/_5478_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    7.67   clock uncertainty
+                         -1.20    6.47   clock reconvergence pessimism
+                          0.37    6.84   library removal time
+                                  6.84   data required time
+-----------------------------------------------------------------------------
+                                  6.84   data required time
+                                 -7.73   data arrival time
+-----------------------------------------------------------------------------
+                                  0.89   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6414_
+            (rising edge-triggered flip-flop clocked by uart_clk)
+Endpoint: mprj/u_wb_host/_6514_
+          (removal check against rising-edge clock uart_clk)
+Path Group: **async_default**
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14    0.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    0.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31    0.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.58 ^ mprj/u_wb_host/clkbuf_leaf_9_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    0.80 ^ mprj/u_wb_host/clkbuf_leaf_9_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.05                           mprj/u_wb_host/clknet_leaf_9_u_uart2wb.baud_clk_16x (net)
+                  0.07    0.00    0.81 ^ mprj/u_wb_host/_6414_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                  0.04    0.33    1.13 ^ mprj/u_wb_host/_6414_/Q (sky130_fd_sc_hd__dfrtp_2)
+     1    0.00                           mprj/u_wb_host/u_uart2wb.line_reset_n (net)
+                  0.04    0.00    1.13 ^ mprj/u_wb_host/hold5/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.38    0.75    1.88 ^ mprj/u_wb_host/hold5/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     4    0.04                           mprj/u_wb_host/net859 (net)
+                  0.38    0.00    1.88 ^ mprj/u_wb_host/fanout548/A (sky130_fd_sc_hd__buf_2)
+                  0.28    0.34    2.22 ^ mprj/u_wb_host/fanout548/X (sky130_fd_sc_hd__buf_2)
+    12    0.06                           mprj/u_wb_host/net548 (net)
+                  0.28    0.00    2.23 ^ mprj/u_wb_host/_6514_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
+                                  2.23   data arrival time
+
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.00    0.62 ^ mprj/u_wb_host/clkbuf_leaf_11_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.27    0.88 ^ mprj/u_wb_host/clkbuf_leaf_11_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_11_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.89 ^ mprj/u_wb_host/_6514_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.99   clock uncertainty
+                         -0.04    0.94   clock reconvergence pessimism
+                          0.40    1.34   library removal time
+                                  1.34   data required time
+-----------------------------------------------------------------------------
+                                  1.34   data required time
+                                 -2.23   data arrival time
+-----------------------------------------------------------------------------
+                                  0.89   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6414_
+            (rising edge-triggered flip-flop clocked by uart_clk)
+Endpoint: mprj/u_wb_host/_6437_
+          (removal check against rising-edge clock uart_clk)
+Path Group: **async_default**
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14    0.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    0.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31    0.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.58 ^ mprj/u_wb_host/clkbuf_leaf_9_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    0.80 ^ mprj/u_wb_host/clkbuf_leaf_9_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.05                           mprj/u_wb_host/clknet_leaf_9_u_uart2wb.baud_clk_16x (net)
+                  0.07    0.00    0.81 ^ mprj/u_wb_host/_6414_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                  0.04    0.33    1.13 ^ mprj/u_wb_host/_6414_/Q (sky130_fd_sc_hd__dfrtp_2)
+     1    0.00                           mprj/u_wb_host/u_uart2wb.line_reset_n (net)
+                  0.04    0.00    1.13 ^ mprj/u_wb_host/hold5/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.38    0.75    1.88 ^ mprj/u_wb_host/hold5/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     4    0.04                           mprj/u_wb_host/net859 (net)
+                  0.38    0.00    1.88 ^ mprj/u_wb_host/fanout548/A (sky130_fd_sc_hd__buf_2)
+                  0.28    0.34    2.22 ^ mprj/u_wb_host/fanout548/X (sky130_fd_sc_hd__buf_2)
+    12    0.06                           mprj/u_wb_host/net548 (net)
+                  0.28    0.00    2.23 ^ mprj/u_wb_host/_6437_/RESET_B (sky130_fd_sc_hd__dfrtp_2)
+                                  2.23   data arrival time
+
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.00    0.62 ^ mprj/u_wb_host/clkbuf_leaf_15_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    0.88 ^ mprj/u_wb_host/clkbuf_leaf_15_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_15_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.88 ^ mprj/u_wb_host/_6437_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                          0.10    0.98   clock uncertainty
+                         -0.04    0.94   clock reconvergence pessimism
+                          0.40    1.34   library removal time
+                                  1.34   data required time
+-----------------------------------------------------------------------------
+                                  1.34   data required time
+                                 -2.23   data arrival time
+-----------------------------------------------------------------------------
+                                  0.89   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5949_
+            (rising edge-triggered flip-flop clocked by wb_clk)
+Endpoint: mprj/u_wb_host/_5474_ (removal check against rising-edge clock wb_clk)
+Path Group: **async_default**
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09    4.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    4.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27    4.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    4.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37    4.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20    5.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35    5.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    5.65 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.79 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
+                  0.06    0.00    5.79 ^ mprj/u_wb_host/clkbuf_2_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.92 ^ mprj/u_wb_host/clkbuf_2_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_2_0_wbm_clk_i (net)
+                  0.06    0.00    5.92 ^ mprj/u_wb_host/clkbuf_3_4_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.19    0.23    6.16 ^ mprj/u_wb_host/clkbuf_3_4_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_wb_host/clknet_3_4_0_wbm_clk_i (net)
+                  0.19    0.00    6.16 ^ mprj/u_wb_host/clkbuf_leaf_48_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.18    6.34 ^ mprj/u_wb_host/clkbuf_leaf_48_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    11    0.04                           mprj/u_wb_host/clknet_leaf_48_wbm_clk_i (net)
+                  0.06    0.00    6.34 ^ mprj/u_wb_host/_5949_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.04    0.30    6.65 ^ mprj/u_wb_host/_5949_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.00                           mprj/u_wb_host/u_arb.rstn (net)
+                  0.04    0.00    6.65 ^ mprj/u_wb_host/hold4/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.13    0.58    7.23 ^ mprj/u_wb_host/hold4/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     2    0.01                           mprj/u_wb_host/net858 (net)
+                  0.13    0.00    7.23 ^ mprj/u_wb_host/fanout653/A (sky130_fd_sc_hd__buf_8)
+                  0.20    0.23    7.45 ^ mprj/u_wb_host/fanout653/X (sky130_fd_sc_hd__buf_8)
+    14    0.13                           mprj/u_wb_host/net653 (net)
+                  0.21    0.00    7.46 ^ mprj/u_wb_host/fanout649/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.72 ^ mprj/u_wb_host/fanout649/X (sky130_fd_sc_hd__buf_4)
+    18    0.07                           mprj/u_wb_host/net649 (net)
+                  0.20    0.01    7.73 ^ mprj/u_wb_host/_5474_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
+                                  7.73   data arrival time
+
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.10    5.31 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    5.31 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.30    5.61 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    5.64 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.40    6.04 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.21    6.26 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.37    6.63 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    6.63 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.84 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    6.84 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.99 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
+                  0.06    0.00    6.99 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    7.13 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_3_0_wbm_clk_i (net)
+                  0.05    0.00    7.13 ^ mprj/u_wb_host/clkbuf_3_7_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.18    0.24    7.37 ^ mprj/u_wb_host/clkbuf_3_7_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.10                           mprj/u_wb_host/clknet_3_7_0_wbm_clk_i (net)
+                  0.18    0.00    7.38 ^ mprj/u_wb_host/clkbuf_leaf_37_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    7.57 ^ mprj/u_wb_host/clkbuf_leaf_37_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.04                           mprj/u_wb_host/clknet_leaf_37_wbm_clk_i (net)
+                  0.06    0.00    7.57 ^ mprj/u_wb_host/_5474_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    7.67   clock uncertainty
+                         -1.20    6.47   clock reconvergence pessimism
+                          0.37    6.84   library removal time
+                                  6.84   data required time
+-----------------------------------------------------------------------------
+                                  6.84   data required time
+                                 -7.73   data arrival time
+-----------------------------------------------------------------------------
+                                  0.89   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5949_
+            (rising edge-triggered flip-flop clocked by wb_clk)
+Endpoint: mprj/u_wb_host/_5476_ (removal check against rising-edge clock wb_clk)
+Path Group: **async_default**
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09    4.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    4.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27    4.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    4.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37    4.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20    5.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35    5.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    5.65 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.79 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
+                  0.06    0.00    5.79 ^ mprj/u_wb_host/clkbuf_2_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.92 ^ mprj/u_wb_host/clkbuf_2_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_2_0_wbm_clk_i (net)
+                  0.06    0.00    5.92 ^ mprj/u_wb_host/clkbuf_3_4_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.19    0.23    6.16 ^ mprj/u_wb_host/clkbuf_3_4_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_wb_host/clknet_3_4_0_wbm_clk_i (net)
+                  0.19    0.00    6.16 ^ mprj/u_wb_host/clkbuf_leaf_48_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.18    6.34 ^ mprj/u_wb_host/clkbuf_leaf_48_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    11    0.04                           mprj/u_wb_host/clknet_leaf_48_wbm_clk_i (net)
+                  0.06    0.00    6.34 ^ mprj/u_wb_host/_5949_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.04    0.30    6.65 ^ mprj/u_wb_host/_5949_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.00                           mprj/u_wb_host/u_arb.rstn (net)
+                  0.04    0.00    6.65 ^ mprj/u_wb_host/hold4/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.13    0.58    7.23 ^ mprj/u_wb_host/hold4/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     2    0.01                           mprj/u_wb_host/net858 (net)
+                  0.13    0.00    7.23 ^ mprj/u_wb_host/fanout653/A (sky130_fd_sc_hd__buf_8)
+                  0.20    0.23    7.45 ^ mprj/u_wb_host/fanout653/X (sky130_fd_sc_hd__buf_8)
+    14    0.13                           mprj/u_wb_host/net653 (net)
+                  0.21    0.00    7.46 ^ mprj/u_wb_host/fanout649/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.72 ^ mprj/u_wb_host/fanout649/X (sky130_fd_sc_hd__buf_4)
+    18    0.07                           mprj/u_wb_host/net649 (net)
+                  0.20    0.01    7.73 ^ mprj/u_wb_host/_5476_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
+                                  7.73   data arrival time
+
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.10    5.31 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    5.31 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.30    5.61 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    5.64 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.40    6.04 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.21    6.26 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.37    6.63 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    6.63 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.84 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    6.84 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.99 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
+                  0.06    0.00    6.99 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    7.13 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_3_0_wbm_clk_i (net)
+                  0.05    0.00    7.13 ^ mprj/u_wb_host/clkbuf_3_7_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.18    0.24    7.37 ^ mprj/u_wb_host/clkbuf_3_7_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.10                           mprj/u_wb_host/clknet_3_7_0_wbm_clk_i (net)
+                  0.18    0.00    7.38 ^ mprj/u_wb_host/clkbuf_leaf_37_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    7.57 ^ mprj/u_wb_host/clkbuf_leaf_37_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.04                           mprj/u_wb_host/clknet_leaf_37_wbm_clk_i (net)
+                  0.06    0.00    7.57 ^ mprj/u_wb_host/_5476_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    7.67   clock uncertainty
+                         -1.20    6.47   clock reconvergence pessimism
+                          0.37    6.84   library removal time
+                                  6.84   data required time
+-----------------------------------------------------------------------------
+                                  6.84   data required time
+                                 -7.73   data arrival time
+-----------------------------------------------------------------------------
+                                  0.89   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5949_
+            (rising edge-triggered flip-flop clocked by wb_clk)
+Endpoint: mprj/u_wb_host/_5477_ (removal check against rising-edge clock wb_clk)
+Path Group: **async_default**
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09    4.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    4.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27    4.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    4.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37    4.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20    5.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35    5.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    5.65 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.79 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
+                  0.06    0.00    5.79 ^ mprj/u_wb_host/clkbuf_2_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.92 ^ mprj/u_wb_host/clkbuf_2_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_2_0_wbm_clk_i (net)
+                  0.06    0.00    5.92 ^ mprj/u_wb_host/clkbuf_3_4_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.19    0.23    6.16 ^ mprj/u_wb_host/clkbuf_3_4_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_wb_host/clknet_3_4_0_wbm_clk_i (net)
+                  0.19    0.00    6.16 ^ mprj/u_wb_host/clkbuf_leaf_48_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.18    6.34 ^ mprj/u_wb_host/clkbuf_leaf_48_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    11    0.04                           mprj/u_wb_host/clknet_leaf_48_wbm_clk_i (net)
+                  0.06    0.00    6.34 ^ mprj/u_wb_host/_5949_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.04    0.30    6.65 ^ mprj/u_wb_host/_5949_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.00                           mprj/u_wb_host/u_arb.rstn (net)
+                  0.04    0.00    6.65 ^ mprj/u_wb_host/hold4/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.13    0.58    7.23 ^ mprj/u_wb_host/hold4/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     2    0.01                           mprj/u_wb_host/net858 (net)
+                  0.13    0.00    7.23 ^ mprj/u_wb_host/fanout653/A (sky130_fd_sc_hd__buf_8)
+                  0.20    0.23    7.45 ^ mprj/u_wb_host/fanout653/X (sky130_fd_sc_hd__buf_8)
+    14    0.13                           mprj/u_wb_host/net653 (net)
+                  0.21    0.00    7.46 ^ mprj/u_wb_host/fanout649/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.72 ^ mprj/u_wb_host/fanout649/X (sky130_fd_sc_hd__buf_4)
+    18    0.07                           mprj/u_wb_host/net649 (net)
+                  0.20    0.01    7.73 ^ mprj/u_wb_host/_5477_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
+                                  7.73   data arrival time
+
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.10    5.31 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    5.31 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.30    5.61 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    5.64 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.40    6.04 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.21    6.26 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.37    6.63 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    6.63 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.84 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    6.84 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.99 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
+                  0.06    0.00    6.99 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    7.13 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_3_0_wbm_clk_i (net)
+                  0.05    0.00    7.13 ^ mprj/u_wb_host/clkbuf_3_7_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.18    0.24    7.37 ^ mprj/u_wb_host/clkbuf_3_7_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.10                           mprj/u_wb_host/clknet_3_7_0_wbm_clk_i (net)
+                  0.18    0.00    7.38 ^ mprj/u_wb_host/clkbuf_leaf_36_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    7.57 ^ mprj/u_wb_host/clkbuf_leaf_36_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    12    0.04                           mprj/u_wb_host/clknet_leaf_36_wbm_clk_i (net)
+                  0.06    0.00    7.57 ^ mprj/u_wb_host/_5477_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    7.67   clock uncertainty
+                         -1.20    6.47   clock reconvergence pessimism
+                          0.37    6.84   library removal time
+                                  6.84   data required time
+-----------------------------------------------------------------------------
+                                  6.84   data required time
+                                 -7.73   data arrival time
+-----------------------------------------------------------------------------
+                                  0.89   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6414_
+            (rising edge-triggered flip-flop clocked by uart_clk)
+Endpoint: mprj/u_wb_host/_6440_
+          (removal check against rising-edge clock uart_clk)
+Path Group: **async_default**
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14    0.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    0.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31    0.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.58 ^ mprj/u_wb_host/clkbuf_leaf_9_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    0.80 ^ mprj/u_wb_host/clkbuf_leaf_9_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.05                           mprj/u_wb_host/clknet_leaf_9_u_uart2wb.baud_clk_16x (net)
+                  0.07    0.00    0.81 ^ mprj/u_wb_host/_6414_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                  0.04    0.33    1.13 ^ mprj/u_wb_host/_6414_/Q (sky130_fd_sc_hd__dfrtp_2)
+     1    0.00                           mprj/u_wb_host/u_uart2wb.line_reset_n (net)
+                  0.04    0.00    1.13 ^ mprj/u_wb_host/hold5/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.38    0.75    1.88 ^ mprj/u_wb_host/hold5/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     4    0.04                           mprj/u_wb_host/net859 (net)
+                  0.38    0.00    1.88 ^ mprj/u_wb_host/fanout548/A (sky130_fd_sc_hd__buf_2)
+                  0.28    0.34    2.22 ^ mprj/u_wb_host/fanout548/X (sky130_fd_sc_hd__buf_2)
+    12    0.06                           mprj/u_wb_host/net548 (net)
+                  0.28    0.00    2.23 ^ mprj/u_wb_host/_6440_/RESET_B (sky130_fd_sc_hd__dfrtp_2)
+                                  2.23   data arrival time
+
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.00    0.62 ^ mprj/u_wb_host/clkbuf_leaf_16_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.09    0.26    0.88 ^ mprj/u_wb_host/clkbuf_leaf_16_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    30    0.07                           mprj/u_wb_host/clknet_leaf_16_u_uart2wb.baud_clk_16x (net)
+                  0.09    0.00    0.88 ^ mprj/u_wb_host/_6440_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                          0.10    0.98   clock uncertainty
+                         -0.04    0.94   clock reconvergence pessimism
+                          0.40    1.33   library removal time
+                                  1.33   data required time
+-----------------------------------------------------------------------------
+                                  1.33   data required time
+                                 -2.23   data arrival time
+-----------------------------------------------------------------------------
+                                  0.89   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5949_
+            (rising edge-triggered flip-flop clocked by wb_clk)
+Endpoint: mprj/u_wb_host/_5487_ (removal check against rising-edge clock wb_clk)
+Path Group: **async_default**
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09    4.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    4.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27    4.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    4.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37    4.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20    5.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35    5.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    5.65 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.79 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
+                  0.06    0.00    5.79 ^ mprj/u_wb_host/clkbuf_2_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.92 ^ mprj/u_wb_host/clkbuf_2_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_2_0_wbm_clk_i (net)
+                  0.06    0.00    5.92 ^ mprj/u_wb_host/clkbuf_3_4_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.19    0.23    6.16 ^ mprj/u_wb_host/clkbuf_3_4_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_wb_host/clknet_3_4_0_wbm_clk_i (net)
+                  0.19    0.00    6.16 ^ mprj/u_wb_host/clkbuf_leaf_48_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.18    6.34 ^ mprj/u_wb_host/clkbuf_leaf_48_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    11    0.04                           mprj/u_wb_host/clknet_leaf_48_wbm_clk_i (net)
+                  0.06    0.00    6.34 ^ mprj/u_wb_host/_5949_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.04    0.30    6.65 ^ mprj/u_wb_host/_5949_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.00                           mprj/u_wb_host/u_arb.rstn (net)
+                  0.04    0.00    6.65 ^ mprj/u_wb_host/hold4/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.13    0.58    7.23 ^ mprj/u_wb_host/hold4/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     2    0.01                           mprj/u_wb_host/net858 (net)
+                  0.13    0.00    7.23 ^ mprj/u_wb_host/fanout653/A (sky130_fd_sc_hd__buf_8)
+                  0.20    0.23    7.45 ^ mprj/u_wb_host/fanout653/X (sky130_fd_sc_hd__buf_8)
+    14    0.13                           mprj/u_wb_host/net653 (net)
+                  0.21    0.01    7.46 ^ mprj/u_wb_host/fanout651/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.27    7.73 ^ mprj/u_wb_host/fanout651/X (sky130_fd_sc_hd__buf_2)
+    12    0.04                           mprj/u_wb_host/net651 (net)
+                  0.22    0.00    7.74 ^ mprj/u_wb_host/_5487_/RESET_B (sky130_fd_sc_hd__dfrtp_4)
+                                  7.74   data arrival time
+
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.10    5.31 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    5.31 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.30    5.61 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    5.64 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.40    6.04 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.21    6.26 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.37    6.63 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    6.63 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.84 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    6.84 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.99 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
+                  0.06    0.00    6.99 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    7.13 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_3_0_wbm_clk_i (net)
+                  0.05    0.00    7.13 ^ mprj/u_wb_host/clkbuf_3_7_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.18    0.24    7.37 ^ mprj/u_wb_host/clkbuf_3_7_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.10                           mprj/u_wb_host/clknet_3_7_0_wbm_clk_i (net)
+                  0.18    0.00    7.38 ^ mprj/u_wb_host/clkbuf_leaf_39_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.18    7.56 ^ mprj/u_wb_host/clkbuf_leaf_39_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_wb_host/clknet_leaf_39_wbm_clk_i (net)
+                  0.05    0.00    7.56 ^ mprj/u_wb_host/_5487_/CLK (sky130_fd_sc_hd__dfrtp_4)
+                          0.10    7.66   clock uncertainty
+                         -1.20    6.46   clock reconvergence pessimism
+                          0.37    6.83   library removal time
+                                  6.83   data required time
+-----------------------------------------------------------------------------
+                                  6.83   data required time
+                                 -7.74   data arrival time
+-----------------------------------------------------------------------------
+                                  0.90   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5949_
+            (rising edge-triggered flip-flop clocked by wb_clk)
+Endpoint: mprj/u_wb_host/_5486_ (removal check against rising-edge clock wb_clk)
+Path Group: **async_default**
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09    4.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    4.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27    4.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    4.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37    4.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20    5.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35    5.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    5.65 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.79 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
+                  0.06    0.00    5.79 ^ mprj/u_wb_host/clkbuf_2_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.92 ^ mprj/u_wb_host/clkbuf_2_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_2_0_wbm_clk_i (net)
+                  0.06    0.00    5.92 ^ mprj/u_wb_host/clkbuf_3_4_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.19    0.23    6.16 ^ mprj/u_wb_host/clkbuf_3_4_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_wb_host/clknet_3_4_0_wbm_clk_i (net)
+                  0.19    0.00    6.16 ^ mprj/u_wb_host/clkbuf_leaf_48_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.18    6.34 ^ mprj/u_wb_host/clkbuf_leaf_48_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    11    0.04                           mprj/u_wb_host/clknet_leaf_48_wbm_clk_i (net)
+                  0.06    0.00    6.34 ^ mprj/u_wb_host/_5949_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.04    0.30    6.65 ^ mprj/u_wb_host/_5949_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.00                           mprj/u_wb_host/u_arb.rstn (net)
+                  0.04    0.00    6.65 ^ mprj/u_wb_host/hold4/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.13    0.58    7.23 ^ mprj/u_wb_host/hold4/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     2    0.01                           mprj/u_wb_host/net858 (net)
+                  0.13    0.00    7.23 ^ mprj/u_wb_host/fanout653/A (sky130_fd_sc_hd__buf_8)
+                  0.20    0.23    7.45 ^ mprj/u_wb_host/fanout653/X (sky130_fd_sc_hd__buf_8)
+    14    0.13                           mprj/u_wb_host/net653 (net)
+                  0.21    0.01    7.46 ^ mprj/u_wb_host/fanout651/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.27    7.73 ^ mprj/u_wb_host/fanout651/X (sky130_fd_sc_hd__buf_2)
+    12    0.04                           mprj/u_wb_host/net651 (net)
+                  0.22    0.00    7.74 ^ mprj/u_wb_host/_5486_/RESET_B (sky130_fd_sc_hd__dfrtp_2)
+                                  7.74   data arrival time
+
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.10    5.31 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    5.31 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.30    5.61 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    5.64 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.40    6.04 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.21    6.26 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.37    6.63 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    6.63 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.84 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    6.84 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.99 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
+                  0.06    0.00    6.99 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    7.13 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_3_0_wbm_clk_i (net)
+                  0.05    0.00    7.13 ^ mprj/u_wb_host/clkbuf_3_7_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.18    0.24    7.37 ^ mprj/u_wb_host/clkbuf_3_7_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.10                           mprj/u_wb_host/clknet_3_7_0_wbm_clk_i (net)
+                  0.18    0.00    7.38 ^ mprj/u_wb_host/clkbuf_leaf_39_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.18    7.56 ^ mprj/u_wb_host/clkbuf_leaf_39_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_wb_host/clknet_leaf_39_wbm_clk_i (net)
+                  0.05    0.00    7.56 ^ mprj/u_wb_host/_5486_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                          0.10    7.66   clock uncertainty
+                         -1.20    6.46   clock reconvergence pessimism
+                          0.37    6.83   library removal time
+                                  6.83   data required time
+-----------------------------------------------------------------------------
+                                  6.83   data required time
+                                 -7.74   data arrival time
+-----------------------------------------------------------------------------
+                                  0.90   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5949_
+            (rising edge-triggered flip-flop clocked by wb_clk)
+Endpoint: mprj/u_wb_host/_5473_ (removal check against rising-edge clock wb_clk)
+Path Group: **async_default**
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09    4.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    4.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27    4.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    4.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37    4.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20    5.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35    5.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    5.65 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.79 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
+                  0.06    0.00    5.79 ^ mprj/u_wb_host/clkbuf_2_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.92 ^ mprj/u_wb_host/clkbuf_2_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_2_0_wbm_clk_i (net)
+                  0.06    0.00    5.92 ^ mprj/u_wb_host/clkbuf_3_4_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.19    0.23    6.16 ^ mprj/u_wb_host/clkbuf_3_4_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_wb_host/clknet_3_4_0_wbm_clk_i (net)
+                  0.19    0.00    6.16 ^ mprj/u_wb_host/clkbuf_leaf_48_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.18    6.34 ^ mprj/u_wb_host/clkbuf_leaf_48_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    11    0.04                           mprj/u_wb_host/clknet_leaf_48_wbm_clk_i (net)
+                  0.06    0.00    6.34 ^ mprj/u_wb_host/_5949_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.04    0.30    6.65 ^ mprj/u_wb_host/_5949_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.00                           mprj/u_wb_host/u_arb.rstn (net)
+                  0.04    0.00    6.65 ^ mprj/u_wb_host/hold4/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.13    0.58    7.23 ^ mprj/u_wb_host/hold4/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     2    0.01                           mprj/u_wb_host/net858 (net)
+                  0.13    0.00    7.23 ^ mprj/u_wb_host/fanout653/A (sky130_fd_sc_hd__buf_8)
+                  0.20    0.23    7.45 ^ mprj/u_wb_host/fanout653/X (sky130_fd_sc_hd__buf_8)
+    14    0.13                           mprj/u_wb_host/net653 (net)
+                  0.21    0.00    7.46 ^ mprj/u_wb_host/fanout649/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.72 ^ mprj/u_wb_host/fanout649/X (sky130_fd_sc_hd__buf_4)
+    18    0.07                           mprj/u_wb_host/net649 (net)
+                  0.20    0.01    7.73 ^ mprj/u_wb_host/_5473_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
+                                  7.73   data arrival time
+
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.10    5.31 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    5.31 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.30    5.61 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    5.64 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.40    6.04 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.21    6.26 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.37    6.63 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    6.63 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.84 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    6.84 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.99 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
+                  0.06    0.00    6.99 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    7.13 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_3_0_wbm_clk_i (net)
+                  0.05    0.00    7.13 ^ mprj/u_wb_host/clkbuf_3_7_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.18    0.24    7.37 ^ mprj/u_wb_host/clkbuf_3_7_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.10                           mprj/u_wb_host/clknet_3_7_0_wbm_clk_i (net)
+                  0.18    0.00    7.38 ^ mprj/u_wb_host/clkbuf_leaf_38_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.18    7.56 ^ mprj/u_wb_host/clkbuf_leaf_38_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.02                           mprj/u_wb_host/clknet_leaf_38_wbm_clk_i (net)
+                  0.05    0.00    7.56 ^ mprj/u_wb_host/_5473_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    7.66   clock uncertainty
+                         -1.20    6.46   clock reconvergence pessimism
+                          0.36    6.82   library removal time
+                                  6.82   data required time
+-----------------------------------------------------------------------------
+                                  6.82   data required time
+                                 -7.73   data arrival time
+-----------------------------------------------------------------------------
+                                  0.91   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5949_
+            (rising edge-triggered flip-flop clocked by wb_clk)
+Endpoint: mprj/u_wb_host/_5480_ (removal check against rising-edge clock wb_clk)
+Path Group: **async_default**
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09    4.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    4.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27    4.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    4.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37    4.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20    5.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35    5.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    5.65 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.79 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
+                  0.06    0.00    5.79 ^ mprj/u_wb_host/clkbuf_2_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.92 ^ mprj/u_wb_host/clkbuf_2_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_2_0_wbm_clk_i (net)
+                  0.06    0.00    5.92 ^ mprj/u_wb_host/clkbuf_3_4_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.19    0.23    6.16 ^ mprj/u_wb_host/clkbuf_3_4_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_wb_host/clknet_3_4_0_wbm_clk_i (net)
+                  0.19    0.00    6.16 ^ mprj/u_wb_host/clkbuf_leaf_48_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.18    6.34 ^ mprj/u_wb_host/clkbuf_leaf_48_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    11    0.04                           mprj/u_wb_host/clknet_leaf_48_wbm_clk_i (net)
+                  0.06    0.00    6.34 ^ mprj/u_wb_host/_5949_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.04    0.30    6.65 ^ mprj/u_wb_host/_5949_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.00                           mprj/u_wb_host/u_arb.rstn (net)
+                  0.04    0.00    6.65 ^ mprj/u_wb_host/hold4/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.13    0.58    7.23 ^ mprj/u_wb_host/hold4/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     2    0.01                           mprj/u_wb_host/net858 (net)
+                  0.13    0.00    7.23 ^ mprj/u_wb_host/fanout653/A (sky130_fd_sc_hd__buf_8)
+                  0.20    0.23    7.45 ^ mprj/u_wb_host/fanout653/X (sky130_fd_sc_hd__buf_8)
+    14    0.13                           mprj/u_wb_host/net653 (net)
+                  0.21    0.00    7.46 ^ mprj/u_wb_host/fanout649/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.72 ^ mprj/u_wb_host/fanout649/X (sky130_fd_sc_hd__buf_4)
+    18    0.07                           mprj/u_wb_host/net649 (net)
+                  0.20    0.01    7.73 ^ mprj/u_wb_host/_5480_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
+                                  7.73   data arrival time
+
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.10    5.31 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    5.31 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.30    5.61 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    5.64 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.40    6.04 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.21    6.26 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.37    6.63 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    6.63 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.84 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    6.84 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.99 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
+                  0.06    0.00    6.99 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    7.13 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_3_0_wbm_clk_i (net)
+                  0.05    0.00    7.13 ^ mprj/u_wb_host/clkbuf_3_7_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.18    0.24    7.37 ^ mprj/u_wb_host/clkbuf_3_7_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.10                           mprj/u_wb_host/clknet_3_7_0_wbm_clk_i (net)
+                  0.18    0.00    7.38 ^ mprj/u_wb_host/clkbuf_leaf_38_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.18    7.56 ^ mprj/u_wb_host/clkbuf_leaf_38_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.02                           mprj/u_wb_host/clknet_leaf_38_wbm_clk_i (net)
+                  0.05    0.00    7.56 ^ mprj/u_wb_host/_5480_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    7.66   clock uncertainty
+                         -1.20    6.46   clock reconvergence pessimism
+                          0.36    6.82   library removal time
+                                  6.82   data required time
+-----------------------------------------------------------------------------
+                                  6.82   data required time
+                                 -7.73   data arrival time
+-----------------------------------------------------------------------------
+                                  0.91   slack (MET)
 
 
 Startpoint: clock_ctrl/_411_ (rising edge-triggered flip-flop clocked by clk')
@@ -33540,9 +35799,9 @@
                                   1.44   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_5766_
+Startpoint: mprj/u_wb_host/_5841_
             (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5766_
+Endpoint: mprj/u_wb_host/_5841_
           (rising edge-triggered flip-flop clocked by lbist_clk)
 Path Group: lbist_clk
 Path Type: min
@@ -33554,118 +35813,148 @@
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.11    0.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    0.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    0.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17    0.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    1.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    1.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    3.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    3.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    3.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    3.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    3.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    4.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13    4.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    4.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13    4.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    4.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25    5.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    5.30 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    5.32 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.55 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.55 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.69 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_2_1_0_lbist_clk_int (net)
-                  0.06    0.00    5.31 ^ mprj/u_wb_host/clkbuf_3_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.42 ^ mprj/u_wb_host/clkbuf_3_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_2_0_lbist_clk_int (net)
-                  0.04    0.00    5.42 ^ mprj/u_wb_host/clkbuf_4_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.15    5.58 ^ mprj/u_wb_host/clkbuf_4_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    12    0.05                           mprj/u_wb_host/clknet_4_5_0_lbist_clk_int (net)
-                  0.09    0.00    5.58 ^ mprj/u_wb_host/_5766_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.07    0.34    5.92 ^ mprj/u_wb_host/_5766_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_wb_host/u_lbist.lbist_reg_rdata[11] (net)
-                  0.07    0.00    5.92 ^ mprj/u_wb_host/_4115_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    6.03 ^ mprj/u_wb_host/_4115_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0296_ (net)
-                  0.04    0.00    6.03 ^ mprj/u_wb_host/_5766_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  6.03   data arrival time
+                  0.06    0.00    5.69 ^ mprj/u_wb_host/clkbuf_3_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.80 ^ mprj/u_wb_host/clkbuf_3_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_3_0_lbist_clk_int (net)
+                  0.04    0.00    5.80 ^ mprj/u_wb_host/clkbuf_4_6_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.14    5.94 ^ mprj/u_wb_host/clkbuf_4_6_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.03                           mprj/u_wb_host/clknet_4_6_0_lbist_clk_int (net)
+                  0.07    0.00    5.94 ^ mprj/u_wb_host/_5841_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.34    6.28 ^ mprj/u_wb_host/_5841_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_wb_host/u_lbist.u_async_reg.out_flag (net)
+                  0.08    0.00    6.28 ^ mprj/u_wb_host/_4238_/A1 (sky130_fd_sc_hd__a21o_1)
+                  0.03    0.10    6.38 ^ mprj/u_wb_host/_4238_/X (sky130_fd_sc_hd__a21o_1)
+     1    0.00                           mprj/u_wb_host/_0373_ (net)
+                  0.03    0.00    6.38 ^ mprj/u_wb_host/_5841_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  6.38   data arrival time
 
                           0.00    0.00   clock lbist_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -33673,124 +35962,462 @@
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
                   0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.72 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_2_1_0_lbist_clk_int (net)
-                  0.06    0.00    5.72 ^ mprj/u_wb_host/clkbuf_3_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_2_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.17    6.01 ^ mprj/u_wb_host/clkbuf_4_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    12    0.05                           mprj/u_wb_host/clknet_4_5_0_lbist_clk_int (net)
-                  0.09    0.00    6.01 ^ mprj/u_wb_host/_5766_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    6.11   clock uncertainty
-                         -0.43    5.68   clock reconvergence pessimism
-                         -0.03    5.65   library hold time
-                                  5.65   data required time
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_3_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_6_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15    6.41 ^ mprj/u_wb_host/clkbuf_4_6_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.03                           mprj/u_wb_host/clknet_4_6_0_lbist_clk_int (net)
+                  0.07    0.00    6.41 ^ mprj/u_wb_host/_5841_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    6.51   clock uncertainty
+                         -0.46    6.04   clock reconvergence pessimism
+                         -0.03    6.01   library hold time
+                                  6.01   data required time
 -----------------------------------------------------------------------------
-                                  5.65   data required time
-                                 -6.03   data arrival time
+                                  6.01   data required time
+                                 -6.38   data arrival time
+-----------------------------------------------------------------------------
+                                  0.37   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5756_
+            (rising edge-triggered flip-flop clocked by lbist_clk)
+Endpoint: mprj/u_wb_host/_5756_
+          (rising edge-triggered flip-flop clocked by lbist_clk)
+Path Group: lbist_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.11    0.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    0.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    0.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17    0.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    1.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    1.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    1.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    2.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    3.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    3.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    3.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    3.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    3.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    3.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    4.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13    4.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    4.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13    4.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    4.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25    5.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.32 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.55 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.55 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.69 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
+                  0.06    0.00    5.69 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.81 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_0_0_lbist_clk_int (net)
+                  0.04    0.00    5.81 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.16    5.97 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.05                           mprj/u_wb_host/clknet_4_0_0_lbist_clk_int (net)
+                  0.09    0.00    5.97 ^ mprj/u_wb_host/_5756_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.06    0.33    6.30 ^ mprj/u_wb_host/_5756_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.00                           mprj/u_wb_host/u_lbist.lbist_reg_rdata[7] (net)
+                  0.06    0.00    6.30 ^ mprj/u_wb_host/_4096_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    6.42 ^ mprj/u_wb_host/_4096_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0292_ (net)
+                  0.04    0.00    6.42 ^ mprj/u_wb_host/_5756_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  6.42   data arrival time
+
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_0_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.17    6.43 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.05                           mprj/u_wb_host/clknet_4_0_0_lbist_clk_int (net)
+                  0.09    0.00    6.43 ^ mprj/u_wb_host/_5756_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    6.53   clock uncertainty
+                         -0.46    6.07   clock reconvergence pessimism
+                         -0.03    6.04   library hold time
+                                  6.04   data required time
+-----------------------------------------------------------------------------
+                                  6.04   data required time
+                                 -6.42   data arrival time
 -----------------------------------------------------------------------------
                                   0.38   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_5768_
+Startpoint: mprj/u_wb_host/_5744_
             (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5768_
+Endpoint: mprj/u_wb_host/_5744_
           (rising edge-triggered flip-flop clocked by lbist_clk)
 Path Group: lbist_clk
 Path Type: min
@@ -33802,366 +36429,148 @@
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.11    0.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    0.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    0.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17    0.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    1.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    1.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    3.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    3.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    3.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    3.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    3.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    4.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13    4.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    4.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13    4.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    4.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25    5.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    5.31 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.31 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.43 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
-                  0.04    0.00    5.43 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.12    0.17    5.60 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    26    0.06                           mprj/u_wb_host/clknet_4_3_0_lbist_clk_int (net)
-                  0.12    0.00    5.60 ^ mprj/u_wb_host/_5768_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.06    0.34    5.95 ^ mprj/u_wb_host/_5768_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_wb_host/u_lbist.lbist_reg_rdata[13] (net)
-                  0.06    0.00    5.95 ^ mprj/u_wb_host/_4119_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    6.06 ^ mprj/u_wb_host/_4119_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0298_ (net)
-                  0.04    0.00    6.06 ^ mprj/u_wb_host/_5768_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  6.06   data arrival time
-
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.72 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.72 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.12    0.19    6.04 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    26    0.06                           mprj/u_wb_host/clknet_4_3_0_lbist_clk_int (net)
-                  0.12    0.00    6.04 ^ mprj/u_wb_host/_5768_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    6.14   clock uncertainty
-                         -0.44    5.70   clock reconvergence pessimism
-                         -0.02    5.68   library hold time
-                                  5.68   data required time
------------------------------------------------------------------------------
-                                  5.68   data required time
-                                 -6.06   data arrival time
------------------------------------------------------------------------------
-                                  0.39   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5784_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5784_
-          (rising edge-triggered flip-flop clocked by lbist_clk)
-Path Group: lbist_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.13    5.30 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    5.32 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12    5.55 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00    5.55 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.69 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.30 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.42 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    5.69 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.81 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      2    0.01                           mprj/u_wb_host/clknet_3_4_0_lbist_clk_int (net)
-                  0.04    0.00    5.42 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.19    5.61 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.08                           mprj/u_wb_host/clknet_4_8_0_lbist_clk_int (net)
-                  0.14    0.00    5.62 ^ mprj/u_wb_host/_5784_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.07    0.36    5.97 ^ mprj/u_wb_host/_5784_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_wb_host/u_lbist.lbist_reg_rdata[29] (net)
-                  0.07    0.00    5.97 ^ mprj/u_wb_host/_4151_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    6.09 ^ mprj/u_wb_host/_4151_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0314_ (net)
-                  0.04    0.00    6.09 ^ mprj/u_wb_host/_5784_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  6.09   data arrival time
+                  0.04    0.00    5.81 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.16    5.97 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.05                           mprj/u_wb_host/clknet_4_8_0_lbist_clk_int (net)
+                  0.09    0.00    5.97 ^ mprj/u_wb_host/_5744_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.07    0.34    6.31 ^ mprj/u_wb_host/_5744_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_wb_host/u_lbist.u_reg.u_reg0_be0.gen_bit_reg[4].u_bit_reg.data_out (net)
+                  0.07    0.00    6.31 ^ mprj/u_wb_host/_4061_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    6.42 ^ mprj/u_wb_host/_4061_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0280_ (net)
+                  0.04    0.00    6.42 ^ mprj/u_wb_host/_5744_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  6.42   data arrival time
 
                           0.00    0.00   clock lbist_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -34169,124 +36578,154 @@
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
                   0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.71 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00    5.99 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.71 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      2    0.01                           mprj/u_wb_host/clknet_3_4_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.21    6.05 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.08                           mprj/u_wb_host/clknet_4_8_0_lbist_clk_int (net)
-                  0.14    0.00    6.06 ^ mprj/u_wb_host/_5784_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    6.16   clock uncertainty
-                         -0.44    5.72   clock reconvergence pessimism
-                         -0.02    5.70   library hold time
-                                  5.70   data required time
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.17    6.43 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.05                           mprj/u_wb_host/clknet_4_8_0_lbist_clk_int (net)
+                  0.09    0.00    6.43 ^ mprj/u_wb_host/_5744_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    6.53   clock uncertainty
+                         -0.46    6.07   clock reconvergence pessimism
+                         -0.03    6.04   library hold time
+                                  6.04   data required time
 -----------------------------------------------------------------------------
-                                  5.70   data required time
-                                 -6.09   data arrival time
+                                  6.04   data required time
+                                 -6.42   data arrival time
 -----------------------------------------------------------------------------
-                                  0.39   slack (MET)
+                                  0.38   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_5771_
+Startpoint: mprj/u_wb_host/_5758_
             (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5771_
+Endpoint: mprj/u_wb_host/_5758_
           (rising edge-triggered flip-flop clocked by lbist_clk)
 Path Group: lbist_clk
 Path Type: min
@@ -34298,366 +36737,148 @@
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.11    0.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    0.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    0.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17    0.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    1.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    1.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    3.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    3.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    3.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    3.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    3.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    4.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13    4.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    4.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13    4.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    4.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25    5.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    5.31 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.31 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.43 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_0_0_lbist_clk_int (net)
-                  0.04    0.00    5.43 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.19    5.62 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    28    0.08                           mprj/u_wb_host/clknet_4_0_0_lbist_clk_int (net)
-                  0.14    0.00    5.62 ^ mprj/u_wb_host/_5771_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.06    0.35    5.97 ^ mprj/u_wb_host/_5771_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_wb_host/u_lbist.lbist_reg_rdata[16] (net)
-                  0.06    0.00    5.97 ^ mprj/u_wb_host/_4125_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    6.09 ^ mprj/u_wb_host/_4125_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0301_ (net)
-                  0.04    0.00    6.09 ^ mprj/u_wb_host/_5771_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  6.09   data arrival time
-
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.72 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.72 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_0_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.20    6.05 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    28    0.08                           mprj/u_wb_host/clknet_4_0_0_lbist_clk_int (net)
-                  0.14    0.00    6.06 ^ mprj/u_wb_host/_5771_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    6.16   clock uncertainty
-                         -0.44    5.72   clock reconvergence pessimism
-                         -0.02    5.70   library hold time
-                                  5.70   data required time
------------------------------------------------------------------------------
-                                  5.70   data required time
-                                 -6.09   data arrival time
------------------------------------------------------------------------------
-                                  0.39   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5764_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5764_
-          (rising edge-triggered flip-flop clocked by lbist_clk)
-Path Group: lbist_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    5.30 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    5.32 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.55 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.55 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.69 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_2_1_0_lbist_clk_int (net)
-                  0.06    0.00    5.31 ^ mprj/u_wb_host/clkbuf_3_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.42 ^ mprj/u_wb_host/clkbuf_3_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    5.69 ^ mprj/u_wb_host/clkbuf_3_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.81 ^ mprj/u_wb_host/clkbuf_3_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      2    0.01                           mprj/u_wb_host/clknet_3_2_0_lbist_clk_int (net)
-                  0.04    0.00    5.42 ^ mprj/u_wb_host/clkbuf_4_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.15    5.58 ^ mprj/u_wb_host/clkbuf_4_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    12    0.05                           mprj/u_wb_host/clknet_4_5_0_lbist_clk_int (net)
-                  0.09    0.00    5.58 ^ mprj/u_wb_host/_5764_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.07    0.34    5.92 ^ mprj/u_wb_host/_5764_/Q (sky130_fd_sc_hd__dfrtp_1)
+                  0.04    0.00    5.81 ^ mprj/u_wb_host/clkbuf_4_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.10    0.16    5.97 ^ mprj/u_wb_host/clkbuf_4_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.05                           mprj/u_wb_host/clknet_4_5_0_lbist_clk_int (net)
+                  0.10    0.00    5.97 ^ mprj/u_wb_host/_5758_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.07    0.34    6.32 ^ mprj/u_wb_host/_5758_/Q (sky130_fd_sc_hd__dfrtp_1)
      2    0.01                           mprj/u_wb_host/u_lbist.lbist_reg_rdata[9] (net)
-                  0.07    0.00    5.92 ^ mprj/u_wb_host/_4111_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    6.04 ^ mprj/u_wb_host/_4111_/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00    6.32 ^ mprj/u_wb_host/_4100_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    6.43 ^ mprj/u_wb_host/_4100_/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_wb_host/_0294_ (net)
-                  0.04    0.00    6.04 ^ mprj/u_wb_host/_5764_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  6.04   data arrival time
+                  0.04    0.00    6.43 ^ mprj/u_wb_host/_5758_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  6.43   data arrival time
 
                           0.00    0.00   clock lbist_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -34665,124 +36886,154 @@
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
                   0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.72 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_2_1_0_lbist_clk_int (net)
-                  0.06    0.00    5.72 ^ mprj/u_wb_host/clkbuf_3_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      2    0.01                           mprj/u_wb_host/clknet_3_2_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.17    6.01 ^ mprj/u_wb_host/clkbuf_4_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    12    0.05                           mprj/u_wb_host/clknet_4_5_0_lbist_clk_int (net)
-                  0.09    0.00    6.01 ^ mprj/u_wb_host/_5764_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    6.11   clock uncertainty
-                         -0.43    5.68   clock reconvergence pessimism
-                         -0.03    5.65   library hold time
-                                  5.65   data required time
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.10    0.18    6.44 ^ mprj/u_wb_host/clkbuf_4_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.05                           mprj/u_wb_host/clknet_4_5_0_lbist_clk_int (net)
+                  0.10    0.00    6.44 ^ mprj/u_wb_host/_5758_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    6.54   clock uncertainty
+                         -0.47    6.07   clock reconvergence pessimism
+                         -0.03    6.05   library hold time
+                                  6.05   data required time
 -----------------------------------------------------------------------------
-                                  5.65   data required time
-                                 -6.04   data arrival time
+                                  6.05   data required time
+                                 -6.43   data arrival time
 -----------------------------------------------------------------------------
-                                  0.39   slack (MET)
+                                  0.38   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_5785_
+Startpoint: mprj/u_wb_host/_5763_
             (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5785_
+Endpoint: mprj/u_wb_host/_5763_
           (rising edge-triggered flip-flop clocked by lbist_clk)
 Path Group: lbist_clk
 Path Type: min
@@ -34794,118 +37045,456 @@
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.11    0.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    0.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    0.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17    0.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    1.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    1.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    3.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    3.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    3.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    3.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    3.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    4.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13    4.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    4.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13    4.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    4.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25    5.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    5.31 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    5.32 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.55 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.55 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.69 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_lbist_clk_int (net)
+                  0.06    0.00    5.69 ^ mprj/u_wb_host/clkbuf_3_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.81 ^ mprj/u_wb_host/clkbuf_3_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_2_0_lbist_clk_int (net)
+                  0.04    0.00    5.81 ^ mprj/u_wb_host/clkbuf_4_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.16    5.96 ^ mprj/u_wb_host/clkbuf_4_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    12    0.05                           mprj/u_wb_host/clknet_4_4_0_lbist_clk_int (net)
+                  0.09    0.00    5.96 ^ mprj/u_wb_host/_5763_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.34    6.31 ^ mprj/u_wb_host/_5763_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_wb_host/u_lbist.lbist_reg_rdata[14] (net)
+                  0.08    0.00    6.31 ^ mprj/u_wb_host/_4110_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    6.43 ^ mprj/u_wb_host/_4110_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0299_ (net)
+                  0.04    0.00    6.43 ^ mprj/u_wb_host/_5763_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  6.43   data arrival time
+
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_lbist_clk_int (net)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_2_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.17    6.43 ^ mprj/u_wb_host/clkbuf_4_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    12    0.05                           mprj/u_wb_host/clknet_4_4_0_lbist_clk_int (net)
+                  0.09    0.00    6.43 ^ mprj/u_wb_host/_5763_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    6.53   clock uncertainty
+                         -0.46    6.06   clock reconvergence pessimism
+                         -0.03    6.04   library hold time
+                                  6.04   data required time
+-----------------------------------------------------------------------------
+                                  6.04   data required time
+                                 -6.43   data arrival time
+-----------------------------------------------------------------------------
+                                  0.39   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5781_
+            (rising edge-triggered flip-flop clocked by lbist_clk)
+Endpoint: mprj/u_wb_host/_5781_
+          (rising edge-triggered flip-flop clocked by lbist_clk)
+Path Group: lbist_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.11    0.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    0.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    0.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17    0.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    1.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    1.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    1.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    2.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    3.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    3.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    3.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    3.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    3.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    3.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    4.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13    4.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    4.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13    4.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    4.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25    5.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.32 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.55 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.55 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.69 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.31 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.43 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
-                  0.04    0.00    5.43 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.11    0.17    5.59 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.06                           mprj/u_wb_host/clknet_4_2_0_lbist_clk_int (net)
-                  0.11    0.00    5.59 ^ mprj/u_wb_host/_5785_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.07    0.35    5.94 ^ mprj/u_wb_host/_5785_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_wb_host/u_lbist.lbist_reg_rdata[30] (net)
-                  0.07    0.00    5.94 ^ mprj/u_wb_host/_4153_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    6.06 ^ mprj/u_wb_host/_4153_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0315_ (net)
-                  0.04    0.00    6.06 ^ mprj/u_wb_host/_5785_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  6.06   data arrival time
+                  0.06    0.00    5.69 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.81 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_0_0_lbist_clk_int (net)
+                  0.04    0.00    5.81 ^ mprj/u_wb_host/clkbuf_4_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15    5.96 ^ mprj/u_wb_host/clkbuf_4_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    11    0.04                           mprj/u_wb_host/clknet_4_1_0_lbist_clk_int (net)
+                  0.08    0.00    5.96 ^ mprj/u_wb_host/_5781_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.07    0.34    6.30 ^ mprj/u_wb_host/_5781_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_wb_host/u_lbist.u_reg.u_reg0_be0.gen_bit_reg[7].u_bit_reg.data_out (net)
+                  0.07    0.00    6.30 ^ mprj/u_wb_host/_4147_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    6.42 ^ mprj/u_wb_host/_4147_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0317_ (net)
+                  0.04    0.00    6.42 ^ mprj/u_wb_host/_5781_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  6.42   data arrival time
 
                           0.00    0.00   clock lbist_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -34913,124 +37502,154 @@
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
                   0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.72 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.72 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.11    0.18    6.03 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.06                           mprj/u_wb_host/clknet_4_2_0_lbist_clk_int (net)
-                  0.11    0.00    6.03 ^ mprj/u_wb_host/_5785_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    6.13   clock uncertainty
-                         -0.44    5.69   clock reconvergence pessimism
-                         -0.03    5.67   library hold time
-                                  5.67   data required time
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_0_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    6.42 ^ mprj/u_wb_host/clkbuf_4_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    11    0.04                           mprj/u_wb_host/clknet_4_1_0_lbist_clk_int (net)
+                  0.08    0.00    6.42 ^ mprj/u_wb_host/_5781_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    6.52   clock uncertainty
+                         -0.46    6.06   clock reconvergence pessimism
+                         -0.03    6.03   library hold time
+                                  6.03   data required time
 -----------------------------------------------------------------------------
-                                  5.67   data required time
-                                 -6.06   data arrival time
+                                  6.03   data required time
+                                 -6.42   data arrival time
 -----------------------------------------------------------------------------
                                   0.39   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_5770_
+Startpoint: mprj/u_wb_host/_5760_
             (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5770_
+Endpoint: mprj/u_wb_host/_5760_
           (rising edge-triggered flip-flop clocked by lbist_clk)
 Path Group: lbist_clk
 Path Type: min
@@ -35042,118 +37661,148 @@
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.11    0.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    0.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    0.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17    0.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    1.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    1.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    3.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    3.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    3.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    3.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    3.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    4.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13    4.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    4.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13    4.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    4.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25    5.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    5.30 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    5.32 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.55 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.55 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.69 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_2_1_0_lbist_clk_int (net)
-                  0.06    0.00    5.31 ^ mprj/u_wb_host/clkbuf_3_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.42 ^ mprj/u_wb_host/clkbuf_3_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    5.69 ^ mprj/u_wb_host/clkbuf_3_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.81 ^ mprj/u_wb_host/clkbuf_3_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      2    0.01                           mprj/u_wb_host/clknet_3_2_0_lbist_clk_int (net)
-                  0.04    0.00    5.42 ^ mprj/u_wb_host/clkbuf_4_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.15    5.58 ^ mprj/u_wb_host/clkbuf_4_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    12    0.05                           mprj/u_wb_host/clknet_4_5_0_lbist_clk_int (net)
-                  0.09    0.00    5.58 ^ mprj/u_wb_host/_5770_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.08    0.35    5.93 ^ mprj/u_wb_host/_5770_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_wb_host/u_lbist.lbist_reg_rdata[15] (net)
-                  0.08    0.00    5.93 ^ mprj/u_wb_host/_4123_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    6.05 ^ mprj/u_wb_host/_4123_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0300_ (net)
-                  0.04    0.00    6.05 ^ mprj/u_wb_host/_5770_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  6.05   data arrival time
+                  0.04    0.00    5.81 ^ mprj/u_wb_host/clkbuf_4_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.16    5.96 ^ mprj/u_wb_host/clkbuf_4_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    12    0.05                           mprj/u_wb_host/clknet_4_4_0_lbist_clk_int (net)
+                  0.09    0.00    5.96 ^ mprj/u_wb_host/_5760_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.35    6.31 ^ mprj/u_wb_host/_5760_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_wb_host/u_lbist.lbist_reg_rdata[11] (net)
+                  0.08    0.00    6.31 ^ mprj/u_wb_host/_4104_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    6.43 ^ mprj/u_wb_host/_4104_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0296_ (net)
+                  0.04    0.00    6.43 ^ mprj/u_wb_host/_5760_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  6.43   data arrival time
 
                           0.00    0.00   clock lbist_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -35161,124 +37810,154 @@
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
                   0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.72 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_2_1_0_lbist_clk_int (net)
-                  0.06    0.00    5.72 ^ mprj/u_wb_host/clkbuf_3_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      2    0.01                           mprj/u_wb_host/clknet_3_2_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.17    6.01 ^ mprj/u_wb_host/clkbuf_4_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    12    0.05                           mprj/u_wb_host/clknet_4_5_0_lbist_clk_int (net)
-                  0.09    0.00    6.02 ^ mprj/u_wb_host/_5770_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    6.12   clock uncertainty
-                         -0.43    5.68   clock reconvergence pessimism
-                         -0.03    5.65   library hold time
-                                  5.65   data required time
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.17    6.43 ^ mprj/u_wb_host/clkbuf_4_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    12    0.05                           mprj/u_wb_host/clknet_4_4_0_lbist_clk_int (net)
+                  0.09    0.00    6.43 ^ mprj/u_wb_host/_5760_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    6.53   clock uncertainty
+                         -0.46    6.06   clock reconvergence pessimism
+                         -0.03    6.04   library hold time
+                                  6.04   data required time
 -----------------------------------------------------------------------------
-                                  5.65   data required time
-                                 -6.05   data arrival time
+                                  6.04   data required time
+                                 -6.43   data arrival time
 -----------------------------------------------------------------------------
                                   0.39   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_5751_
+Startpoint: mprj/u_wb_host/_5743_
             (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5751_
+Endpoint: mprj/u_wb_host/_5743_
           (rising edge-triggered flip-flop clocked by lbist_clk)
 Path Group: lbist_clk
 Path Type: min
@@ -35290,118 +37969,148 @@
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.11    0.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    0.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    0.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17    0.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    1.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    1.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    3.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    3.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    3.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    3.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    3.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    4.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13    4.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    4.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13    4.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    4.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25    5.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.13    5.30 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    5.32 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12    5.55 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00    5.55 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.69 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.30 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.42 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    5.69 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.81 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      2    0.01                           mprj/u_wb_host/clknet_3_4_0_lbist_clk_int (net)
-                  0.04    0.00    5.42 ^ mprj/u_wb_host/clkbuf_4_9_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.19    5.61 ^ mprj/u_wb_host/clkbuf_4_9_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    32    0.08                           mprj/u_wb_host/clknet_4_9_0_lbist_clk_int (net)
-                  0.14    0.00    5.61 ^ mprj/u_wb_host/_5751_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.07    0.35    5.97 ^ mprj/u_wb_host/_5751_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_wb_host/u_lbist.u_reg.u_reg0_be0.gen_bit_reg[3].u_bit_reg.data_out (net)
-                  0.07    0.00    5.97 ^ mprj/u_wb_host/_4074_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    6.09 ^ mprj/u_wb_host/_4074_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0281_ (net)
-                  0.04    0.00    6.09 ^ mprj/u_wb_host/_5751_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  6.09   data arrival time
+                  0.04    0.00    5.81 ^ mprj/u_wb_host/clkbuf_4_9_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.10    0.16    5.97 ^ mprj/u_wb_host/clkbuf_4_9_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    15    0.05                           mprj/u_wb_host/clknet_4_9_0_lbist_clk_int (net)
+                  0.10    0.00    5.97 ^ mprj/u_wb_host/_5743_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.07    0.34    6.31 ^ mprj/u_wb_host/_5743_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_wb_host/u_lbist.u_reg.u_reg0_be0.gen_bit_reg[5].u_bit_reg.data_out (net)
+                  0.07    0.00    6.31 ^ mprj/u_wb_host/_4059_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    6.43 ^ mprj/u_wb_host/_4059_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0279_ (net)
+                  0.04    0.00    6.43 ^ mprj/u_wb_host/_5743_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  6.43   data arrival time
 
                           0.00    0.00   clock lbist_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -35409,117 +38118,455 @@
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
                   0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.71 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00    5.99 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.71 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      2    0.01                           mprj/u_wb_host/clknet_3_4_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_9_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.21    6.05 ^ mprj/u_wb_host/clkbuf_4_9_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    32    0.08                           mprj/u_wb_host/clknet_4_9_0_lbist_clk_int (net)
-                  0.14    0.00    6.05 ^ mprj/u_wb_host/_5751_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    6.15   clock uncertainty
-                         -0.44    5.72   clock reconvergence pessimism
-                         -0.02    5.69   library hold time
-                                  5.69   data required time
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_9_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.10    0.17    6.44 ^ mprj/u_wb_host/clkbuf_4_9_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    15    0.05                           mprj/u_wb_host/clknet_4_9_0_lbist_clk_int (net)
+                  0.10    0.00    6.44 ^ mprj/u_wb_host/_5743_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    6.54   clock uncertainty
+                         -0.47    6.07   clock reconvergence pessimism
+                         -0.03    6.04   library hold time
+                                  6.04   data required time
 -----------------------------------------------------------------------------
-                                  5.69   data required time
-                                 -6.09   data arrival time
+                                  6.04   data required time
+                                 -6.43   data arrival time
+-----------------------------------------------------------------------------
+                                  0.39   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5752_
+            (rising edge-triggered flip-flop clocked by lbist_clk)
+Endpoint: mprj/u_wb_host/_5752_
+          (rising edge-triggered flip-flop clocked by lbist_clk)
+Path Group: lbist_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.11    0.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    0.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    0.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17    0.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    1.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    1.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    1.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    2.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    3.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    3.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    3.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    3.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    3.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    3.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    4.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13    4.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    4.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13    4.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    4.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25    5.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.32 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.55 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.55 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.69 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
+                  0.06    0.00    5.69 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.81 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_0_0_lbist_clk_int (net)
+                  0.04    0.00    5.81 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.16    5.97 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.05                           mprj/u_wb_host/clknet_4_0_0_lbist_clk_int (net)
+                  0.09    0.00    5.97 ^ mprj/u_wb_host/_5752_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.07    0.34    6.31 ^ mprj/u_wb_host/_5752_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_wb_host/u_lbist.lbist_reg_rdata[3] (net)
+                  0.07    0.00    6.31 ^ mprj/u_wb_host/_4084_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    6.43 ^ mprj/u_wb_host/_4084_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0288_ (net)
+                  0.04    0.00    6.43 ^ mprj/u_wb_host/_5752_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  6.43   data arrival time
+
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_0_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.17    6.43 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.05                           mprj/u_wb_host/clknet_4_0_0_lbist_clk_int (net)
+                  0.09    0.00    6.43 ^ mprj/u_wb_host/_5752_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    6.53   clock uncertainty
+                         -0.46    6.07   clock reconvergence pessimism
+                         -0.03    6.04   library hold time
+                                  6.04   data required time
+-----------------------------------------------------------------------------
+                                  6.04   data required time
+                                 -6.43   data arrival time
 -----------------------------------------------------------------------------
                                   0.39   slack (MET)
 
@@ -35538,118 +38585,148 @@
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.11    0.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    0.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    0.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17    0.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    1.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    1.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    3.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    3.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    3.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    3.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    3.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    4.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13    4.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    4.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13    4.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    4.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25    5.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    5.31 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    5.32 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.55 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.55 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.69 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.31 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.43 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_0_0_lbist_clk_int (net)
-                  0.04    0.00    5.43 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.19    5.62 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    28    0.08                           mprj/u_wb_host/clknet_4_0_0_lbist_clk_int (net)
-                  0.14    0.00    5.62 ^ mprj/u_wb_host/_5773_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.07    0.36    5.97 ^ mprj/u_wb_host/_5773_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_wb_host/u_lbist.lbist_reg_rdata[18] (net)
-                  0.07    0.00    5.97 ^ mprj/u_wb_host/_4129_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    6.09 ^ mprj/u_wb_host/_4129_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0303_ (net)
-                  0.04    0.00    6.09 ^ mprj/u_wb_host/_5773_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  6.09   data arrival time
+                  0.06    0.00    5.69 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.81 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
+                  0.04    0.00    5.81 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.19    5.99 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.07                           mprj/u_wb_host/clknet_4_2_0_lbist_clk_int (net)
+                  0.14    0.00    5.99 ^ mprj/u_wb_host/_5773_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.07    0.35    6.35 ^ mprj/u_wb_host/_5773_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_wb_host/u_lbist.lbist_reg_rdata[24] (net)
+                  0.07    0.00    6.35 ^ mprj/u_wb_host/_4130_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    6.47 ^ mprj/u_wb_host/_4130_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0309_ (net)
+                  0.04    0.00    6.47 ^ mprj/u_wb_host/_5773_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  6.47   data arrival time
 
                           0.00    0.00   clock lbist_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -35657,124 +38734,154 @@
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
                   0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.72 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.72 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_0_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.20    6.05 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    28    0.08                           mprj/u_wb_host/clknet_4_0_0_lbist_clk_int (net)
-                  0.14    0.00    6.05 ^ mprj/u_wb_host/_5773_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    6.15   clock uncertainty
-                         -0.44    5.72   clock reconvergence pessimism
-                         -0.02    5.70   library hold time
-                                  5.70   data required time
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.20    6.46 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.07                           mprj/u_wb_host/clknet_4_2_0_lbist_clk_int (net)
+                  0.14    0.00    6.46 ^ mprj/u_wb_host/_5773_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    6.56   clock uncertainty
+                         -0.47    6.09   clock reconvergence pessimism
+                         -0.02    6.07   library hold time
+                                  6.07   data required time
 -----------------------------------------------------------------------------
-                                  5.70   data required time
-                                 -6.09   data arrival time
+                                  6.07   data required time
+                                 -6.47   data arrival time
 -----------------------------------------------------------------------------
                                   0.39   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_5787_
+Startpoint: mprj/u_wb_host/_5761_
             (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5787_
+Endpoint: mprj/u_wb_host/_5761_
           (rising edge-triggered flip-flop clocked by lbist_clk)
 Path Group: lbist_clk
 Path Type: min
@@ -35786,118 +38893,148 @@
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.11    0.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    0.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    0.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17    0.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    1.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    1.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    3.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    3.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    3.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    3.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    3.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    4.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13    4.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    4.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13    4.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    4.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25    5.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.13    5.30 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.30 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.42 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_4_0_lbist_clk_int (net)
-                  0.04    0.00    5.42 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.19    5.61 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.08                           mprj/u_wb_host/clknet_4_8_0_lbist_clk_int (net)
-                  0.14    0.00    5.62 ^ mprj/u_wb_host/_5787_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.06    0.35    5.97 ^ mprj/u_wb_host/_5787_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_wb_host/u_lbist.u_reg.u_reg0_be0.gen_bit_reg[7].u_bit_reg.data_out (net)
-                  0.06    0.00    5.97 ^ mprj/u_wb_host/_4158_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    6.09 ^ mprj/u_wb_host/_4158_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0317_ (net)
-                  0.04    0.00    6.09 ^ mprj/u_wb_host/_5787_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  6.09   data arrival time
+                  0.06    0.00    5.32 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.55 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.55 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.69 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_lbist_clk_int (net)
+                  0.06    0.00    5.69 ^ mprj/u_wb_host/clkbuf_3_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.81 ^ mprj/u_wb_host/clkbuf_3_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_2_0_lbist_clk_int (net)
+                  0.04    0.00    5.81 ^ mprj/u_wb_host/clkbuf_4_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.16    5.96 ^ mprj/u_wb_host/clkbuf_4_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    12    0.05                           mprj/u_wb_host/clknet_4_4_0_lbist_clk_int (net)
+                  0.09    0.00    5.96 ^ mprj/u_wb_host/_5761_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.35    6.31 ^ mprj/u_wb_host/_5761_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_wb_host/u_lbist.lbist_reg_rdata[12] (net)
+                  0.08    0.00    6.31 ^ mprj/u_wb_host/_4106_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    6.43 ^ mprj/u_wb_host/_4106_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0297_ (net)
+                  0.04    0.00    6.43 ^ mprj/u_wb_host/_5761_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  6.43   data arrival time
 
                           0.00    0.00   clock lbist_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -35905,124 +39042,462 @@
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
                   0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.71 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.71 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_4_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.21    6.05 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.08                           mprj/u_wb_host/clknet_4_8_0_lbist_clk_int (net)
-                  0.14    0.00    6.05 ^ mprj/u_wb_host/_5787_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    6.15   clock uncertainty
-                         -0.44    5.72   clock reconvergence pessimism
-                         -0.02    5.69   library hold time
-                                  5.69   data required time
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_lbist_clk_int (net)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_2_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.17    6.43 ^ mprj/u_wb_host/clkbuf_4_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    12    0.05                           mprj/u_wb_host/clknet_4_4_0_lbist_clk_int (net)
+                  0.09    0.00    6.43 ^ mprj/u_wb_host/_5761_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    6.53   clock uncertainty
+                         -0.46    6.06   clock reconvergence pessimism
+                         -0.03    6.04   library hold time
+                                  6.04   data required time
 -----------------------------------------------------------------------------
-                                  5.69   data required time
-                                 -6.09   data arrival time
+                                  6.04   data required time
+                                 -6.43   data arrival time
+-----------------------------------------------------------------------------
+                                  0.39   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5767_
+            (rising edge-triggered flip-flop clocked by lbist_clk)
+Endpoint: mprj/u_wb_host/_5767_
+          (rising edge-triggered flip-flop clocked by lbist_clk)
+Path Group: lbist_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.11    0.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    0.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    0.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17    0.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    1.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    1.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    1.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    2.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    3.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    3.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    3.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    3.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    3.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    3.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    4.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13    4.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    4.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13    4.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    4.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25    5.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.32 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.55 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.55 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.69 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
+                  0.06    0.00    5.69 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.81 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_0_0_lbist_clk_int (net)
+                  0.04    0.00    5.81 ^ mprj/u_wb_host/clkbuf_4_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15    5.96 ^ mprj/u_wb_host/clkbuf_4_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    11    0.04                           mprj/u_wb_host/clknet_4_1_0_lbist_clk_int (net)
+                  0.08    0.00    5.96 ^ mprj/u_wb_host/_5767_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.35    6.31 ^ mprj/u_wb_host/_5767_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_wb_host/u_lbist.lbist_reg_rdata[18] (net)
+                  0.08    0.00    6.31 ^ mprj/u_wb_host/_4118_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    6.43 ^ mprj/u_wb_host/_4118_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0303_ (net)
+                  0.04    0.00    6.43 ^ mprj/u_wb_host/_5767_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  6.43   data arrival time
+
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_0_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    6.42 ^ mprj/u_wb_host/clkbuf_4_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    11    0.04                           mprj/u_wb_host/clknet_4_1_0_lbist_clk_int (net)
+                  0.08    0.00    6.42 ^ mprj/u_wb_host/_5767_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    6.52   clock uncertainty
+                         -0.46    6.06   clock reconvergence pessimism
+                         -0.03    6.03   library hold time
+                                  6.03   data required time
+-----------------------------------------------------------------------------
+                                  6.03   data required time
+                                 -6.43   data arrival time
 -----------------------------------------------------------------------------
                                   0.40   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_5749_
+Startpoint: mprj/u_wb_host/_5759_
             (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5749_
+Endpoint: mprj/u_wb_host/_5759_
           (rising edge-triggered flip-flop clocked by lbist_clk)
 Path Group: lbist_clk
 Path Type: min
@@ -36034,118 +39509,148 @@
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.11    0.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    0.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    0.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17    0.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    1.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    1.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    3.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    3.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    3.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    3.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    3.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    4.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13    4.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    4.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13    4.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    4.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25    5.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.13    5.30 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.30 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.42 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_4_0_lbist_clk_int (net)
-                  0.04    0.00    5.42 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.19    5.61 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.08                           mprj/u_wb_host/clknet_4_8_0_lbist_clk_int (net)
-                  0.14    0.00    5.61 ^ mprj/u_wb_host/_5749_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.07    0.36    5.97 ^ mprj/u_wb_host/_5749_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_wb_host/u_lbist.u_reg.u_reg0_be0.gen_bit_reg[5].u_bit_reg.data_out (net)
-                  0.07    0.00    5.97 ^ mprj/u_wb_host/_4070_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    6.09 ^ mprj/u_wb_host/_4070_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0279_ (net)
-                  0.04    0.00    6.09 ^ mprj/u_wb_host/_5749_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  6.09   data arrival time
+                  0.06    0.00    5.32 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.55 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.55 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.69 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_lbist_clk_int (net)
+                  0.06    0.00    5.69 ^ mprj/u_wb_host/clkbuf_3_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.81 ^ mprj/u_wb_host/clkbuf_3_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_2_0_lbist_clk_int (net)
+                  0.04    0.00    5.81 ^ mprj/u_wb_host/clkbuf_4_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.10    0.16    5.97 ^ mprj/u_wb_host/clkbuf_4_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.05                           mprj/u_wb_host/clknet_4_5_0_lbist_clk_int (net)
+                  0.10    0.00    5.97 ^ mprj/u_wb_host/_5759_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.35    6.33 ^ mprj/u_wb_host/_5759_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_wb_host/u_lbist.lbist_reg_rdata[10] (net)
+                  0.08    0.00    6.33 ^ mprj/u_wb_host/_4102_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    6.45 ^ mprj/u_wb_host/_4102_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0295_ (net)
+                  0.04    0.00    6.45 ^ mprj/u_wb_host/_5759_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  6.45   data arrival time
 
                           0.00    0.00   clock lbist_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -36153,117 +39658,1687 @@
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
                   0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.71 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.71 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_4_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.21    6.05 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.08                           mprj/u_wb_host/clknet_4_8_0_lbist_clk_int (net)
-                  0.14    0.00    6.05 ^ mprj/u_wb_host/_5749_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    6.15   clock uncertainty
-                         -0.44    5.71   clock reconvergence pessimism
-                         -0.02    5.69   library hold time
-                                  5.69   data required time
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_lbist_clk_int (net)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_2_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.10    0.18    6.44 ^ mprj/u_wb_host/clkbuf_4_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.05                           mprj/u_wb_host/clknet_4_5_0_lbist_clk_int (net)
+                  0.10    0.00    6.44 ^ mprj/u_wb_host/_5759_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    6.54   clock uncertainty
+                         -0.47    6.07   clock reconvergence pessimism
+                         -0.03    6.05   library hold time
+                                  6.05   data required time
 -----------------------------------------------------------------------------
-                                  5.69   data required time
-                                 -6.09   data arrival time
+                                  6.05   data required time
+                                 -6.45   data arrival time
+-----------------------------------------------------------------------------
+                                  0.40   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5770_
+            (rising edge-triggered flip-flop clocked by lbist_clk)
+Endpoint: mprj/u_wb_host/_5770_
+          (rising edge-triggered flip-flop clocked by lbist_clk)
+Path Group: lbist_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.11    0.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    0.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    0.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17    0.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    1.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    1.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    1.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    2.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    3.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    3.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    3.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    3.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    3.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    3.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    4.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13    4.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    4.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13    4.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    4.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25    5.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.32 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.55 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.55 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.69 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
+                  0.06    0.00    5.69 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.81 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
+                  0.04    0.00    5.81 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.19    5.99 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.07                           mprj/u_wb_host/clknet_4_2_0_lbist_clk_int (net)
+                  0.14    0.00    5.99 ^ mprj/u_wb_host/_5770_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.07    0.36    6.35 ^ mprj/u_wb_host/_5770_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_wb_host/u_lbist.lbist_reg_rdata[21] (net)
+                  0.07    0.00    6.35 ^ mprj/u_wb_host/_4124_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    6.47 ^ mprj/u_wb_host/_4124_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0306_ (net)
+                  0.04    0.00    6.47 ^ mprj/u_wb_host/_5770_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  6.47   data arrival time
+
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.20    6.46 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.07                           mprj/u_wb_host/clknet_4_2_0_lbist_clk_int (net)
+                  0.14    0.00    6.46 ^ mprj/u_wb_host/_5770_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    6.56   clock uncertainty
+                         -0.47    6.09   clock reconvergence pessimism
+                         -0.02    6.07   library hold time
+                                  6.07   data required time
+-----------------------------------------------------------------------------
+                                  6.07   data required time
+                                 -6.47   data arrival time
+-----------------------------------------------------------------------------
+                                  0.40   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5766_
+            (rising edge-triggered flip-flop clocked by lbist_clk)
+Endpoint: mprj/u_wb_host/_5766_
+          (rising edge-triggered flip-flop clocked by lbist_clk)
+Path Group: lbist_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.11    0.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    0.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    0.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17    0.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    1.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    1.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    1.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    2.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    3.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    3.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    3.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    3.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    3.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    3.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    4.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13    4.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    4.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13    4.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    4.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25    5.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.32 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.55 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.55 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.69 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
+                  0.06    0.00    5.69 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.81 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_0_0_lbist_clk_int (net)
+                  0.04    0.00    5.81 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.16    5.97 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.05                           mprj/u_wb_host/clknet_4_0_0_lbist_clk_int (net)
+                  0.09    0.00    5.97 ^ mprj/u_wb_host/_5766_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.35    6.32 ^ mprj/u_wb_host/_5766_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_wb_host/u_lbist.lbist_reg_rdata[17] (net)
+                  0.08    0.00    6.32 ^ mprj/u_wb_host/_4116_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    6.44 ^ mprj/u_wb_host/_4116_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0302_ (net)
+                  0.04    0.00    6.44 ^ mprj/u_wb_host/_5766_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  6.44   data arrival time
+
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_0_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.17    6.43 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.05                           mprj/u_wb_host/clknet_4_0_0_lbist_clk_int (net)
+                  0.09    0.00    6.43 ^ mprj/u_wb_host/_5766_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    6.53   clock uncertainty
+                         -0.46    6.07   clock reconvergence pessimism
+                         -0.03    6.04   library hold time
+                                  6.04   data required time
+-----------------------------------------------------------------------------
+                                  6.04   data required time
+                                 -6.44   data arrival time
+-----------------------------------------------------------------------------
+                                  0.40   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5757_
+            (rising edge-triggered flip-flop clocked by lbist_clk)
+Endpoint: mprj/u_wb_host/_5757_
+          (rising edge-triggered flip-flop clocked by lbist_clk)
+Path Group: lbist_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.11    0.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    0.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    0.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17    0.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    1.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    1.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    1.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    2.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    3.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    3.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    3.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    3.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    3.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    3.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    4.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13    4.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    4.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13    4.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    4.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25    5.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.32 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.55 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.55 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.69 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_lbist_clk_int (net)
+                  0.06    0.00    5.69 ^ mprj/u_wb_host/clkbuf_3_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.81 ^ mprj/u_wb_host/clkbuf_3_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_2_0_lbist_clk_int (net)
+                  0.04    0.00    5.81 ^ mprj/u_wb_host/clkbuf_4_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.16    5.96 ^ mprj/u_wb_host/clkbuf_4_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    12    0.05                           mprj/u_wb_host/clknet_4_4_0_lbist_clk_int (net)
+                  0.09    0.00    5.96 ^ mprj/u_wb_host/_5757_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.35    6.31 ^ mprj/u_wb_host/_5757_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_wb_host/u_lbist.lbist_reg_rdata[8] (net)
+                  0.08    0.00    6.31 ^ mprj/u_wb_host/_4098_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    6.44 ^ mprj/u_wb_host/_4098_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0293_ (net)
+                  0.05    0.00    6.44 ^ mprj/u_wb_host/_5757_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  6.44   data arrival time
+
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_lbist_clk_int (net)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_2_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.17    6.43 ^ mprj/u_wb_host/clkbuf_4_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    12    0.05                           mprj/u_wb_host/clknet_4_4_0_lbist_clk_int (net)
+                  0.09    0.00    6.43 ^ mprj/u_wb_host/_5757_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    6.53   clock uncertainty
+                         -0.46    6.06   clock reconvergence pessimism
+                         -0.03    6.03   library hold time
+                                  6.03   data required time
+-----------------------------------------------------------------------------
+                                  6.03   data required time
+                                 -6.44   data arrival time
+-----------------------------------------------------------------------------
+                                  0.40   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5769_
+            (rising edge-triggered flip-flop clocked by lbist_clk)
+Endpoint: mprj/u_wb_host/_5769_
+          (rising edge-triggered flip-flop clocked by lbist_clk)
+Path Group: lbist_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.11    0.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    0.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    0.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17    0.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    1.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    1.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    1.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    2.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    3.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    3.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    3.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    3.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    3.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    3.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    4.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13    4.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    4.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13    4.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    4.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25    5.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.32 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.55 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.55 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.69 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
+                  0.06    0.00    5.69 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.81 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
+                  0.04    0.00    5.81 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.19    5.99 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.07                           mprj/u_wb_host/clknet_4_2_0_lbist_clk_int (net)
+                  0.14    0.00    5.99 ^ mprj/u_wb_host/_5769_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.36    6.36 ^ mprj/u_wb_host/_5769_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_wb_host/u_lbist.lbist_reg_rdata[20] (net)
+                  0.08    0.00    6.36 ^ mprj/u_wb_host/_4122_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    6.47 ^ mprj/u_wb_host/_4122_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0305_ (net)
+                  0.04    0.00    6.47 ^ mprj/u_wb_host/_5769_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  6.47   data arrival time
+
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.20    6.46 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.07                           mprj/u_wb_host/clknet_4_2_0_lbist_clk_int (net)
+                  0.14    0.00    6.46 ^ mprj/u_wb_host/_5769_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    6.56   clock uncertainty
+                         -0.47    6.09   clock reconvergence pessimism
+                         -0.02    6.07   library hold time
+                                  6.07   data required time
+-----------------------------------------------------------------------------
+                                  6.07   data required time
+                                 -6.47   data arrival time
+-----------------------------------------------------------------------------
+                                  0.40   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5745_
+            (rising edge-triggered flip-flop clocked by lbist_clk)
+Endpoint: mprj/u_wb_host/_5745_
+          (rising edge-triggered flip-flop clocked by lbist_clk)
+Path Group: lbist_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.11    0.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    0.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    0.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17    0.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    1.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    1.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    1.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    2.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    3.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    3.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    3.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    3.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    3.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    3.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    4.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13    4.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    4.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13    4.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    4.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25    5.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.32 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.55 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.55 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.69 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
+                  0.06    0.00    5.69 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.81 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
+                  0.04    0.00    5.81 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.18    5.99 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_3_0_lbist_clk_int (net)
+                  0.12    0.00    5.99 ^ mprj/u_wb_host/_5745_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.07    0.35    6.34 ^ mprj/u_wb_host/_5745_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_wb_host/u_lbist.u_reg.u_reg0_be0.gen_bit_reg[3].u_bit_reg.data_out (net)
+                  0.07    0.00    6.34 ^ mprj/u_wb_host/_4063_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    6.46 ^ mprj/u_wb_host/_4063_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0281_ (net)
+                  0.05    0.00    6.46 ^ mprj/u_wb_host/_5745_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  6.46   data arrival time
+
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    6.45 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_3_0_lbist_clk_int (net)
+                  0.12    0.00    6.45 ^ mprj/u_wb_host/_5745_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    6.55   clock uncertainty
+                         -0.47    6.09   clock reconvergence pessimism
+                         -0.02    6.06   library hold time
+                                  6.06   data required time
+-----------------------------------------------------------------------------
+                                  6.06   data required time
+                                 -6.46   data arrival time
 -----------------------------------------------------------------------------
                                   0.40   slack (MET)
 
@@ -36282,118 +41357,148 @@
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.11    0.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    0.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    0.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17    0.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    1.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    1.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    3.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    3.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    3.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    3.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    3.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    4.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13    4.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    4.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13    4.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    4.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25    5.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    5.31 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    5.32 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.55 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.55 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.69 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.31 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.43 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_0_0_lbist_clk_int (net)
-                  0.04    0.00    5.43 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.19    5.62 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    28    0.08                           mprj/u_wb_host/clknet_4_0_0_lbist_clk_int (net)
-                  0.14    0.00    5.62 ^ mprj/u_wb_host/_5772_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.08    0.36    5.98 ^ mprj/u_wb_host/_5772_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_wb_host/u_lbist.lbist_reg_rdata[17] (net)
-                  0.08    0.00    5.98 ^ mprj/u_wb_host/_4127_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    6.09 ^ mprj/u_wb_host/_4127_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0302_ (net)
-                  0.04    0.00    6.09 ^ mprj/u_wb_host/_5772_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  6.09   data arrival time
+                  0.06    0.00    5.69 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.81 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
+                  0.04    0.00    5.81 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.19    5.99 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.07                           mprj/u_wb_host/clknet_4_2_0_lbist_clk_int (net)
+                  0.14    0.00    5.99 ^ mprj/u_wb_host/_5772_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.07    0.36    6.35 ^ mprj/u_wb_host/_5772_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_wb_host/u_lbist.lbist_reg_rdata[23] (net)
+                  0.07    0.00    6.35 ^ mprj/u_wb_host/_4128_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    6.48 ^ mprj/u_wb_host/_4128_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0308_ (net)
+                  0.05    0.00    6.48 ^ mprj/u_wb_host/_5772_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  6.48   data arrival time
 
                           0.00    0.00   clock lbist_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -36401,124 +41506,154 @@
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
                   0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.72 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.72 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_0_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.20    6.05 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    28    0.08                           mprj/u_wb_host/clknet_4_0_0_lbist_clk_int (net)
-                  0.14    0.00    6.05 ^ mprj/u_wb_host/_5772_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    6.15   clock uncertainty
-                         -0.44    5.72   clock reconvergence pessimism
-                         -0.02    5.70   library hold time
-                                  5.70   data required time
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.20    6.46 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.07                           mprj/u_wb_host/clknet_4_2_0_lbist_clk_int (net)
+                  0.14    0.00    6.46 ^ mprj/u_wb_host/_5772_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    6.56   clock uncertainty
+                         -0.47    6.09   clock reconvergence pessimism
+                         -0.02    6.07   library hold time
+                                  6.07   data required time
 -----------------------------------------------------------------------------
-                                  5.70   data required time
-                                 -6.09   data arrival time
+                                  6.07   data required time
+                                 -6.48   data arrival time
 -----------------------------------------------------------------------------
                                   0.40   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_5909_
+Startpoint: mprj/u_wb_host/_5742_
             (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5909_
+Endpoint: mprj/u_wb_host/_5742_
           (rising edge-triggered flip-flop clocked by lbist_clk)
 Path Group: lbist_clk
 Path Type: min
@@ -36530,1606 +41665,148 @@
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.11    0.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    0.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    0.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17    0.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    1.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    1.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    3.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    3.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    3.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    3.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    3.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    4.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13    4.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    4.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13    4.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    4.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25    5.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    5.31 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.31 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.43 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
-                  0.04    0.00    5.43 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.11    0.17    5.59 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.06                           mprj/u_wb_host/clknet_4_2_0_lbist_clk_int (net)
-                  0.11    0.00    5.59 ^ mprj/u_wb_host/_5909_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.08    0.35    5.95 ^ mprj/u_wb_host/_5909_/Q (sky130_fd_sc_hd__dfrtp_1)
-     3    0.01                           mprj/u_wb_host/u_lbist.cfg_lbist_pat[9] (net)
-                  0.08    0.00    5.95 ^ mprj/u_wb_host/_4337_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    6.07 ^ mprj/u_wb_host/_4337_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0431_ (net)
-                  0.04    0.00    6.07 ^ mprj/u_wb_host/_5909_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  6.07   data arrival time
-
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.72 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.72 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.11    0.18    6.03 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.06                           mprj/u_wb_host/clknet_4_2_0_lbist_clk_int (net)
-                  0.11    0.00    6.03 ^ mprj/u_wb_host/_5909_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    6.13   clock uncertainty
-                         -0.44    5.69   clock reconvergence pessimism
-                         -0.03    5.67   library hold time
-                                  5.67   data required time
------------------------------------------------------------------------------
-                                  5.67   data required time
-                                 -6.07   data arrival time
------------------------------------------------------------------------------
-                                  0.40   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5781_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5781_
-          (rising edge-triggered flip-flop clocked by lbist_clk)
-Path Group: lbist_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    5.31 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.31 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.43 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
-                  0.04    0.00    5.43 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.11    0.17    5.59 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.06                           mprj/u_wb_host/clknet_4_2_0_lbist_clk_int (net)
-                  0.11    0.00    5.59 ^ mprj/u_wb_host/_5781_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.08    0.35    5.95 ^ mprj/u_wb_host/_5781_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_wb_host/u_lbist.lbist_reg_rdata[26] (net)
-                  0.08    0.00    5.95 ^ mprj/u_wb_host/_4145_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    6.07 ^ mprj/u_wb_host/_4145_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0311_ (net)
-                  0.04    0.00    6.07 ^ mprj/u_wb_host/_5781_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  6.07   data arrival time
-
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.72 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.72 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.11    0.18    6.03 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.06                           mprj/u_wb_host/clknet_4_2_0_lbist_clk_int (net)
-                  0.11    0.00    6.03 ^ mprj/u_wb_host/_5781_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    6.13   clock uncertainty
-                         -0.44    5.69   clock reconvergence pessimism
-                         -0.03    5.67   library hold time
-                                  5.67   data required time
------------------------------------------------------------------------------
-                                  5.67   data required time
-                                 -6.07   data arrival time
------------------------------------------------------------------------------
-                                  0.40   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5750_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5750_
-          (rising edge-triggered flip-flop clocked by lbist_clk)
-Path Group: lbist_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.13    5.30 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.30 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.42 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_4_0_lbist_clk_int (net)
-                  0.04    0.00    5.42 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.19    5.61 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.08                           mprj/u_wb_host/clknet_4_8_0_lbist_clk_int (net)
-                  0.14    0.00    5.62 ^ mprj/u_wb_host/_5750_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.07    0.36    5.97 ^ mprj/u_wb_host/_5750_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_wb_host/u_lbist.u_reg.u_reg0_be0.gen_bit_reg[4].u_bit_reg.data_out (net)
-                  0.07    0.00    5.97 ^ mprj/u_wb_host/_4072_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    6.09 ^ mprj/u_wb_host/_4072_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0280_ (net)
-                  0.04    0.00    6.09 ^ mprj/u_wb_host/_5750_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  6.09   data arrival time
-
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.71 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.71 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_4_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.21    6.05 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.08                           mprj/u_wb_host/clknet_4_8_0_lbist_clk_int (net)
-                  0.14    0.00    6.05 ^ mprj/u_wb_host/_5750_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    6.15   clock uncertainty
-                         -0.44    5.72   clock reconvergence pessimism
-                         -0.02    5.69   library hold time
-                                  5.69   data required time
------------------------------------------------------------------------------
-                                  5.69   data required time
-                                 -6.09   data arrival time
------------------------------------------------------------------------------
-                                  0.40   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5763_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5763_
-          (rising edge-triggered flip-flop clocked by lbist_clk)
-Path Group: lbist_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    5.30 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    5.32 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.55 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.55 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.69 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_2_1_0_lbist_clk_int (net)
-                  0.06    0.00    5.31 ^ mprj/u_wb_host/clkbuf_3_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.42 ^ mprj/u_wb_host/clkbuf_3_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    5.69 ^ mprj/u_wb_host/clkbuf_3_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.81 ^ mprj/u_wb_host/clkbuf_3_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      2    0.01                           mprj/u_wb_host/clknet_3_2_0_lbist_clk_int (net)
-                  0.04    0.00    5.42 ^ mprj/u_wb_host/clkbuf_4_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.15    5.58 ^ mprj/u_wb_host/clkbuf_4_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    12    0.05                           mprj/u_wb_host/clknet_4_5_0_lbist_clk_int (net)
-                  0.09    0.00    5.58 ^ mprj/u_wb_host/_5763_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.08    0.35    5.93 ^ mprj/u_wb_host/_5763_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_wb_host/u_lbist.lbist_reg_rdata[8] (net)
-                  0.08    0.00    5.93 ^ mprj/u_wb_host/_4109_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    6.06 ^ mprj/u_wb_host/_4109_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0293_ (net)
-                  0.04    0.00    6.06 ^ mprj/u_wb_host/_5763_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  6.06   data arrival time
-
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.72 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_1_0_lbist_clk_int (net)
-                  0.06    0.00    5.72 ^ mprj/u_wb_host/clkbuf_3_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_2_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.17    6.01 ^ mprj/u_wb_host/clkbuf_4_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    12    0.05                           mprj/u_wb_host/clknet_4_5_0_lbist_clk_int (net)
-                  0.09    0.00    6.02 ^ mprj/u_wb_host/_5763_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    6.12   clock uncertainty
-                         -0.43    5.68   clock reconvergence pessimism
-                         -0.03    5.65   library hold time
-                                  5.65   data required time
------------------------------------------------------------------------------
-                                  5.65   data required time
-                                 -6.06   data arrival time
------------------------------------------------------------------------------
-                                  0.40   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5775_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5775_
-          (rising edge-triggered flip-flop clocked by lbist_clk)
-Path Group: lbist_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    5.31 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.31 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.43 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_0_0_lbist_clk_int (net)
-                  0.04    0.00    5.43 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.19    5.62 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    28    0.08                           mprj/u_wb_host/clknet_4_0_0_lbist_clk_int (net)
-                  0.14    0.00    5.62 ^ mprj/u_wb_host/_5775_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.07    0.36    5.97 ^ mprj/u_wb_host/_5775_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_wb_host/u_lbist.lbist_reg_rdata[20] (net)
-                  0.07    0.00    5.97 ^ mprj/u_wb_host/_4133_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    6.10 ^ mprj/u_wb_host/_4133_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0305_ (net)
-                  0.05    0.00    6.10 ^ mprj/u_wb_host/_5775_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  6.10   data arrival time
-
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.72 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.72 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_0_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.20    6.05 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    28    0.08                           mprj/u_wb_host/clknet_4_0_0_lbist_clk_int (net)
-                  0.14    0.00    6.05 ^ mprj/u_wb_host/_5775_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    6.15   clock uncertainty
-                         -0.44    5.72   clock reconvergence pessimism
-                         -0.02    5.69   library hold time
-                                  5.69   data required time
------------------------------------------------------------------------------
-                                  5.69   data required time
-                                 -6.10   data arrival time
------------------------------------------------------------------------------
-                                  0.40   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5776_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5776_
-          (rising edge-triggered flip-flop clocked by lbist_clk)
-Path Group: lbist_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    5.31 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.31 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.43 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_0_0_lbist_clk_int (net)
-                  0.04    0.00    5.43 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.19    5.62 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    28    0.08                           mprj/u_wb_host/clknet_4_0_0_lbist_clk_int (net)
-                  0.14    0.00    5.62 ^ mprj/u_wb_host/_5776_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.08    0.36    5.98 ^ mprj/u_wb_host/_5776_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_wb_host/u_lbist.lbist_reg_rdata[21] (net)
-                  0.08    0.00    5.98 ^ mprj/u_wb_host/_4135_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    6.10 ^ mprj/u_wb_host/_4135_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0306_ (net)
-                  0.04    0.00    6.10 ^ mprj/u_wb_host/_5776_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  6.10   data arrival time
-
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.72 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.72 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_0_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.20    6.05 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    28    0.08                           mprj/u_wb_host/clknet_4_0_0_lbist_clk_int (net)
-                  0.14    0.00    6.06 ^ mprj/u_wb_host/_5776_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    6.16   clock uncertainty
-                         -0.44    5.72   clock reconvergence pessimism
-                         -0.02    5.70   library hold time
-                                  5.70   data required time
------------------------------------------------------------------------------
-                                  5.70   data required time
-                                 -6.10   data arrival time
------------------------------------------------------------------------------
-                                  0.40   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5748_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5748_
-          (rising edge-triggered flip-flop clocked by lbist_clk)
-Path Group: lbist_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    5.30 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_1_0_lbist_clk_int (net)
-                  0.06    0.00    5.31 ^ mprj/u_wb_host/clkbuf_3_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.42 ^ mprj/u_wb_host/clkbuf_3_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_2_0_lbist_clk_int (net)
-                  0.04    0.00    5.42 ^ mprj/u_wb_host/clkbuf_4_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.10    0.16    5.59 ^ mprj/u_wb_host/clkbuf_4_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.05                           mprj/u_wb_host/clknet_4_4_0_lbist_clk_int (net)
-                  0.10    0.00    5.59 ^ mprj/u_wb_host/_5748_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.08    0.35    5.94 ^ mprj/u_wb_host/_5748_/Q (sky130_fd_sc_hd__dfrtp_1)
+                  0.04    0.00    5.81 ^ mprj/u_wb_host/clkbuf_4_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.16    5.96 ^ mprj/u_wb_host/clkbuf_4_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    12    0.05                           mprj/u_wb_host/clknet_4_4_0_lbist_clk_int (net)
+                  0.09    0.00    5.96 ^ mprj/u_wb_host/_5742_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.35    6.32 ^ mprj/u_wb_host/_5742_/Q (sky130_fd_sc_hd__dfrtp_1)
      2    0.01                           mprj/u_wb_host/u_lbist.u_reg.u_reg0_be0.gen_bit_reg[6].u_bit_reg.data_out (net)
-                  0.08    0.00    5.94 ^ mprj/u_wb_host/_4068_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    6.06 ^ mprj/u_wb_host/_4068_/X (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.00    6.32 ^ mprj/u_wb_host/_4057_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.13    6.44 ^ mprj/u_wb_host/_4057_/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_wb_host/_0278_ (net)
-                  0.05    0.00    6.06 ^ mprj/u_wb_host/_5748_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  6.06   data arrival time
+                  0.04    0.00    6.44 ^ mprj/u_wb_host/_5742_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  6.44   data arrival time
 
                           0.00    0.00   clock lbist_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -38137,124 +41814,154 @@
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
                   0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.72 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_2_1_0_lbist_clk_int (net)
-                  0.06    0.00    5.72 ^ mprj/u_wb_host/clkbuf_3_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      2    0.01                           mprj/u_wb_host/clknet_3_2_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.10    0.17    6.02 ^ mprj/u_wb_host/clkbuf_4_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.05                           mprj/u_wb_host/clknet_4_4_0_lbist_clk_int (net)
-                  0.10    0.00    6.02 ^ mprj/u_wb_host/_5748_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    6.12   clock uncertainty
-                         -0.44    5.69   clock reconvergence pessimism
-                         -0.03    5.66   library hold time
-                                  5.66   data required time
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.17    6.43 ^ mprj/u_wb_host/clkbuf_4_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    12    0.05                           mprj/u_wb_host/clknet_4_4_0_lbist_clk_int (net)
+                  0.09    0.00    6.43 ^ mprj/u_wb_host/_5742_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    6.53   clock uncertainty
+                         -0.46    6.06   clock reconvergence pessimism
+                         -0.03    6.04   library hold time
+                                  6.04   data required time
 -----------------------------------------------------------------------------
-                                  5.66   data required time
-                                 -6.06   data arrival time
+                                  6.04   data required time
+                                 -6.44   data arrival time
 -----------------------------------------------------------------------------
-                                  0.40   slack (MET)
+                                  0.41   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_5780_
+Startpoint: mprj/u_wb_host/_5838_
             (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5780_
+Endpoint: mprj/u_wb_host/_5838_
           (rising edge-triggered flip-flop clocked by lbist_clk)
 Path Group: lbist_clk
 Path Type: min
@@ -38266,118 +41973,148 @@
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.11    0.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    0.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    0.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17    0.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    1.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    1.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    3.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    3.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    3.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    3.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    3.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    4.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13    4.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    4.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13    4.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    4.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25    5.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    5.31 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.31 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.43 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
-                  0.04    0.00    5.43 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.11    0.17    5.59 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.06                           mprj/u_wb_host/clknet_4_2_0_lbist_clk_int (net)
-                  0.11    0.00    5.59 ^ mprj/u_wb_host/_5780_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.09    0.36    5.95 ^ mprj/u_wb_host/_5780_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_wb_host/u_lbist.lbist_reg_rdata[25] (net)
-                  0.09    0.00    5.95 ^ mprj/u_wb_host/_4143_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    6.07 ^ mprj/u_wb_host/_4143_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0310_ (net)
-                  0.04    0.00    6.07 ^ mprj/u_wb_host/_5780_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  6.07   data arrival time
+                  0.06    0.00    5.32 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.55 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.55 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.69 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_lbist_clk_int (net)
+                  0.06    0.00    5.69 ^ mprj/u_wb_host/clkbuf_3_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.80 ^ mprj/u_wb_host/clkbuf_3_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_3_0_lbist_clk_int (net)
+                  0.04    0.00    5.80 ^ mprj/u_wb_host/clkbuf_4_6_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.14    5.94 ^ mprj/u_wb_host/clkbuf_4_6_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.03                           mprj/u_wb_host/clknet_4_6_0_lbist_clk_int (net)
+                  0.07    0.00    5.94 ^ mprj/u_wb_host/_5838_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.11    0.37    6.31 ^ mprj/u_wb_host/_5838_/Q (sky130_fd_sc_hd__dfrtp_1)
+     3    0.01                           mprj/u_wb_host/u_lbist.lbist_reg_cs (net)
+                  0.11    0.00    6.31 ^ mprj/u_wb_host/_4233_/A1 (sky130_fd_sc_hd__a21bo_1)
+                  0.04    0.11    6.42 ^ mprj/u_wb_host/_4233_/X (sky130_fd_sc_hd__a21bo_1)
+     1    0.00                           mprj/u_wb_host/_0370_ (net)
+                  0.04    0.00    6.42 ^ mprj/u_wb_host/_5838_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  6.42   data arrival time
 
                           0.00    0.00   clock lbist_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -38385,124 +42122,154 @@
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
                   0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.72 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.72 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.11    0.18    6.03 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.06                           mprj/u_wb_host/clknet_4_2_0_lbist_clk_int (net)
-                  0.11    0.00    6.03 ^ mprj/u_wb_host/_5780_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    6.13   clock uncertainty
-                         -0.44    5.69   clock reconvergence pessimism
-                         -0.02    5.67   library hold time
-                                  5.67   data required time
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_lbist_clk_int (net)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_3_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_6_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15    6.41 ^ mprj/u_wb_host/clkbuf_4_6_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.03                           mprj/u_wb_host/clknet_4_6_0_lbist_clk_int (net)
+                  0.07    0.00    6.41 ^ mprj/u_wb_host/_5838_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    6.51   clock uncertainty
+                         -0.46    6.04   clock reconvergence pessimism
+                         -0.03    6.01   library hold time
+                                  6.01   data required time
 -----------------------------------------------------------------------------
-                                  5.67   data required time
-                                 -6.07   data arrival time
+                                  6.01   data required time
+                                 -6.42   data arrival time
 -----------------------------------------------------------------------------
-                                  0.40   slack (MET)
+                                  0.41   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_5779_
+Startpoint: mprj/u_wb_host/_6525_
             (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5779_
+Endpoint: mprj/u_wb_host/_6525_
           (rising edge-triggered flip-flop clocked by lbist_clk)
 Path Group: lbist_clk
 Path Type: min
@@ -38514,118 +42281,148 @@
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.11    0.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    0.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    0.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17    0.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    1.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    1.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    3.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    3.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    3.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    3.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    3.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    4.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13    4.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    4.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13    4.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    4.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25    5.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    5.31 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.31 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.43 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
-                  0.04    0.00    5.43 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.11    0.17    5.59 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.06                           mprj/u_wb_host/clknet_4_2_0_lbist_clk_int (net)
-                  0.11    0.00    5.59 ^ mprj/u_wb_host/_5779_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.09    0.36    5.95 ^ mprj/u_wb_host/_5779_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_wb_host/u_lbist.lbist_reg_rdata[24] (net)
-                  0.09    0.00    5.95 ^ mprj/u_wb_host/_4141_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    6.07 ^ mprj/u_wb_host/_4141_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0309_ (net)
-                  0.04    0.00    6.07 ^ mprj/u_wb_host/_5779_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  6.07   data arrival time
+                  0.06    0.00    5.32 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12    5.55 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00    5.55 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.69 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
+                  0.06    0.00    5.69 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.81 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
+                  0.04    0.00    5.81 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.15    5.96 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.04                           mprj/u_wb_host/clknet_4_11_0_lbist_clk_int (net)
+                  0.09    0.00    5.96 ^ mprj/u_wb_host/_6525_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.10    0.36    6.32 ^ mprj/u_wb_host/_6525_/Q (sky130_fd_sc_hd__dfrtp_1)
+     3    0.01                           mprj/u_wb_host/u_lbist.u_lbist_core.state[5] (net)
+                  0.10    0.00    6.32 ^ mprj/u_wb_host/_2781_/B2 (sky130_fd_sc_hd__a32o_1)
+                  0.04    0.12    6.44 ^ mprj/u_wb_host/_2781_/X (sky130_fd_sc_hd__a32o_1)
+     1    0.00                           mprj/u_wb_host/_0008_ (net)
+                  0.04    0.00    6.44 ^ mprj/u_wb_host/_6525_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  6.44   data arrival time
 
                           0.00    0.00   clock lbist_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -38633,367 +42430,149 @@
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
                   0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.72 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.72 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.11    0.18    6.03 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.06                           mprj/u_wb_host/clknet_4_2_0_lbist_clk_int (net)
-                  0.11    0.00    6.03 ^ mprj/u_wb_host/_5779_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    6.13   clock uncertainty
-                         -0.44    5.69   clock reconvergence pessimism
-                         -0.02    5.67   library hold time
-                                  5.67   data required time
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00    5.99 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.16    6.42 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.04                           mprj/u_wb_host/clknet_4_11_0_lbist_clk_int (net)
+                  0.09    0.00    6.43 ^ mprj/u_wb_host/_6525_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    6.53   clock uncertainty
+                         -0.46    6.06   clock reconvergence pessimism
+                         -0.03    6.03   library hold time
+                                  6.03   data required time
 -----------------------------------------------------------------------------
-                                  5.67   data required time
-                                 -6.07   data arrival time
+                                  6.03   data required time
+                                 -6.44   data arrival time
 -----------------------------------------------------------------------------
-                                  0.40   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5765_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5765_
-          (rising edge-triggered flip-flop clocked by lbist_clk)
-Path Group: lbist_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    5.30 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_1_0_lbist_clk_int (net)
-                  0.06    0.00    5.31 ^ mprj/u_wb_host/clkbuf_3_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.42 ^ mprj/u_wb_host/clkbuf_3_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_2_0_lbist_clk_int (net)
-                  0.04    0.00    5.42 ^ mprj/u_wb_host/clkbuf_4_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.15    5.58 ^ mprj/u_wb_host/clkbuf_4_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    12    0.05                           mprj/u_wb_host/clknet_4_5_0_lbist_clk_int (net)
-                  0.09    0.00    5.58 ^ mprj/u_wb_host/_5765_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.09    0.35    5.94 ^ mprj/u_wb_host/_5765_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_wb_host/u_lbist.lbist_reg_rdata[10] (net)
-                  0.09    0.00    5.94 ^ mprj/u_wb_host/_4113_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    6.06 ^ mprj/u_wb_host/_4113_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0295_ (net)
-                  0.04    0.00    6.06 ^ mprj/u_wb_host/_5765_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  6.06   data arrival time
-
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.72 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_1_0_lbist_clk_int (net)
-                  0.06    0.00    5.72 ^ mprj/u_wb_host/clkbuf_3_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_2_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.17    6.01 ^ mprj/u_wb_host/clkbuf_4_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    12    0.05                           mprj/u_wb_host/clknet_4_5_0_lbist_clk_int (net)
-                  0.09    0.00    6.01 ^ mprj/u_wb_host/_5765_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    6.11   clock uncertainty
-                         -0.43    5.68   clock reconvergence pessimism
-                         -0.03    5.65   library hold time
-                                  5.65   data required time
------------------------------------------------------------------------------
-                                  5.65   data required time
-                                 -6.06   data arrival time
------------------------------------------------------------------------------
-                                  0.40   slack (MET)
+                                  0.41   slack (MET)
 
 
 Startpoint: mprj/u_wb_host/_5649_
@@ -39010,118 +42589,456 @@
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.11    0.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    0.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    0.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17    0.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    1.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    1.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    3.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    3.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    3.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    3.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    3.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    4.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13    4.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    4.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13    4.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    4.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25    5.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    5.31 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    5.32 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12    5.55 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00    5.55 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.69 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
+                  0.06    0.00    5.69 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.81 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_4_0_lbist_clk_int (net)
+                  0.04    0.00    5.81 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.16    5.97 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.05                           mprj/u_wb_host/clknet_4_8_0_lbist_clk_int (net)
+                  0.09    0.00    5.97 ^ mprj/u_wb_host/_5649_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.36    6.33 ^ mprj/u_wb_host/_5649_/Q (sky130_fd_sc_hd__dfrtp_1)
+     3    0.01                           mprj/u_wb_host/u_lbist.u_lbist_core.scan_pat_cnt[12] (net)
+                  0.09    0.00    6.33 ^ mprj/u_wb_host/_3599_/B2 (sky130_fd_sc_hd__a32o_1)
+                  0.05    0.12    6.45 ^ mprj/u_wb_host/_3599_/X (sky130_fd_sc_hd__a32o_1)
+     1    0.00                           mprj/u_wb_host/_0187_ (net)
+                  0.05    0.00    6.45 ^ mprj/u_wb_host/_5649_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  6.45   data arrival time
+
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00    5.99 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_4_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.17    6.43 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.05                           mprj/u_wb_host/clknet_4_8_0_lbist_clk_int (net)
+                  0.09    0.00    6.43 ^ mprj/u_wb_host/_5649_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    6.53   clock uncertainty
+                         -0.46    6.07   clock reconvergence pessimism
+                         -0.03    6.04   library hold time
+                                  6.04   data required time
+-----------------------------------------------------------------------------
+                                  6.04   data required time
+                                 -6.45   data arrival time
+-----------------------------------------------------------------------------
+                                  0.41   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5643_
+            (rising edge-triggered flip-flop clocked by lbist_clk)
+Endpoint: mprj/u_wb_host/_5643_
+          (rising edge-triggered flip-flop clocked by lbist_clk)
+Path Group: lbist_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.11    0.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    0.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    0.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17    0.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    1.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    1.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    1.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    2.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    3.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    3.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    3.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    3.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    3.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    3.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    4.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13    4.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    4.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13    4.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    4.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25    5.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.32 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.55 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.55 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.69 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.31 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.43 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    5.69 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.81 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
-                  0.04    0.00    5.43 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.11    0.17    5.59 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.06                           mprj/u_wb_host/clknet_4_2_0_lbist_clk_int (net)
-                  0.11    0.00    5.59 ^ mprj/u_wb_host/_5649_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.08    0.36    5.95 ^ mprj/u_wb_host/_5649_/Q (sky130_fd_sc_hd__dfrtp_1)
+                  0.04    0.00    5.81 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.19    5.99 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.07                           mprj/u_wb_host/clknet_4_2_0_lbist_clk_int (net)
+                  0.14    0.00    5.99 ^ mprj/u_wb_host/_5643_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.37    6.36 ^ mprj/u_wb_host/_5643_/Q (sky130_fd_sc_hd__dfrtp_1)
      3    0.01                           mprj/u_wb_host/u_lbist.u_lbist_core.scan_pat_cnt[6] (net)
-                  0.08    0.00    5.95 ^ mprj/u_wb_host/_3587_/B2 (sky130_fd_sc_hd__a32o_1)
-                  0.05    0.12    6.07 ^ mprj/u_wb_host/_3587_/X (sky130_fd_sc_hd__a32o_1)
+                  0.09    0.00    6.36 ^ mprj/u_wb_host/_3577_/B2 (sky130_fd_sc_hd__a32o_1)
+                  0.05    0.12    6.48 ^ mprj/u_wb_host/_3577_/X (sky130_fd_sc_hd__a32o_1)
      1    0.00                           mprj/u_wb_host/_0181_ (net)
-                  0.05    0.00    6.07 ^ mprj/u_wb_host/_5649_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  6.07   data arrival time
+                  0.05    0.00    6.48 ^ mprj/u_wb_host/_5643_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  6.48   data arrival time
 
                           0.00    0.00   clock lbist_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -39129,124 +43046,154 @@
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
                   0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.72 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.72 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.11    0.18    6.03 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.06                           mprj/u_wb_host/clknet_4_2_0_lbist_clk_int (net)
-                  0.11    0.00    6.03 ^ mprj/u_wb_host/_5649_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    6.13   clock uncertainty
-                         -0.44    5.69   clock reconvergence pessimism
-                         -0.03    5.67   library hold time
-                                  5.67   data required time
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.20    6.46 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.07                           mprj/u_wb_host/clknet_4_2_0_lbist_clk_int (net)
+                  0.14    0.00    6.46 ^ mprj/u_wb_host/_5643_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    6.56   clock uncertainty
+                         -0.47    6.09   clock reconvergence pessimism
+                         -0.02    6.07   library hold time
+                                  6.07   data required time
 -----------------------------------------------------------------------------
-                                  5.67   data required time
-                                 -6.07   data arrival time
+                                  6.07   data required time
+                                 -6.48   data arrival time
 -----------------------------------------------------------------------------
                                   0.41   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_5769_
+Startpoint: mprj/u_wb_host/_5768_
             (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5769_
+Endpoint: mprj/u_wb_host/_5768_
           (rising edge-triggered flip-flop clocked by lbist_clk)
 Path Group: lbist_clk
 Path Type: min
@@ -39258,614 +43205,148 @@
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.11    0.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    0.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    0.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17    0.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    1.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    1.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    3.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    3.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    3.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    3.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    3.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    4.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13    4.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    4.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13    4.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    4.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25    5.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    5.30 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_1_0_lbist_clk_int (net)
-                  0.06    0.00    5.31 ^ mprj/u_wb_host/clkbuf_3_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.42 ^ mprj/u_wb_host/clkbuf_3_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_2_0_lbist_clk_int (net)
-                  0.04    0.00    5.42 ^ mprj/u_wb_host/clkbuf_4_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.10    0.16    5.59 ^ mprj/u_wb_host/clkbuf_4_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.05                           mprj/u_wb_host/clknet_4_4_0_lbist_clk_int (net)
-                  0.10    0.00    5.59 ^ mprj/u_wb_host/_5769_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.08    0.35    5.94 ^ mprj/u_wb_host/_5769_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_wb_host/u_lbist.lbist_reg_rdata[14] (net)
-                  0.08    0.00    5.94 ^ mprj/u_wb_host/_4121_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    6.07 ^ mprj/u_wb_host/_4121_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0299_ (net)
-                  0.05    0.00    6.07 ^ mprj/u_wb_host/_5769_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  6.07   data arrival time
-
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.72 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_1_0_lbist_clk_int (net)
-                  0.06    0.00    5.72 ^ mprj/u_wb_host/clkbuf_3_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_2_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.10    0.17    6.02 ^ mprj/u_wb_host/clkbuf_4_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.05                           mprj/u_wb_host/clknet_4_4_0_lbist_clk_int (net)
-                  0.10    0.00    6.02 ^ mprj/u_wb_host/_5769_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    6.12   clock uncertainty
-                         -0.44    5.69   clock reconvergence pessimism
-                         -0.03    5.66   library hold time
-                                  5.66   data required time
------------------------------------------------------------------------------
-                                  5.66   data required time
-                                 -6.07   data arrival time
------------------------------------------------------------------------------
-                                  0.41   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5767_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5767_
-          (rising edge-triggered flip-flop clocked by lbist_clk)
-Path Group: lbist_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    5.30 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_1_0_lbist_clk_int (net)
-                  0.06    0.00    5.31 ^ mprj/u_wb_host/clkbuf_3_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.42 ^ mprj/u_wb_host/clkbuf_3_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_2_0_lbist_clk_int (net)
-                  0.04    0.00    5.42 ^ mprj/u_wb_host/clkbuf_4_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.10    0.16    5.59 ^ mprj/u_wb_host/clkbuf_4_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.05                           mprj/u_wb_host/clknet_4_4_0_lbist_clk_int (net)
-                  0.10    0.00    5.59 ^ mprj/u_wb_host/_5767_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.09    0.36    5.95 ^ mprj/u_wb_host/_5767_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_wb_host/u_lbist.lbist_reg_rdata[12] (net)
-                  0.09    0.00    5.95 ^ mprj/u_wb_host/_4117_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    6.07 ^ mprj/u_wb_host/_4117_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0297_ (net)
-                  0.04    0.00    6.07 ^ mprj/u_wb_host/_5767_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  6.07   data arrival time
-
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.72 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_1_0_lbist_clk_int (net)
-                  0.06    0.00    5.72 ^ mprj/u_wb_host/clkbuf_3_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_2_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.10    0.17    6.02 ^ mprj/u_wb_host/clkbuf_4_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.05                           mprj/u_wb_host/clknet_4_4_0_lbist_clk_int (net)
-                  0.10    0.00    6.02 ^ mprj/u_wb_host/_5767_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    6.12   clock uncertainty
-                         -0.44    5.69   clock reconvergence pessimism
-                         -0.03    5.66   library hold time
-                                  5.66   data required time
------------------------------------------------------------------------------
-                                  5.66   data required time
-                                 -6.07   data arrival time
------------------------------------------------------------------------------
-                                  0.41   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5774_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5774_
-          (rising edge-triggered flip-flop clocked by lbist_clk)
-Path Group: lbist_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    5.31 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    5.32 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.55 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.55 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.69 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.31 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.43 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_0_0_lbist_clk_int (net)
-                  0.04    0.00    5.43 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.19    5.62 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    28    0.08                           mprj/u_wb_host/clknet_4_0_0_lbist_clk_int (net)
-                  0.14    0.00    5.62 ^ mprj/u_wb_host/_5774_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.08    0.37    5.98 ^ mprj/u_wb_host/_5774_/Q (sky130_fd_sc_hd__dfrtp_1)
+                  0.06    0.00    5.69 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.81 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
+                  0.04    0.00    5.81 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.19    5.99 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.07                           mprj/u_wb_host/clknet_4_2_0_lbist_clk_int (net)
+                  0.14    0.00    5.99 ^ mprj/u_wb_host/_5768_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.37    6.36 ^ mprj/u_wb_host/_5768_/Q (sky130_fd_sc_hd__dfrtp_1)
      2    0.01                           mprj/u_wb_host/u_lbist.lbist_reg_rdata[19] (net)
-                  0.08    0.00    5.98 ^ mprj/u_wb_host/_4131_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    6.11 ^ mprj/u_wb_host/_4131_/X (sky130_fd_sc_hd__mux2_1)
+                  0.08    0.00    6.36 ^ mprj/u_wb_host/_4120_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.13    6.49 ^ mprj/u_wb_host/_4120_/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_wb_host/_0304_ (net)
-                  0.04    0.00    6.11 ^ mprj/u_wb_host/_5774_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  6.11   data arrival time
+                  0.04    0.00    6.49 ^ mprj/u_wb_host/_5768_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  6.49   data arrival time
 
                           0.00    0.00   clock lbist_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -39873,121 +43354,767 @@
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
                   0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.72 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.72 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_0_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.20    6.05 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    28    0.08                           mprj/u_wb_host/clknet_4_0_0_lbist_clk_int (net)
-                  0.14    0.00    6.06 ^ mprj/u_wb_host/_5774_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    6.16   clock uncertainty
-                         -0.44    5.72   clock reconvergence pessimism
-                         -0.02    5.70   library hold time
-                                  5.70   data required time
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.20    6.46 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.07                           mprj/u_wb_host/clknet_4_2_0_lbist_clk_int (net)
+                  0.14    0.00    6.46 ^ mprj/u_wb_host/_5768_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    6.56   clock uncertainty
+                         -0.47    6.09   clock reconvergence pessimism
+                         -0.02    6.07   library hold time
+                                  6.07   data required time
 -----------------------------------------------------------------------------
-                                  5.70   data required time
-                                 -6.11   data arrival time
+                                  6.07   data required time
+                                 -6.49   data arrival time
 -----------------------------------------------------------------------------
                                   0.41   slack (MET)
 
 
+Startpoint: mprj/u_wb_host/_5662_
+            (rising edge-triggered flip-flop clocked by lbist_clk)
+Endpoint: mprj/u_wb_host/_5662_
+          (rising edge-triggered flip-flop clocked by lbist_clk)
+Path Group: lbist_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.11    0.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    0.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    0.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17    0.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    1.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    1.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    1.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    2.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    3.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    3.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    3.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    3.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    3.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    3.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    4.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13    4.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    4.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13    4.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    4.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25    5.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.32 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12    5.55 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00    5.55 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.69 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
+                  0.06    0.00    5.69 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.81 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
+                  0.04    0.00    5.81 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.18    5.99 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_10_0_lbist_clk_int (net)
+                  0.12    0.00    5.99 ^ mprj/u_wb_host/_5662_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.37    6.36 ^ mprj/u_wb_host/_5662_/Q (sky130_fd_sc_hd__dfrtp_1)
+     3    0.01                           mprj/u_wb_host/u_lbist.u_lbist_core.clk_cnt[3] (net)
+                  0.09    0.00    6.36 ^ mprj/u_wb_host/_3654_/A1 (sky130_fd_sc_hd__a22o_1)
+                  0.04    0.13    6.48 ^ mprj/u_wb_host/_3654_/X (sky130_fd_sc_hd__a22o_1)
+     1    0.00                           mprj/u_wb_host/_0200_ (net)
+                  0.04    0.00    6.48 ^ mprj/u_wb_host/_5662_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  6.48   data arrival time
+
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00    5.99 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    6.45 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_10_0_lbist_clk_int (net)
+                  0.12    0.00    6.46 ^ mprj/u_wb_host/_5662_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    6.56   clock uncertainty
+                         -0.47    6.09   clock reconvergence pessimism
+                         -0.02    6.07   library hold time
+                                  6.07   data required time
+-----------------------------------------------------------------------------
+                                  6.07   data required time
+                                 -6.48   data arrival time
+-----------------------------------------------------------------------------
+                                  0.42   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5902_
+            (rising edge-triggered flip-flop clocked by lbist_clk)
+Endpoint: mprj/u_wb_host/_5902_
+          (rising edge-triggered flip-flop clocked by lbist_clk)
+Path Group: lbist_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.11    0.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    0.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    0.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17    0.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    1.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    1.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    1.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    2.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    3.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    3.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    3.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    3.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    3.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    3.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    4.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13    4.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    4.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13    4.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    4.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25    5.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.32 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.55 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.55 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.69 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
+                  0.06    0.00    5.69 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.81 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
+                  0.04    0.00    5.81 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.19    5.99 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.07                           mprj/u_wb_host/clknet_4_2_0_lbist_clk_int (net)
+                  0.14    0.00    5.99 ^ mprj/u_wb_host/_5902_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.37    6.37 ^ mprj/u_wb_host/_5902_/Q (sky130_fd_sc_hd__dfrtp_1)
+     3    0.01                           mprj/u_wb_host/u_lbist.cfg_lbist_pat[8] (net)
+                  0.09    0.00    6.37 ^ mprj/u_wb_host/_4329_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    6.49 ^ mprj/u_wb_host/_4329_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0430_ (net)
+                  0.04    0.00    6.49 ^ mprj/u_wb_host/_5902_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  6.49   data arrival time
+
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.20    6.46 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.07                           mprj/u_wb_host/clknet_4_2_0_lbist_clk_int (net)
+                  0.14    0.00    6.46 ^ mprj/u_wb_host/_5902_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    6.56   clock uncertainty
+                         -0.47    6.09   clock reconvergence pessimism
+                         -0.02    6.07   library hold time
+                                  6.07   data required time
+-----------------------------------------------------------------------------
+                                  6.07   data required time
+                                 -6.49   data arrival time
+-----------------------------------------------------------------------------
+                                  0.42   slack (MET)
+
+
 Startpoint: mprj/u_wb_host/_5778_
             (rising edge-triggered flip-flop clocked by lbist_clk)
 Endpoint: mprj/u_wb_host/_5778_
@@ -40002,118 +44129,148 @@
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.11    0.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    0.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    0.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17    0.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    1.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    1.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    3.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    3.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    3.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    3.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    3.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    4.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13    4.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    4.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13    4.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    4.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25    5.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    5.31 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.31 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.43 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
-                  0.04    0.00    5.43 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.11    0.17    5.59 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.06                           mprj/u_wb_host/clknet_4_2_0_lbist_clk_int (net)
-                  0.11    0.00    5.59 ^ mprj/u_wb_host/_5778_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.09    0.36    5.95 ^ mprj/u_wb_host/_5778_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_wb_host/u_lbist.lbist_reg_rdata[23] (net)
-                  0.09    0.00    5.95 ^ mprj/u_wb_host/_4139_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    6.08 ^ mprj/u_wb_host/_4139_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0308_ (net)
-                  0.04    0.00    6.08 ^ mprj/u_wb_host/_5778_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  6.08   data arrival time
+                  0.06    0.00    5.32 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12    5.55 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00    5.55 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.69 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
+                  0.06    0.00    5.69 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.81 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_4_0_lbist_clk_int (net)
+                  0.04    0.00    5.81 ^ mprj/u_wb_host/clkbuf_4_9_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.10    0.16    5.97 ^ mprj/u_wb_host/clkbuf_4_9_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    15    0.05                           mprj/u_wb_host/clknet_4_9_0_lbist_clk_int (net)
+                  0.10    0.00    5.97 ^ mprj/u_wb_host/_5778_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.36    6.33 ^ mprj/u_wb_host/_5778_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_wb_host/u_lbist.lbist_reg_rdata[29] (net)
+                  0.09    0.00    6.33 ^ mprj/u_wb_host/_4140_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.13    6.46 ^ mprj/u_wb_host/_4140_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0314_ (net)
+                  0.04    0.00    6.46 ^ mprj/u_wb_host/_5778_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  6.46   data arrival time
 
                           0.00    0.00   clock lbist_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -40121,124 +44278,154 @@
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
                   0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.72 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.72 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.11    0.18    6.03 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.06                           mprj/u_wb_host/clknet_4_2_0_lbist_clk_int (net)
-                  0.11    0.00    6.03 ^ mprj/u_wb_host/_5778_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    6.13   clock uncertainty
-                         -0.44    5.69   clock reconvergence pessimism
-                         -0.03    5.67   library hold time
-                                  5.67   data required time
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00    5.99 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_4_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_9_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.10    0.17    6.44 ^ mprj/u_wb_host/clkbuf_4_9_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    15    0.05                           mprj/u_wb_host/clknet_4_9_0_lbist_clk_int (net)
+                  0.10    0.00    6.44 ^ mprj/u_wb_host/_5778_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    6.54   clock uncertainty
+                         -0.47    6.07   clock reconvergence pessimism
+                         -0.03    6.04   library hold time
+                                  6.04   data required time
 -----------------------------------------------------------------------------
-                                  5.67   data required time
-                                 -6.08   data arrival time
+                                  6.04   data required time
+                                 -6.46   data arrival time
 -----------------------------------------------------------------------------
-                                  0.41   slack (MET)
+                                  0.42   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_5652_
+Startpoint: mprj/u_wb_host/_5646_
             (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5652_
+Endpoint: mprj/u_wb_host/_5646_
           (rising edge-triggered flip-flop clocked by lbist_clk)
 Path Group: lbist_clk
 Path Type: min
@@ -40250,118 +44437,148 @@
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.11    0.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    0.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    0.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17    0.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    1.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    1.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    3.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    3.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    3.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    3.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    3.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    4.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13    4.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    4.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13    4.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    4.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25    5.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    5.31 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.31 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.43 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
-                  0.04    0.00    5.43 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.12    0.17    5.60 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    26    0.06                           mprj/u_wb_host/clknet_4_3_0_lbist_clk_int (net)
-                  0.12    0.00    5.60 ^ mprj/u_wb_host/_5652_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.09    0.36    5.96 ^ mprj/u_wb_host/_5652_/Q (sky130_fd_sc_hd__dfrtp_1)
+                  0.06    0.00    5.32 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12    5.55 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00    5.55 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.69 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
+                  0.06    0.00    5.69 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.81 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_4_0_lbist_clk_int (net)
+                  0.04    0.00    5.81 ^ mprj/u_wb_host/clkbuf_4_9_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.10    0.16    5.97 ^ mprj/u_wb_host/clkbuf_4_9_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    15    0.05                           mprj/u_wb_host/clknet_4_9_0_lbist_clk_int (net)
+                  0.10    0.00    5.97 ^ mprj/u_wb_host/_5646_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.10    0.37    6.34 ^ mprj/u_wb_host/_5646_/Q (sky130_fd_sc_hd__dfrtp_1)
      3    0.01                           mprj/u_wb_host/u_lbist.u_lbist_core.scan_pat_cnt[9] (net)
-                  0.09    0.00    5.96 ^ mprj/u_wb_host/_3598_/B2 (sky130_fd_sc_hd__a32o_1)
-                  0.05    0.12    6.09 ^ mprj/u_wb_host/_3598_/X (sky130_fd_sc_hd__a32o_1)
+                  0.10    0.00    6.34 ^ mprj/u_wb_host/_3588_/B2 (sky130_fd_sc_hd__a32o_1)
+                  0.05    0.12    6.46 ^ mprj/u_wb_host/_3588_/X (sky130_fd_sc_hd__a32o_1)
      1    0.00                           mprj/u_wb_host/_0184_ (net)
-                  0.05    0.00    6.09 ^ mprj/u_wb_host/_5652_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  6.09   data arrival time
+                  0.05    0.00    6.46 ^ mprj/u_wb_host/_5646_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  6.46   data arrival time
 
                           0.00    0.00   clock lbist_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -40369,620 +44586,154 @@
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
                   0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.72 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.72 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.12    0.19    6.04 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    26    0.06                           mprj/u_wb_host/clknet_4_3_0_lbist_clk_int (net)
-                  0.12    0.00    6.04 ^ mprj/u_wb_host/_5652_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    6.14   clock uncertainty
-                         -0.44    5.70   clock reconvergence pessimism
-                         -0.03    5.67   library hold time
-                                  5.67   data required time
------------------------------------------------------------------------------
-                                  5.67   data required time
-                                 -6.09   data arrival time
------------------------------------------------------------------------------
-                                  0.41   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5760_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5760_
-          (rising edge-triggered flip-flop clocked by lbist_clk)
-Path Group: lbist_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    5.31 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.31 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.43 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
-                  0.04    0.00    5.43 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.12    0.17    5.60 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    26    0.06                           mprj/u_wb_host/clknet_4_3_0_lbist_clk_int (net)
-                  0.12    0.00    5.60 ^ mprj/u_wb_host/_5760_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.07    0.35    5.95 ^ mprj/u_wb_host/_5760_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_wb_host/u_lbist.lbist_reg_rdata[5] (net)
-                  0.07    0.00    5.95 ^ mprj/u_wb_host/_4101_/A1 (sky130_fd_sc_hd__o22a_1)
-                  0.05    0.14    6.09 ^ mprj/u_wb_host/_4101_/X (sky130_fd_sc_hd__o22a_1)
-     1    0.00                           mprj/u_wb_host/_0290_ (net)
-                  0.05    0.00    6.09 ^ mprj/u_wb_host/_5760_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  6.09   data arrival time
-
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.72 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.72 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.12    0.19    6.04 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    26    0.06                           mprj/u_wb_host/clknet_4_3_0_lbist_clk_int (net)
-                  0.12    0.00    6.04 ^ mprj/u_wb_host/_5760_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    6.14   clock uncertainty
-                         -0.44    5.70   clock reconvergence pessimism
-                         -0.03    5.68   library hold time
-                                  5.68   data required time
------------------------------------------------------------------------------
-                                  5.68   data required time
-                                 -6.09   data arrival time
------------------------------------------------------------------------------
-                                  0.42   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5655_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5655_
-          (rising edge-triggered flip-flop clocked by lbist_clk)
-Path Group: lbist_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.13    5.30 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00    5.99 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.30 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.42 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      2    0.01                           mprj/u_wb_host/clknet_3_4_0_lbist_clk_int (net)
-                  0.04    0.00    5.42 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.19    5.61 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.08                           mprj/u_wb_host/clknet_4_8_0_lbist_clk_int (net)
-                  0.14    0.00    5.62 ^ mprj/u_wb_host/_5655_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.09    0.38    5.99 ^ mprj/u_wb_host/_5655_/Q (sky130_fd_sc_hd__dfrtp_1)
-     3    0.01                           mprj/u_wb_host/u_lbist.u_lbist_core.scan_pat_cnt[12] (net)
-                  0.09    0.00    5.99 ^ mprj/u_wb_host/_3609_/B2 (sky130_fd_sc_hd__a32o_1)
-                  0.05    0.12    6.11 ^ mprj/u_wb_host/_3609_/X (sky130_fd_sc_hd__a32o_1)
-     1    0.00                           mprj/u_wb_host/_0187_ (net)
-                  0.05    0.00    6.11 ^ mprj/u_wb_host/_5655_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  6.11   data arrival time
-
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.71 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.71 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_4_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.21    6.05 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.08                           mprj/u_wb_host/clknet_4_8_0_lbist_clk_int (net)
-                  0.14    0.00    6.05 ^ mprj/u_wb_host/_5655_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    6.15   clock uncertainty
-                         -0.44    5.72   clock reconvergence pessimism
-                         -0.02    5.69   library hold time
-                                  5.69   data required time
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_9_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.10    0.17    6.44 ^ mprj/u_wb_host/clkbuf_4_9_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    15    0.05                           mprj/u_wb_host/clknet_4_9_0_lbist_clk_int (net)
+                  0.10    0.00    6.44 ^ mprj/u_wb_host/_5646_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    6.54   clock uncertainty
+                         -0.47    6.07   clock reconvergence pessimism
+                         -0.03    6.04   library hold time
+                                  6.04   data required time
 -----------------------------------------------------------------------------
-                                  5.69   data required time
-                                 -6.11   data arrival time
+                                  6.04   data required time
+                                 -6.46   data arrival time
 -----------------------------------------------------------------------------
                                   0.42   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_5782_
+Startpoint: mprj/u_wb_host/_5969_
             (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5782_
+Endpoint: mprj/u_wb_host/_5969_
           (rising edge-triggered flip-flop clocked by lbist_clk)
 Path Group: lbist_clk
 Path Type: min
@@ -40994,1110 +44745,148 @@
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.11    0.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    0.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    0.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17    0.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    1.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    1.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    3.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    3.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    3.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    3.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    3.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    4.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13    4.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    4.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13    4.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    4.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25    5.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    5.31 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.31 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.43 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
-                  0.04    0.00    5.43 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.11    0.17    5.59 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.06                           mprj/u_wb_host/clknet_4_2_0_lbist_clk_int (net)
-                  0.11    0.00    5.59 ^ mprj/u_wb_host/_5782_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.10    0.37    5.96 ^ mprj/u_wb_host/_5782_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_wb_host/u_lbist.lbist_reg_rdata[27] (net)
-                  0.10    0.00    5.96 ^ mprj/u_wb_host/_4147_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    6.09 ^ mprj/u_wb_host/_4147_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0312_ (net)
-                  0.04    0.00    6.09 ^ mprj/u_wb_host/_5782_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  6.09   data arrival time
-
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.72 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.72 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.11    0.18    6.03 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.06                           mprj/u_wb_host/clknet_4_2_0_lbist_clk_int (net)
-                  0.11    0.00    6.03 ^ mprj/u_wb_host/_5782_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    6.13   clock uncertainty
-                         -0.44    5.69   clock reconvergence pessimism
-                         -0.02    5.67   library hold time
-                                  5.67   data required time
------------------------------------------------------------------------------
-                                  5.67   data required time
-                                 -6.09   data arrival time
------------------------------------------------------------------------------
-                                  0.42   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5847_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5847_
-          (rising edge-triggered flip-flop clocked by lbist_clk)
-Path Group: lbist_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.30 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    5.32 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12    5.55 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00    5.55 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.68 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
-                  0.05    0.00    5.30 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.41 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_6_0_lbist_clk_int (net)
-                  0.04    0.00    5.41 ^ mprj/u_wb_host/clkbuf_4_12_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.11    0.17    5.58 ^ mprj/u_wb_host/clkbuf_4_12_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    24    0.06                           mprj/u_wb_host/clknet_4_12_0_lbist_clk_int (net)
-                  0.11    0.00    5.58 ^ mprj/u_wb_host/_5847_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.11    0.38    5.96 ^ mprj/u_wb_host/_5847_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_wb_host/u_lbist.u_async_reg.out_flag (net)
-                  0.11    0.00    5.96 ^ mprj/u_wb_host/_4249_/A1 (sky130_fd_sc_hd__a21o_1)
-                  0.03    0.11    6.08 ^ mprj/u_wb_host/_4249_/X (sky130_fd_sc_hd__a21o_1)
-     1    0.00                           mprj/u_wb_host/_0373_ (net)
-                  0.03    0.00    6.08 ^ mprj/u_wb_host/_5847_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  6.08   data arrival time
-
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.71 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
-                  0.05    0.00    5.71 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.84 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_6_0_lbist_clk_int (net)
-                  0.04    0.00    5.84 ^ mprj/u_wb_host/clkbuf_4_12_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.11    0.18    6.02 ^ mprj/u_wb_host/clkbuf_4_12_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    24    0.06                           mprj/u_wb_host/clknet_4_12_0_lbist_clk_int (net)
-                  0.11    0.00    6.02 ^ mprj/u_wb_host/_5847_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    6.12   clock uncertainty
-                         -0.44    5.68   clock reconvergence pessimism
-                         -0.02    5.66   library hold time
-                                  5.66   data required time
------------------------------------------------------------------------------
-                                  5.66   data required time
-                                 -6.08   data arrival time
------------------------------------------------------------------------------
-                                  0.42   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5777_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5777_
-          (rising edge-triggered flip-flop clocked by lbist_clk)
-Path Group: lbist_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    5.31 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.31 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.43 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_0_0_lbist_clk_int (net)
-                  0.04    0.00    5.43 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.19    5.62 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    28    0.08                           mprj/u_wb_host/clknet_4_0_0_lbist_clk_int (net)
-                  0.14    0.00    5.62 ^ mprj/u_wb_host/_5777_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.09    0.37    5.99 ^ mprj/u_wb_host/_5777_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_wb_host/u_lbist.lbist_reg_rdata[22] (net)
-                  0.09    0.00    5.99 ^ mprj/u_wb_host/_4137_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    6.12 ^ mprj/u_wb_host/_4137_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0307_ (net)
-                  0.04    0.00    6.12 ^ mprj/u_wb_host/_5777_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  6.12   data arrival time
-
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.72 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.72 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_0_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.20    6.05 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    28    0.08                           mprj/u_wb_host/clknet_4_0_0_lbist_clk_int (net)
-                  0.14    0.00    6.06 ^ mprj/u_wb_host/_5777_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    6.16   clock uncertainty
-                         -0.44    5.72   clock reconvergence pessimism
-                         -0.02    5.70   library hold time
-                                  5.70   data required time
------------------------------------------------------------------------------
-                                  5.70   data required time
-                                 -6.12   data arrival time
------------------------------------------------------------------------------
-                                  0.42   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5728_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5728_
-          (rising edge-triggered flip-flop clocked by lbist_clk)
-Path Group: lbist_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.30 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
-                  0.05    0.00    5.30 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.41 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    5.68 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.80 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      2    0.01                           mprj/u_wb_host/clknet_3_7_0_lbist_clk_int (net)
-                  0.04    0.00    5.41 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.11    0.17    5.58 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    24    0.06                           mprj/u_wb_host/clknet_4_14_0_lbist_clk_int (net)
-                  0.11    0.00    5.58 ^ mprj/u_wb_host/_5728_/CLK (sky130_fd_sc_hd__dfstp_1)
-                  0.05    0.38    5.96 v mprj/u_wb_host/_5728_/Q (sky130_fd_sc_hd__dfstp_1)
-     2    0.01                           mprj/u_wb_host/u_lbist.u_lbist_core.u_tx_crc.current_crc[13] (net)
-                  0.05    0.00    5.96 v mprj/u_wb_host/_3980_/B2 (sky130_fd_sc_hd__o32a_1)
-                  0.04    0.15    6.11 v mprj/u_wb_host/_3980_/X (sky130_fd_sc_hd__o32a_1)
-     1    0.00                           mprj/u_wb_host/_0259_ (net)
-                  0.04    0.00    6.11 v mprj/u_wb_host/_5728_/D (sky130_fd_sc_hd__dfstp_1)
-                                  6.11   data arrival time
-
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.71 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
-                  0.05    0.00    5.71 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.84 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_7_0_lbist_clk_int (net)
-                  0.04    0.00    5.84 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.11    0.18    6.02 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    24    0.06                           mprj/u_wb_host/clknet_4_14_0_lbist_clk_int (net)
-                  0.11    0.00    6.02 ^ mprj/u_wb_host/_5728_/CLK (sky130_fd_sc_hd__dfstp_1)
-                          0.10    6.12   clock uncertainty
-                         -0.44    5.68   clock reconvergence pessimism
-                          0.00    5.69   library hold time
-                                  5.69   data required time
------------------------------------------------------------------------------
-                                  5.69   data required time
-                                 -6.11   data arrival time
------------------------------------------------------------------------------
-                                  0.42   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5975_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5975_
-          (rising edge-triggered flip-flop clocked by lbist_clk)
-Path Group: lbist_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.13    5.30 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.30 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.42 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_4_0_lbist_clk_int (net)
-                  0.04    0.00    5.42 ^ mprj/u_wb_host/clkbuf_4_9_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.19    5.61 ^ mprj/u_wb_host/clkbuf_4_9_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    32    0.08                           mprj/u_wb_host/clknet_4_9_0_lbist_clk_int (net)
-                  0.14    0.00    5.61 ^ mprj/u_wb_host/_5975_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.10    0.38    5.99 ^ mprj/u_wb_host/_5975_/Q (sky130_fd_sc_hd__dfrtp_1)
+                  0.04    0.00    5.80 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18    5.98 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_14_0_lbist_clk_int (net)
+                  0.13    0.00    5.98 ^ mprj/u_wb_host/_5969_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.10    0.38    6.36 ^ mprj/u_wb_host/_5969_/Q (sky130_fd_sc_hd__dfrtp_1)
      3    0.01                           mprj/u_wb_host/u_lbist.cfg_chain_depth[0] (net)
-                  0.10    0.00    5.99 ^ mprj/u_wb_host/_4505_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    6.12 ^ mprj/u_wb_host/_4505_/X (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.00    6.36 ^ mprj/u_wb_host/_4500_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    6.48 ^ mprj/u_wb_host/_4500_/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_wb_host/_0489_ (net)
-                  0.04    0.00    6.12 ^ mprj/u_wb_host/_5975_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  6.12   data arrival time
+                  0.04    0.00    6.48 ^ mprj/u_wb_host/_5969_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  6.48   data arrival time
 
                           0.00    0.00   clock lbist_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -42105,124 +44894,154 @@
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
                   0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.71 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.71 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_4_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_9_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.21    6.05 ^ mprj/u_wb_host/clkbuf_4_9_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    32    0.08                           mprj/u_wb_host/clknet_4_9_0_lbist_clk_int (net)
-                  0.14    0.00    6.05 ^ mprj/u_wb_host/_5975_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    6.15   clock uncertainty
-                         -0.44    5.71   clock reconvergence pessimism
-                         -0.02    5.69   library hold time
-                                  5.69   data required time
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    6.13 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
+                  0.05    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.25 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_7_0_lbist_clk_int (net)
+                  0.04    0.00    6.25 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.20    6.45 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_14_0_lbist_clk_int (net)
+                  0.13    0.00    6.45 ^ mprj/u_wb_host/_5969_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    6.55   clock uncertainty
+                         -0.47    6.08   clock reconvergence pessimism
+                         -0.02    6.06   library hold time
+                                  6.06   data required time
 -----------------------------------------------------------------------------
-                                  5.69   data required time
-                                 -6.12   data arrival time
+                                  6.06   data required time
+                                 -6.48   data arrival time
 -----------------------------------------------------------------------------
                                   0.42   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_5762_
+Startpoint: mprj/u_wb_host/_5665_
             (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5762_
+Endpoint: mprj/u_wb_host/_5665_
           (rising edge-triggered flip-flop clocked by lbist_clk)
 Path Group: lbist_clk
 Path Type: min
@@ -42234,118 +45053,148 @@
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.11    0.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    0.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    0.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17    0.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    1.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    1.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    3.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    3.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    3.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    3.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    3.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    4.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13    4.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    4.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13    4.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    4.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25    5.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.13    5.30 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    5.32 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12    5.55 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00    5.55 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.69 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.30 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.42 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_4_0_lbist_clk_int (net)
-                  0.04    0.00    5.42 ^ mprj/u_wb_host/clkbuf_4_9_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.19    5.61 ^ mprj/u_wb_host/clkbuf_4_9_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    32    0.08                           mprj/u_wb_host/clknet_4_9_0_lbist_clk_int (net)
-                  0.14    0.00    5.62 ^ mprj/u_wb_host/_5762_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.08    0.37    5.98 ^ mprj/u_wb_host/_5762_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_wb_host/u_lbist.lbist_reg_rdata[7] (net)
-                  0.08    0.00    5.98 ^ mprj/u_wb_host/_4107_/A1 (sky130_fd_sc_hd__o22a_1)
-                  0.04    0.14    6.12 ^ mprj/u_wb_host/_4107_/X (sky130_fd_sc_hd__o22a_1)
-     1    0.00                           mprj/u_wb_host/_0292_ (net)
-                  0.04    0.00    6.12 ^ mprj/u_wb_host/_5762_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  6.12   data arrival time
+                  0.06    0.00    5.69 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.81 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
+                  0.04    0.00    5.81 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.18    5.99 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_10_0_lbist_clk_int (net)
+                  0.12    0.00    5.99 ^ mprj/u_wb_host/_5665_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.37    6.35 ^ mprj/u_wb_host/_5665_/Q (sky130_fd_sc_hd__dfrtp_1)
+     3    0.01                           mprj/u_wb_host/u_lbist.u_lbist_core.clk_cnt[6] (net)
+                  0.09    0.00    6.35 ^ mprj/u_wb_host/_3665_/A1 (sky130_fd_sc_hd__a22o_1)
+                  0.04    0.13    6.49 ^ mprj/u_wb_host/_3665_/X (sky130_fd_sc_hd__a22o_1)
+     1    0.00                           mprj/u_wb_host/_0203_ (net)
+                  0.04    0.00    6.49 ^ mprj/u_wb_host/_5665_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  6.49   data arrival time
 
                           0.00    0.00   clock lbist_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -42353,124 +45202,1078 @@
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
                   0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.71 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00    5.99 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.71 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_4_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_9_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.21    6.05 ^ mprj/u_wb_host/clkbuf_4_9_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    32    0.08                           mprj/u_wb_host/clknet_4_9_0_lbist_clk_int (net)
-                  0.14    0.00    6.05 ^ mprj/u_wb_host/_5762_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    6.15   clock uncertainty
-                         -0.44    5.72   clock reconvergence pessimism
-                         -0.02    5.69   library hold time
-                                  5.69   data required time
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    6.45 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_10_0_lbist_clk_int (net)
+                  0.12    0.00    6.46 ^ mprj/u_wb_host/_5665_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    6.56   clock uncertainty
+                         -0.47    6.09   clock reconvergence pessimism
+                         -0.02    6.06   library hold time
+                                  6.06   data required time
 -----------------------------------------------------------------------------
-                                  5.69   data required time
-                                 -6.12   data arrival time
+                                  6.06   data required time
+                                 -6.49   data arrival time
+-----------------------------------------------------------------------------
+                                  0.42   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6525_
+            (rising edge-triggered flip-flop clocked by lbist_clk)
+Endpoint: mprj/u_wb_host/_6523_
+          (rising edge-triggered flip-flop clocked by lbist_clk)
+Path Group: lbist_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.11    0.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    0.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    0.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17    0.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    1.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    1.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    1.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    2.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    3.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    3.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    3.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    3.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    3.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    3.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    4.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13    4.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    4.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13    4.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    4.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25    5.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.32 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12    5.55 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00    5.55 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.69 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
+                  0.06    0.00    5.69 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.81 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
+                  0.04    0.00    5.81 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.15    5.96 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.04                           mprj/u_wb_host/clknet_4_11_0_lbist_clk_int (net)
+                  0.09    0.00    5.96 ^ mprj/u_wb_host/_6525_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.10    0.36    6.32 ^ mprj/u_wb_host/_6525_/Q (sky130_fd_sc_hd__dfrtp_1)
+     3    0.01                           mprj/u_wb_host/u_lbist.u_lbist_core.state[5] (net)
+                  0.10    0.00    6.32 ^ mprj/u_wb_host/_2768_/A1 (sky130_fd_sc_hd__a22o_1)
+                  0.04    0.13    6.45 ^ mprj/u_wb_host/_2768_/X (sky130_fd_sc_hd__a22o_1)
+     1    0.00                           mprj/u_wb_host/_0006_ (net)
+                  0.04    0.00    6.45 ^ mprj/u_wb_host/_6523_/D (sky130_fd_sc_hd__dfrtp_4)
+                                  6.45   data arrival time
+
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00    5.99 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.16    6.42 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.04                           mprj/u_wb_host/clknet_4_11_0_lbist_clk_int (net)
+                  0.09    0.00    6.43 ^ mprj/u_wb_host/_6523_/CLK (sky130_fd_sc_hd__dfrtp_4)
+                          0.10    6.53   clock uncertainty
+                         -0.46    6.06   clock reconvergence pessimism
+                         -0.03    6.03   library hold time
+                                  6.03   data required time
+-----------------------------------------------------------------------------
+                                  6.03   data required time
+                                 -6.45   data arrival time
+-----------------------------------------------------------------------------
+                                  0.42   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5776_
+            (rising edge-triggered flip-flop clocked by lbist_clk)
+Endpoint: mprj/u_wb_host/_5776_
+          (rising edge-triggered flip-flop clocked by lbist_clk)
+Path Group: lbist_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.11    0.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    0.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    0.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17    0.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    1.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    1.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    1.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    2.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    3.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    3.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    3.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    3.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    3.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    3.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    4.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13    4.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    4.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13    4.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    4.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25    5.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.32 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.55 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.55 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.69 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
+                  0.06    0.00    5.69 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.81 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
+                  0.04    0.00    5.81 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.19    5.99 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.07                           mprj/u_wb_host/clknet_4_2_0_lbist_clk_int (net)
+                  0.14    0.00    5.99 ^ mprj/u_wb_host/_5776_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.10    0.38    6.37 ^ mprj/u_wb_host/_5776_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_wb_host/u_lbist.lbist_reg_rdata[27] (net)
+                  0.10    0.00    6.37 ^ mprj/u_wb_host/_4136_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    6.50 ^ mprj/u_wb_host/_4136_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0312_ (net)
+                  0.04    0.00    6.50 ^ mprj/u_wb_host/_5776_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  6.50   data arrival time
+
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.20    6.46 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.07                           mprj/u_wb_host/clknet_4_2_0_lbist_clk_int (net)
+                  0.14    0.00    6.46 ^ mprj/u_wb_host/_5776_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    6.56   clock uncertainty
+                         -0.47    6.09   clock reconvergence pessimism
+                         -0.02    6.07   library hold time
+                                  6.07   data required time
+-----------------------------------------------------------------------------
+                                  6.07   data required time
+                                 -6.50   data arrival time
+-----------------------------------------------------------------------------
+                                  0.42   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5640_
+            (rising edge-triggered flip-flop clocked by lbist_clk)
+Endpoint: mprj/u_wb_host/_5640_
+          (rising edge-triggered flip-flop clocked by lbist_clk)
+Path Group: lbist_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.11    0.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    0.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    0.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17    0.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    1.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    1.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    1.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    2.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    3.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    3.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    3.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    3.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    3.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    3.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    4.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13    4.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    4.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13    4.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    4.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25    5.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.32 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.55 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.55 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.69 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
+                  0.06    0.00    5.69 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.81 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
+                  0.04    0.00    5.81 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.19    5.99 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.07                           mprj/u_wb_host/clknet_4_2_0_lbist_clk_int (net)
+                  0.14    0.00    5.99 ^ mprj/u_wb_host/_5640_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.37    6.36 ^ mprj/u_wb_host/_5640_/Q (sky130_fd_sc_hd__dfrtp_1)
+     3    0.01                           mprj/u_wb_host/u_lbist.u_lbist_core.scan_pat_cnt[3] (net)
+                  0.09    0.00    6.36 ^ mprj/u_wb_host/_3566_/A1 (sky130_fd_sc_hd__a22o_1)
+                  0.05    0.13    6.50 ^ mprj/u_wb_host/_3566_/X (sky130_fd_sc_hd__a22o_1)
+     1    0.00                           mprj/u_wb_host/_0178_ (net)
+                  0.05    0.00    6.50 ^ mprj/u_wb_host/_5640_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  6.50   data arrival time
+
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.20    6.46 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.07                           mprj/u_wb_host/clknet_4_2_0_lbist_clk_int (net)
+                  0.14    0.00    6.46 ^ mprj/u_wb_host/_5640_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    6.56   clock uncertainty
+                         -0.47    6.09   clock reconvergence pessimism
+                         -0.02    6.07   library hold time
+                                  6.07   data required time
+-----------------------------------------------------------------------------
+                                  6.07   data required time
+                                 -6.50   data arrival time
 -----------------------------------------------------------------------------
                                   0.43   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_5786_
+Startpoint: mprj/u_wb_host/_5909_
             (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5786_
+Endpoint: mprj/u_wb_host/_5909_
           (rising edge-triggered flip-flop clocked by lbist_clk)
 Path Group: lbist_clk
 Path Type: min
@@ -42482,118 +46285,148 @@
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.11    0.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    0.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    0.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17    0.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    1.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    1.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    3.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    3.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    3.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    3.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    3.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    4.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13    4.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    4.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13    4.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    4.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25    5.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.13    5.30 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    5.32 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12    5.55 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00    5.55 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.69 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.30 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.42 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    5.69 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.81 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      2    0.01                           mprj/u_wb_host/clknet_3_4_0_lbist_clk_int (net)
-                  0.04    0.00    5.42 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.19    5.61 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.08                           mprj/u_wb_host/clknet_4_8_0_lbist_clk_int (net)
-                  0.14    0.00    5.62 ^ mprj/u_wb_host/_5786_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.09    0.37    5.99 ^ mprj/u_wb_host/_5786_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_wb_host/u_lbist.lbist_reg_rdata[31] (net)
-                  0.09    0.00    5.99 ^ mprj/u_wb_host/_4156_/A1 (sky130_fd_sc_hd__o22a_1)
-                  0.04    0.14    6.12 ^ mprj/u_wb_host/_4156_/X (sky130_fd_sc_hd__o22a_1)
-     1    0.00                           mprj/u_wb_host/_0316_ (net)
-                  0.04    0.00    6.12 ^ mprj/u_wb_host/_5786_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  6.12   data arrival time
+                  0.04    0.00    5.81 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.16    5.97 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.05                           mprj/u_wb_host/clknet_4_8_0_lbist_clk_int (net)
+                  0.09    0.00    5.97 ^ mprj/u_wb_host/_5909_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.11    0.38    6.34 ^ mprj/u_wb_host/_5909_/Q (sky130_fd_sc_hd__dfrtp_1)
+     3    0.01                           mprj/u_wb_host/u_lbist.cfg_lbist_pat[15] (net)
+                  0.11    0.00    6.34 ^ mprj/u_wb_host/_4336_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.13    6.47 ^ mprj/u_wb_host/_4336_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0437_ (net)
+                  0.04    0.00    6.47 ^ mprj/u_wb_host/_5909_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  6.47   data arrival time
 
                           0.00    0.00   clock lbist_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -42601,124 +46434,154 @@
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
                   0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.71 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00    5.99 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.71 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      2    0.01                           mprj/u_wb_host/clknet_3_4_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.21    6.05 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.08                           mprj/u_wb_host/clknet_4_8_0_lbist_clk_int (net)
-                  0.14    0.00    6.06 ^ mprj/u_wb_host/_5786_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    6.16   clock uncertainty
-                         -0.44    5.72   clock reconvergence pessimism
-                         -0.02    5.70   library hold time
-                                  5.70   data required time
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.17    6.43 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.05                           mprj/u_wb_host/clknet_4_8_0_lbist_clk_int (net)
+                  0.09    0.00    6.43 ^ mprj/u_wb_host/_5909_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    6.53   clock uncertainty
+                         -0.46    6.07   clock reconvergence pessimism
+                         -0.03    6.04   library hold time
+                                  6.04   data required time
 -----------------------------------------------------------------------------
-                                  5.70   data required time
-                                 -6.12   data arrival time
+                                  6.04   data required time
+                                 -6.47   data arrival time
 -----------------------------------------------------------------------------
                                   0.43   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_5976_
+Startpoint: mprj/u_wb_host/_5668_
             (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5976_
+Endpoint: mprj/u_wb_host/_5668_
           (rising edge-triggered flip-flop clocked by lbist_clk)
 Path Group: lbist_clk
 Path Type: min
@@ -42730,118 +46593,148 @@
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.11    0.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    0.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    0.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17    0.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    1.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    1.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    3.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    3.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    3.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    3.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    3.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    4.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13    4.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    4.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13    4.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    4.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25    5.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.30 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    5.32 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12    5.55 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00    5.55 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.68 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
-                  0.05    0.00    5.30 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.41 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_6_0_lbist_clk_int (net)
-                  0.04    0.00    5.41 ^ mprj/u_wb_host/clkbuf_4_12_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.11    0.17    5.58 ^ mprj/u_wb_host/clkbuf_4_12_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    24    0.06                           mprj/u_wb_host/clknet_4_12_0_lbist_clk_int (net)
-                  0.11    0.00    5.58 ^ mprj/u_wb_host/_5976_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.10    0.38    5.96 ^ mprj/u_wb_host/_5976_/Q (sky130_fd_sc_hd__dfrtp_1)
-     3    0.01                           mprj/u_wb_host/u_lbist.cfg_chain_depth[1] (net)
-                  0.10    0.00    5.96 ^ mprj/u_wb_host/_4506_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    6.09 ^ mprj/u_wb_host/_4506_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0490_ (net)
-                  0.04    0.00    6.09 ^ mprj/u_wb_host/_5976_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  6.09   data arrival time
+                  0.05    0.00    5.68 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.80 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_7_0_lbist_clk_int (net)
+                  0.04    0.00    5.80 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18    5.98 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_14_0_lbist_clk_int (net)
+                  0.13    0.00    5.98 ^ mprj/u_wb_host/_5668_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.37    6.36 ^ mprj/u_wb_host/_5668_/Q (sky130_fd_sc_hd__dfrtp_1)
+     3    0.01                           mprj/u_wb_host/u_lbist.u_lbist_core.clk_cnt[9] (net)
+                  0.09    0.00    6.36 ^ mprj/u_wb_host/_3676_/A1 (sky130_fd_sc_hd__a22o_1)
+                  0.05    0.14    6.49 ^ mprj/u_wb_host/_3676_/X (sky130_fd_sc_hd__a22o_1)
+     1    0.00                           mprj/u_wb_host/_0206_ (net)
+                  0.05    0.00    6.49 ^ mprj/u_wb_host/_5668_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  6.49   data arrival time
 
                           0.00    0.00   clock lbist_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -42849,117 +46742,147 @@
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
                   0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.71 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    6.13 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
-                  0.05    0.00    5.71 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.84 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_6_0_lbist_clk_int (net)
-                  0.04    0.00    5.84 ^ mprj/u_wb_host/clkbuf_4_12_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.11    0.18    6.02 ^ mprj/u_wb_host/clkbuf_4_12_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    24    0.06                           mprj/u_wb_host/clknet_4_12_0_lbist_clk_int (net)
-                  0.11    0.00    6.02 ^ mprj/u_wb_host/_5976_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    6.12   clock uncertainty
-                         -0.44    5.68   clock reconvergence pessimism
-                         -0.02    5.66   library hold time
-                                  5.66   data required time
+                  0.05    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.25 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_7_0_lbist_clk_int (net)
+                  0.04    0.00    6.25 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.20    6.45 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_14_0_lbist_clk_int (net)
+                  0.13    0.00    6.45 ^ mprj/u_wb_host/_5668_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    6.55   clock uncertainty
+                         -0.47    6.08   clock reconvergence pessimism
+                         -0.02    6.06   library hold time
+                                  6.06   data required time
 -----------------------------------------------------------------------------
-                                  5.66   data required time
-                                 -6.09   data arrival time
+                                  6.06   data required time
+                                 -6.49   data arrival time
 -----------------------------------------------------------------------------
                                   0.43   slack (MET)
 
@@ -42978,118 +46901,148 @@
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.11    0.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    0.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    0.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17    0.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    1.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    1.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    3.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    3.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    3.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    3.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    3.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    4.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13    4.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    4.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13    4.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    4.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25    5.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.13    5.30 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.30 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.42 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_4_0_lbist_clk_int (net)
-                  0.04    0.00    5.42 ^ mprj/u_wb_host/clkbuf_4_9_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.19    5.61 ^ mprj/u_wb_host/clkbuf_4_9_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    32    0.08                           mprj/u_wb_host/clknet_4_9_0_lbist_clk_int (net)
-                  0.14    0.00    5.61 ^ mprj/u_wb_host/_5755_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.08    0.37    5.98 ^ mprj/u_wb_host/_5755_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_wb_host/u_lbist.lbist_reg_rdata[0] (net)
-                  0.08    0.00    5.98 ^ mprj/u_wb_host/_4086_/A1 (sky130_fd_sc_hd__o22a_1)
-                  0.05    0.14    6.12 ^ mprj/u_wb_host/_4086_/X (sky130_fd_sc_hd__o22a_1)
-     1    0.00                           mprj/u_wb_host/_0285_ (net)
-                  0.05    0.00    6.12 ^ mprj/u_wb_host/_5755_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  6.12   data arrival time
+                  0.06    0.00    5.32 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.55 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.55 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.69 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_lbist_clk_int (net)
+                  0.06    0.00    5.69 ^ mprj/u_wb_host/clkbuf_3_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.81 ^ mprj/u_wb_host/clkbuf_3_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_2_0_lbist_clk_int (net)
+                  0.04    0.00    5.81 ^ mprj/u_wb_host/clkbuf_4_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.10    0.16    5.97 ^ mprj/u_wb_host/clkbuf_4_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.05                           mprj/u_wb_host/clknet_4_5_0_lbist_clk_int (net)
+                  0.10    0.00    5.97 ^ mprj/u_wb_host/_5755_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.10    0.37    6.34 ^ mprj/u_wb_host/_5755_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_wb_host/u_lbist.lbist_reg_rdata[6] (net)
+                  0.10    0.00    6.34 ^ mprj/u_wb_host/_4093_/A1 (sky130_fd_sc_hd__o22a_1)
+                  0.04    0.14    6.49 ^ mprj/u_wb_host/_4093_/X (sky130_fd_sc_hd__o22a_1)
+     1    0.00                           mprj/u_wb_host/_0291_ (net)
+                  0.04    0.00    6.49 ^ mprj/u_wb_host/_5755_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  6.49   data arrival time
 
                           0.00    0.00   clock lbist_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -43097,124 +47050,154 @@
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
                   0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.71 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.71 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_4_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_9_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.21    6.05 ^ mprj/u_wb_host/clkbuf_4_9_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    32    0.08                           mprj/u_wb_host/clknet_4_9_0_lbist_clk_int (net)
-                  0.14    0.00    6.05 ^ mprj/u_wb_host/_5755_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    6.15   clock uncertainty
-                         -0.44    5.72   clock reconvergence pessimism
-                         -0.02    5.69   library hold time
-                                  5.69   data required time
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_lbist_clk_int (net)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_2_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.10    0.18    6.44 ^ mprj/u_wb_host/clkbuf_4_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.05                           mprj/u_wb_host/clknet_4_5_0_lbist_clk_int (net)
+                  0.10    0.00    6.44 ^ mprj/u_wb_host/_5755_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    6.54   clock uncertainty
+                         -0.47    6.07   clock reconvergence pessimism
+                         -0.03    6.05   library hold time
+                                  6.05   data required time
 -----------------------------------------------------------------------------
-                                  5.69   data required time
-                                 -6.12   data arrival time
+                                  6.05   data required time
+                                 -6.49   data arrival time
 -----------------------------------------------------------------------------
-                                  0.43   slack (MET)
+                                  0.44   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_5646_
+Startpoint: mprj/u_wb_host/_5652_
             (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5646_
+Endpoint: mprj/u_wb_host/_5652_
           (rising edge-triggered flip-flop clocked by lbist_clk)
 Path Group: lbist_clk
 Path Type: min
@@ -43226,118 +47209,148 @@
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.11    0.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    0.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    0.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17    0.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    1.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    1.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    3.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    3.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    3.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    3.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    3.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    4.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13    4.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    4.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13    4.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    4.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25    5.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    5.31 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.31 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.43 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_0_0_lbist_clk_int (net)
-                  0.04    0.00    5.43 ^ mprj/u_wb_host/clkbuf_4_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.10    0.16    5.59 ^ mprj/u_wb_host/clkbuf_4_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.05                           mprj/u_wb_host/clknet_4_1_0_lbist_clk_int (net)
-                  0.10    0.00    5.59 ^ mprj/u_wb_host/_5646_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.09    0.36    5.95 ^ mprj/u_wb_host/_5646_/Q (sky130_fd_sc_hd__dfrtp_1)
-     3    0.01                           mprj/u_wb_host/u_lbist.u_lbist_core.scan_pat_cnt[3] (net)
-                  0.09    0.00    5.95 ^ mprj/u_wb_host/_3576_/A1 (sky130_fd_sc_hd__a22o_1)
-                  0.05    0.14    6.09 ^ mprj/u_wb_host/_3576_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_wb_host/_0178_ (net)
-                  0.05    0.00    6.09 ^ mprj/u_wb_host/_5646_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  6.09   data arrival time
+                  0.06    0.00    5.32 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12    5.55 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00    5.55 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.69 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
+                  0.06    0.00    5.69 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.81 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
+                  0.04    0.00    5.81 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.18    5.99 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_10_0_lbist_clk_int (net)
+                  0.12    0.00    5.99 ^ mprj/u_wb_host/_5652_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.10    0.38    6.37 ^ mprj/u_wb_host/_5652_/Q (sky130_fd_sc_hd__dfrtp_1)
+     3    0.01                           mprj/u_wb_host/u_lbist.u_lbist_core.scan_pat_cnt[15] (net)
+                  0.10    0.00    6.37 ^ mprj/u_wb_host/_3609_/A1 (sky130_fd_sc_hd__a22o_1)
+                  0.05    0.14    6.50 ^ mprj/u_wb_host/_3609_/X (sky130_fd_sc_hd__a22o_1)
+     1    0.00                           mprj/u_wb_host/_0190_ (net)
+                  0.05    0.00    6.50 ^ mprj/u_wb_host/_5652_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  6.50   data arrival time
 
                           0.00    0.00   clock lbist_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -43345,119 +47358,2613 @@
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
                   0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.72 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00    5.99 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    6.45 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_10_0_lbist_clk_int (net)
+                  0.12    0.00    6.46 ^ mprj/u_wb_host/_5652_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    6.56   clock uncertainty
+                         -0.47    6.09   clock reconvergence pessimism
+                         -0.02    6.07   library hold time
+                                  6.07   data required time
+-----------------------------------------------------------------------------
+                                  6.07   data required time
+                                 -6.50   data arrival time
+-----------------------------------------------------------------------------
+                                  0.44   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5771_
+            (rising edge-triggered flip-flop clocked by lbist_clk)
+Endpoint: mprj/u_wb_host/_5771_
+          (rising edge-triggered flip-flop clocked by lbist_clk)
+Path Group: lbist_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.11    0.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    0.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    0.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17    0.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    1.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    1.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    1.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    2.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    3.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    3.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    3.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    3.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    3.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    3.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    4.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13    4.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    4.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13    4.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    4.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25    5.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.32 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.55 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.55 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.69 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.72 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    5.69 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.81 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      2    0.01                           mprj/u_wb_host/clknet_3_0_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.10    0.18    6.02 ^ mprj/u_wb_host/clkbuf_4_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.05                           mprj/u_wb_host/clknet_4_1_0_lbist_clk_int (net)
-                  0.10    0.00    6.03 ^ mprj/u_wb_host/_5646_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    6.13   clock uncertainty
-                         -0.44    5.69   clock reconvergence pessimism
-                         -0.03    5.66   library hold time
-                                  5.66   data required time
+                  0.04    0.00    5.81 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.16    5.97 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.05                           mprj/u_wb_host/clknet_4_0_0_lbist_clk_int (net)
+                  0.09    0.00    5.97 ^ mprj/u_wb_host/_5771_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.12    0.38    6.35 ^ mprj/u_wb_host/_5771_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_wb_host/u_lbist.lbist_reg_rdata[22] (net)
+                  0.12    0.00    6.35 ^ mprj/u_wb_host/_4126_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.13    6.48 ^ mprj/u_wb_host/_4126_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0307_ (net)
+                  0.04    0.00    6.48 ^ mprj/u_wb_host/_5771_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  6.48   data arrival time
+
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_0_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.17    6.43 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.05                           mprj/u_wb_host/clknet_4_0_0_lbist_clk_int (net)
+                  0.09    0.00    6.43 ^ mprj/u_wb_host/_5771_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    6.53   clock uncertainty
+                         -0.46    6.07   clock reconvergence pessimism
+                         -0.03    6.04   library hold time
+                                  6.04   data required time
 -----------------------------------------------------------------------------
-                                  5.66   data required time
-                                 -6.09   data arrival time
+                                  6.04   data required time
+                                 -6.48   data arrival time
 -----------------------------------------------------------------------------
-                                  0.43   slack (MET)
+                                  0.44   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6524_
+            (rising edge-triggered flip-flop clocked by lbist_clk)
+Endpoint: mprj/u_wb_host/_6524_
+          (rising edge-triggered flip-flop clocked by lbist_clk)
+Path Group: lbist_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.11    0.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    0.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    0.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17    0.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    1.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    1.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    1.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    2.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    3.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    3.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    3.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    3.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    3.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    3.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    4.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13    4.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    4.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13    4.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    4.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25    5.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.32 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12    5.55 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00    5.55 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.69 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
+                  0.06    0.00    5.69 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.81 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
+                  0.04    0.00    5.81 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.15    5.96 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.04                           mprj/u_wb_host/clknet_4_11_0_lbist_clk_int (net)
+                  0.09    0.00    5.96 ^ mprj/u_wb_host/_6524_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.12    0.38    6.34 ^ mprj/u_wb_host/_6524_/Q (sky130_fd_sc_hd__dfrtp_1)
+     4    0.01                           mprj/u_wb_host/u_lbist.u_lbist_core.next_scan_rst_n (net)
+                  0.12    0.00    6.34 ^ mprj/u_wb_host/_2777_/B2 (sky130_fd_sc_hd__a2bb2o_1)
+                  0.04    0.14    6.47 ^ mprj/u_wb_host/_2777_/X (sky130_fd_sc_hd__a2bb2o_1)
+     1    0.00                           mprj/u_wb_host/_0007_ (net)
+                  0.04    0.00    6.47 ^ mprj/u_wb_host/_6524_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  6.47   data arrival time
+
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00    5.99 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.16    6.42 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.04                           mprj/u_wb_host/clknet_4_11_0_lbist_clk_int (net)
+                  0.09    0.00    6.43 ^ mprj/u_wb_host/_6524_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    6.53   clock uncertainty
+                         -0.46    6.06   clock reconvergence pessimism
+                         -0.03    6.03   library hold time
+                                  6.03   data required time
+-----------------------------------------------------------------------------
+                                  6.03   data required time
+                                 -6.47   data arrival time
+-----------------------------------------------------------------------------
+                                  0.44   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5765_
+            (rising edge-triggered flip-flop clocked by lbist_clk)
+Endpoint: mprj/u_wb_host/_5765_
+          (rising edge-triggered flip-flop clocked by lbist_clk)
+Path Group: lbist_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.11    0.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    0.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    0.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17    0.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    1.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    1.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    1.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    2.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    3.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    3.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    3.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    3.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    3.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    3.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    4.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13    4.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    4.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13    4.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    4.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25    5.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.32 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.55 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.55 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.69 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
+                  0.06    0.00    5.69 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.81 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_0_0_lbist_clk_int (net)
+                  0.04    0.00    5.81 ^ mprj/u_wb_host/clkbuf_4_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15    5.96 ^ mprj/u_wb_host/clkbuf_4_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    11    0.04                           mprj/u_wb_host/clknet_4_1_0_lbist_clk_int (net)
+                  0.08    0.00    5.96 ^ mprj/u_wb_host/_5765_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.12    0.38    6.34 ^ mprj/u_wb_host/_5765_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_wb_host/u_lbist.lbist_reg_rdata[16] (net)
+                  0.12    0.00    6.34 ^ mprj/u_wb_host/_4114_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.13    6.47 ^ mprj/u_wb_host/_4114_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0301_ (net)
+                  0.04    0.00    6.47 ^ mprj/u_wb_host/_5765_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  6.47   data arrival time
+
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_0_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    6.42 ^ mprj/u_wb_host/clkbuf_4_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    11    0.04                           mprj/u_wb_host/clknet_4_1_0_lbist_clk_int (net)
+                  0.08    0.00    6.42 ^ mprj/u_wb_host/_5765_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    6.52   clock uncertainty
+                         -0.46    6.06   clock reconvergence pessimism
+                         -0.03    6.03   library hold time
+                                  6.03   data required time
+-----------------------------------------------------------------------------
+                                  6.03   data required time
+                                 -6.47   data arrival time
+-----------------------------------------------------------------------------
+                                  0.44   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5956_
+            (rising edge-triggered flip-flop clocked by lbist_clk)
+Endpoint: mprj/u_wb_host/_5956_
+          (rising edge-triggered flip-flop clocked by lbist_clk)
+Path Group: lbist_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.11    0.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    0.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    0.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17    0.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    1.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    1.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    1.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    2.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    3.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    3.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    3.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    3.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    3.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    3.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    4.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13    4.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    4.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13    4.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    4.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25    5.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.32 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.55 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.55 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.69 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
+                  0.06    0.00    5.69 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.81 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
+                  0.04    0.00    5.81 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.19    5.99 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.07                           mprj/u_wb_host/clknet_4_2_0_lbist_clk_int (net)
+                  0.14    0.00    5.99 ^ mprj/u_wb_host/_5956_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.11    0.39    6.38 ^ mprj/u_wb_host/_5956_/Q (sky130_fd_sc_hd__dfrtp_1)
+     3    0.01                           mprj/u_wb_host/u_lbist.cfg_lbist_pat[3] (net)
+                  0.11    0.00    6.38 ^ mprj/u_wb_host/_4471_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.13    6.51 ^ mprj/u_wb_host/_4471_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0476_ (net)
+                  0.04    0.00    6.51 ^ mprj/u_wb_host/_5956_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  6.51   data arrival time
+
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.20    6.46 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.07                           mprj/u_wb_host/clknet_4_2_0_lbist_clk_int (net)
+                  0.14    0.00    6.46 ^ mprj/u_wb_host/_5956_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    6.56   clock uncertainty
+                         -0.47    6.09   clock reconvergence pessimism
+                         -0.02    6.07   library hold time
+                                  6.07   data required time
+-----------------------------------------------------------------------------
+                                  6.07   data required time
+                                 -6.51   data arrival time
+-----------------------------------------------------------------------------
+                                  0.44   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5746_
+            (rising edge-triggered flip-flop clocked by lbist_clk)
+Endpoint: mprj/u_wb_host/_5746_
+          (rising edge-triggered flip-flop clocked by lbist_clk)
+Path Group: lbist_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.11    0.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    0.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    0.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17    0.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    1.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    1.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    1.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    2.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    3.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    3.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    3.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    3.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    3.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    3.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    4.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13    4.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    4.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13    4.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    4.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25    5.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.32 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12    5.55 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00    5.55 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.69 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
+                  0.06    0.00    5.69 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.81 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_4_0_lbist_clk_int (net)
+                  0.04    0.00    5.81 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.16    5.97 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.05                           mprj/u_wb_host/clknet_4_8_0_lbist_clk_int (net)
+                  0.09    0.00    5.97 ^ mprj/u_wb_host/_5746_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.12    0.38    6.35 ^ mprj/u_wb_host/_5746_/Q (sky130_fd_sc_hd__dfrtp_1)
+     3    0.01                           mprj/u_wb_host/u_lbist.cfg_lbist_rsb (net)
+                  0.12    0.00    6.35 ^ mprj/u_wb_host/_4065_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.13    6.48 ^ mprj/u_wb_host/_4065_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0282_ (net)
+                  0.04    0.00    6.48 ^ mprj/u_wb_host/_5746_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  6.48   data arrival time
+
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00    5.99 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_4_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.17    6.43 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.05                           mprj/u_wb_host/clknet_4_8_0_lbist_clk_int (net)
+                  0.09    0.00    6.43 ^ mprj/u_wb_host/_5746_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    6.53   clock uncertainty
+                         -0.46    6.07   clock reconvergence pessimism
+                         -0.03    6.04   library hold time
+                                  6.04   data required time
+-----------------------------------------------------------------------------
+                                  6.04   data required time
+                                 -6.48   data arrival time
+-----------------------------------------------------------------------------
+                                  0.44   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5727_
+            (rising edge-triggered flip-flop clocked by lbist_clk)
+Endpoint: mprj/u_wb_host/_5727_
+          (rising edge-triggered flip-flop clocked by lbist_clk)
+Path Group: lbist_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.11    0.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    0.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    0.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17    0.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    1.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    1.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    1.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    2.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    3.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    3.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    3.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    3.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    3.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    3.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    4.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13    4.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    4.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13    4.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    4.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25    5.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.32 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12    5.55 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00    5.55 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.68 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
+                  0.05    0.00    5.68 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.80 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_6_0_lbist_clk_int (net)
+                  0.04    0.00    5.80 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.17    5.97 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.06                           mprj/u_wb_host/clknet_4_13_0_lbist_clk_int (net)
+                  0.12    0.00    5.98 ^ mprj/u_wb_host/_5727_/CLK (sky130_fd_sc_hd__dfstp_1)
+                  0.07    0.40    6.38 v mprj/u_wb_host/_5727_/Q (sky130_fd_sc_hd__dfstp_1)
+     3    0.01                           mprj/u_wb_host/u_lbist.u_lbist_core.u_tx_crc.current_crc[18] (net)
+                  0.07    0.00    6.38 v mprj/u_wb_host/_3997_/B2 (sky130_fd_sc_hd__o32a_1)
+                  0.04    0.15    6.53 v mprj/u_wb_host/_3997_/X (sky130_fd_sc_hd__o32a_1)
+     1    0.00                           mprj/u_wb_host/_0264_ (net)
+                  0.04    0.00    6.53 v mprj/u_wb_host/_5727_/D (sky130_fd_sc_hd__dfstp_1)
+                                  6.53   data arrival time
+
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    6.13 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
+                  0.05    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.25 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_6_0_lbist_clk_int (net)
+                  0.04    0.00    6.25 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    6.44 ^ mprj/u_wb_host/clkbuf_4_13_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.06                           mprj/u_wb_host/clknet_4_13_0_lbist_clk_int (net)
+                  0.12    0.00    6.44 ^ mprj/u_wb_host/_5727_/CLK (sky130_fd_sc_hd__dfstp_1)
+                          0.10    6.54   clock uncertainty
+                         -0.47    6.08   clock reconvergence pessimism
+                          0.00    6.08   library hold time
+                                  6.08   data required time
+-----------------------------------------------------------------------------
+                                  6.08   data required time
+                                 -6.53   data arrival time
+-----------------------------------------------------------------------------
+                                  0.44   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5728_
+            (rising edge-triggered flip-flop clocked by lbist_clk)
+Endpoint: mprj/u_wb_host/_5728_
+          (rising edge-triggered flip-flop clocked by lbist_clk)
+Path Group: lbist_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.11    0.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    0.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    0.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17    0.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    1.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    1.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    1.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    2.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    3.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    3.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    3.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    3.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    3.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    3.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    4.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13    4.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    4.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13    4.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    4.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25    5.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.32 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12    5.55 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00    5.55 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.68 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
+                  0.05    0.00    5.68 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.80 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_7_0_lbist_clk_int (net)
+                  0.04    0.00    5.80 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18    5.98 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_14_0_lbist_clk_int (net)
+                  0.13    0.00    5.98 ^ mprj/u_wb_host/_5728_/CLK (sky130_fd_sc_hd__dfstp_1)
+                  0.06    0.40    6.38 v mprj/u_wb_host/_5728_/Q (sky130_fd_sc_hd__dfstp_1)
+     3    0.01                           mprj/u_wb_host/u_lbist.u_lbist_core.u_tx_crc.current_crc[19] (net)
+                  0.06    0.00    6.38 v mprj/u_wb_host/_4002_/B2 (sky130_fd_sc_hd__o32a_1)
+                  0.04    0.15    6.53 v mprj/u_wb_host/_4002_/X (sky130_fd_sc_hd__o32a_1)
+     1    0.00                           mprj/u_wb_host/_0265_ (net)
+                  0.04    0.00    6.53 v mprj/u_wb_host/_5728_/D (sky130_fd_sc_hd__dfstp_1)
+                                  6.53   data arrival time
+
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    6.13 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
+                  0.05    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.25 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_7_0_lbist_clk_int (net)
+                  0.04    0.00    6.25 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.20    6.45 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_14_0_lbist_clk_int (net)
+                  0.13    0.00    6.45 ^ mprj/u_wb_host/_5728_/CLK (sky130_fd_sc_hd__dfstp_1)
+                          0.10    6.55   clock uncertainty
+                         -0.47    6.08   clock reconvergence pessimism
+                          0.00    6.09   library hold time
+                                  6.09   data required time
+-----------------------------------------------------------------------------
+                                  6.09   data required time
+                                 -6.53   data arrival time
+-----------------------------------------------------------------------------
+                                  0.45   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5666_
+            (rising edge-triggered flip-flop clocked by lbist_clk)
+Endpoint: mprj/u_wb_host/_5666_
+          (rising edge-triggered flip-flop clocked by lbist_clk)
+Path Group: lbist_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.11    0.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    0.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    0.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17    0.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    1.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    1.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    1.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    2.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    3.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    3.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    3.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    3.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    3.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    3.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    4.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13    4.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    4.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13    4.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    4.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25    5.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.32 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12    5.55 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00    5.55 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.68 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
+                  0.05    0.00    5.68 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.80 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_7_0_lbist_clk_int (net)
+                  0.04    0.00    5.80 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18    5.98 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_14_0_lbist_clk_int (net)
+                  0.13    0.00    5.98 ^ mprj/u_wb_host/_5666_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.11    0.39    6.37 ^ mprj/u_wb_host/_5666_/Q (sky130_fd_sc_hd__dfrtp_1)
+     4    0.01                           mprj/u_wb_host/u_lbist.u_lbist_core.clk_cnt[7] (net)
+                  0.11    0.00    6.37 ^ mprj/u_wb_host/_3669_/A1 (sky130_fd_sc_hd__a22o_1)
+                  0.04    0.14    6.51 ^ mprj/u_wb_host/_3669_/X (sky130_fd_sc_hd__a22o_1)
+     1    0.00                           mprj/u_wb_host/_0204_ (net)
+                  0.04    0.00    6.51 ^ mprj/u_wb_host/_5666_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  6.51   data arrival time
+
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    6.13 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
+                  0.05    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.25 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_7_0_lbist_clk_int (net)
+                  0.04    0.00    6.25 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.20    6.45 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_14_0_lbist_clk_int (net)
+                  0.13    0.00    6.45 ^ mprj/u_wb_host/_5666_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    6.55   clock uncertainty
+                         -0.47    6.08   clock reconvergence pessimism
+                         -0.02    6.06   library hold time
+                                  6.06   data required time
+-----------------------------------------------------------------------------
+                                  6.06   data required time
+                                 -6.51   data arrival time
+-----------------------------------------------------------------------------
+                                  0.45   slack (MET)
 
 
 Startpoint: mprj/u_wb_host/_5671_
@@ -43474,1854 +49981,148 @@
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.11    0.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    0.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    0.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17    0.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    1.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    1.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    3.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    3.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    3.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    3.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    3.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    4.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13    4.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    4.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13    4.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    4.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25    5.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.13    5.30 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.30 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.42 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
-                  0.04    0.00    5.42 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.12    0.18    5.60 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    26    0.06                           mprj/u_wb_host/clknet_4_11_0_lbist_clk_int (net)
-                  0.12    0.00    5.60 ^ mprj/u_wb_host/_5671_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.09    0.37    5.97 ^ mprj/u_wb_host/_5671_/Q (sky130_fd_sc_hd__dfrtp_1)
-     3    0.01                           mprj/u_wb_host/u_lbist.u_lbist_core.clk_cnt[6] (net)
-                  0.09    0.00    5.97 ^ mprj/u_wb_host/_3675_/A1 (sky130_fd_sc_hd__a22o_1)
-                  0.05    0.14    6.11 ^ mprj/u_wb_host/_3675_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_wb_host/_0203_ (net)
-                  0.05    0.00    6.11 ^ mprj/u_wb_host/_5671_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  6.11   data arrival time
-
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.71 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.71 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.84 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
-                  0.04    0.00    5.84 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.12    0.19    6.03 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    26    0.06                           mprj/u_wb_host/clknet_4_11_0_lbist_clk_int (net)
-                  0.12    0.00    6.04 ^ mprj/u_wb_host/_5671_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    6.14   clock uncertainty
-                         -0.44    5.70   clock reconvergence pessimism
-                         -0.02    5.68   library hold time
-                                  5.68   data required time
------------------------------------------------------------------------------
-                                  5.68   data required time
-                                 -6.11   data arrival time
------------------------------------------------------------------------------
-                                  0.43   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5757_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5757_
-          (rising edge-triggered flip-flop clocked by lbist_clk)
-Path Group: lbist_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.13    5.30 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.30 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.42 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_4_0_lbist_clk_int (net)
-                  0.04    0.00    5.42 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.19    5.61 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.08                           mprj/u_wb_host/clknet_4_8_0_lbist_clk_int (net)
-                  0.14    0.00    5.62 ^ mprj/u_wb_host/_5757_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.10    0.38    5.99 ^ mprj/u_wb_host/_5757_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_wb_host/u_lbist.lbist_reg_rdata[2] (net)
-                  0.10    0.00    5.99 ^ mprj/u_wb_host/_4092_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    6.13 ^ mprj/u_wb_host/_4092_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0287_ (net)
-                  0.05    0.00    6.13 ^ mprj/u_wb_host/_5757_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  6.13   data arrival time
-
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.71 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.71 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_4_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.21    6.05 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.08                           mprj/u_wb_host/clknet_4_8_0_lbist_clk_int (net)
-                  0.14    0.00    6.05 ^ mprj/u_wb_host/_5757_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    6.15   clock uncertainty
-                         -0.44    5.72   clock reconvergence pessimism
-                         -0.02    5.69   library hold time
-                                  5.69   data required time
------------------------------------------------------------------------------
-                                  5.69   data required time
-                                 -6.13   data arrival time
------------------------------------------------------------------------------
-                                  0.43   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5959_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5959_
-          (rising edge-triggered flip-flop clocked by lbist_clk)
-Path Group: lbist_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    5.31 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.31 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.43 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_0_0_lbist_clk_int (net)
-                  0.04    0.00    5.43 ^ mprj/u_wb_host/clkbuf_4_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.10    0.16    5.59 ^ mprj/u_wb_host/clkbuf_4_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.05                           mprj/u_wb_host/clknet_4_1_0_lbist_clk_int (net)
-                  0.10    0.00    5.59 ^ mprj/u_wb_host/_5959_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.11    0.38    5.97 ^ mprj/u_wb_host/_5959_/Q (sky130_fd_sc_hd__dfrtp_1)
-     3    0.01                           mprj/u_wb_host/u_lbist.cfg_lbist_pat[0] (net)
-                  0.11    0.00    5.97 ^ mprj/u_wb_host/_4470_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    6.10 ^ mprj/u_wb_host/_4470_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0473_ (net)
-                  0.04    0.00    6.10 ^ mprj/u_wb_host/_5959_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  6.10   data arrival time
-
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.72 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.72 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_0_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.10    0.18    6.02 ^ mprj/u_wb_host/clkbuf_4_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.05                           mprj/u_wb_host/clknet_4_1_0_lbist_clk_int (net)
-                  0.10    0.00    6.03 ^ mprj/u_wb_host/_5959_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    6.13   clock uncertainty
-                         -0.44    5.69   clock reconvergence pessimism
-                         -0.03    5.66   library hold time
-                                  5.66   data required time
------------------------------------------------------------------------------
-                                  5.66   data required time
-                                 -6.10   data arrival time
------------------------------------------------------------------------------
-                                  0.43   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5667_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5667_
-          (rising edge-triggered flip-flop clocked by lbist_clk)
-Path Group: lbist_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.13    5.30 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.30 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.42 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
-                  0.04    0.00    5.42 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.12    0.18    5.60 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    26    0.06                           mprj/u_wb_host/clknet_4_11_0_lbist_clk_int (net)
-                  0.12    0.00    5.60 ^ mprj/u_wb_host/_5667_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.10    0.38    5.98 ^ mprj/u_wb_host/_5667_/Q (sky130_fd_sc_hd__dfrtp_1)
-     4    0.01                           mprj/u_wb_host/u_lbist.u_lbist_core.clk_cnt[2] (net)
-                  0.10    0.00    5.98 ^ mprj/u_wb_host/_3660_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    6.11 ^ mprj/u_wb_host/_3660_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0199_ (net)
-                  0.04    0.00    6.11 ^ mprj/u_wb_host/_5667_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  6.11   data arrival time
-
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.71 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.71 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.84 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
-                  0.04    0.00    5.84 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.12    0.19    6.03 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    26    0.06                           mprj/u_wb_host/clknet_4_11_0_lbist_clk_int (net)
-                  0.12    0.00    6.03 ^ mprj/u_wb_host/_5667_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    6.13   clock uncertainty
-                         -0.44    5.70   clock reconvergence pessimism
-                         -0.02    5.67   library hold time
-                                  5.67   data required time
------------------------------------------------------------------------------
-                                  5.67   data required time
-                                 -6.11   data arrival time
------------------------------------------------------------------------------
-                                  0.43   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6531_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_6531_
-          (rising edge-triggered flip-flop clocked by lbist_clk)
-Path Group: lbist_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.13    5.30 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.30 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.42 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
-                  0.04    0.00    5.42 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.13    0.18    5.60 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.07                           mprj/u_wb_host/clknet_4_10_0_lbist_clk_int (net)
-                  0.13    0.00    5.61 ^ mprj/u_wb_host/_6531_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.11    0.39    5.99 ^ mprj/u_wb_host/_6531_/Q (sky130_fd_sc_hd__dfrtp_1)
-     3    0.01                           mprj/u_wb_host/u_lbist.u_lbist_core.state[5] (net)
-                  0.11    0.00    5.99 ^ mprj/u_wb_host/_2785_/B2 (sky130_fd_sc_hd__a32o_1)
-                  0.05    0.13    6.12 ^ mprj/u_wb_host/_2785_/X (sky130_fd_sc_hd__a32o_1)
-     1    0.00                           mprj/u_wb_host/_0008_ (net)
-                  0.05    0.00    6.12 ^ mprj/u_wb_host/_6531_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  6.12   data arrival time
-
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.71 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.71 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.84 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
-                  0.04    0.00    5.84 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.13    0.20    6.04 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.07                           mprj/u_wb_host/clknet_4_10_0_lbist_clk_int (net)
-                  0.13    0.00    6.04 ^ mprj/u_wb_host/_6531_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    6.14   clock uncertainty
-                         -0.44    5.71   clock reconvergence pessimism
-                         -0.02    5.68   library hold time
-                                  5.68   data required time
------------------------------------------------------------------------------
-                                  5.68   data required time
-                                 -6.12   data arrival time
------------------------------------------------------------------------------
-                                  0.43   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5783_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5783_
-          (rising edge-triggered flip-flop clocked by lbist_clk)
-Path Group: lbist_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    5.31 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.31 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.43 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_0_0_lbist_clk_int (net)
-                  0.04    0.00    5.43 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.19    5.62 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    28    0.08                           mprj/u_wb_host/clknet_4_0_0_lbist_clk_int (net)
-                  0.14    0.00    5.62 ^ mprj/u_wb_host/_5783_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.10    0.39    6.00 ^ mprj/u_wb_host/_5783_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_wb_host/u_lbist.lbist_reg_rdata[28] (net)
-                  0.10    0.00    6.00 ^ mprj/u_wb_host/_4149_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    6.13 ^ mprj/u_wb_host/_4149_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0313_ (net)
-                  0.04    0.00    6.13 ^ mprj/u_wb_host/_5783_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  6.13   data arrival time
-
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.72 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.72 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_0_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.20    6.05 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    28    0.08                           mprj/u_wb_host/clknet_4_0_0_lbist_clk_int (net)
-                  0.14    0.00    6.05 ^ mprj/u_wb_host/_5783_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    6.15   clock uncertainty
-                         -0.44    5.72   clock reconvergence pessimism
-                         -0.02    5.70   library hold time
-                                  5.70   data required time
------------------------------------------------------------------------------
-                                  5.70   data required time
-                                 -6.13   data arrival time
------------------------------------------------------------------------------
-                                  0.44   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5668_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5668_
-          (rising edge-triggered flip-flop clocked by lbist_clk)
-Path Group: lbist_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.13    5.30 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.30 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.42 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
-                  0.04    0.00    5.42 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.12    0.18    5.60 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    26    0.06                           mprj/u_wb_host/clknet_4_11_0_lbist_clk_int (net)
-                  0.12    0.00    5.60 ^ mprj/u_wb_host/_5668_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.10    0.38    5.98 ^ mprj/u_wb_host/_5668_/Q (sky130_fd_sc_hd__dfrtp_1)
-     3    0.01                           mprj/u_wb_host/u_lbist.u_lbist_core.clk_cnt[3] (net)
-                  0.10    0.00    5.98 ^ mprj/u_wb_host/_3664_/A1 (sky130_fd_sc_hd__a22o_1)
-                  0.04    0.13    6.11 ^ mprj/u_wb_host/_3664_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_wb_host/_0200_ (net)
-                  0.04    0.00    6.11 ^ mprj/u_wb_host/_5668_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  6.11   data arrival time
-
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.71 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.71 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.84 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
-                  0.04    0.00    5.84 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.12    0.19    6.03 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    26    0.06                           mprj/u_wb_host/clknet_4_11_0_lbist_clk_int (net)
-                  0.12    0.00    6.04 ^ mprj/u_wb_host/_5668_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    6.14   clock uncertainty
-                         -0.44    5.70   clock reconvergence pessimism
-                         -0.02    5.68   library hold time
-                                  5.68   data required time
------------------------------------------------------------------------------
-                                  5.68   data required time
-                                 -6.11   data arrival time
------------------------------------------------------------------------------
-                                  0.44   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5677_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5677_
-          (rising edge-triggered flip-flop clocked by lbist_clk)
-Path Group: lbist_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.30 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    5.32 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12    5.55 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00    5.55 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.68 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
-                  0.05    0.00    5.30 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.41 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    5.68 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.80 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      2    0.01                           mprj/u_wb_host/clknet_3_7_0_lbist_clk_int (net)
-                  0.04    0.00    5.41 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.11    0.17    5.58 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    24    0.06                           mprj/u_wb_host/clknet_4_14_0_lbist_clk_int (net)
-                  0.11    0.00    5.59 ^ mprj/u_wb_host/_5677_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.11    0.38    5.96 ^ mprj/u_wb_host/_5677_/Q (sky130_fd_sc_hd__dfrtp_1)
+                  0.04    0.00    5.80 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18    5.98 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_14_0_lbist_clk_int (net)
+                  0.13    0.00    5.98 ^ mprj/u_wb_host/_5671_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.11    0.39    6.37 ^ mprj/u_wb_host/_5671_/Q (sky130_fd_sc_hd__dfrtp_1)
      3    0.01                           mprj/u_wb_host/u_lbist.u_lbist_core.clk_cnt[12] (net)
-                  0.11    0.00    5.96 ^ mprj/u_wb_host/_3697_/A1 (sky130_fd_sc_hd__a22o_1)
-                  0.04    0.13    6.10 ^ mprj/u_wb_host/_3697_/X (sky130_fd_sc_hd__a22o_1)
+                  0.11    0.00    6.37 ^ mprj/u_wb_host/_3687_/A1 (sky130_fd_sc_hd__a22o_1)
+                  0.04    0.14    6.51 ^ mprj/u_wb_host/_3687_/X (sky130_fd_sc_hd__a22o_1)
      1    0.00                           mprj/u_wb_host/_0209_ (net)
-                  0.04    0.00    6.10 ^ mprj/u_wb_host/_5677_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  6.10   data arrival time
+                  0.04    0.00    6.51 ^ mprj/u_wb_host/_5671_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  6.51   data arrival time
 
                           0.00    0.00   clock lbist_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -45329,124 +50130,154 @@
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
                   0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.71 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    6.13 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
-                  0.05    0.00    5.71 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.84 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.25 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      2    0.01                           mprj/u_wb_host/clknet_3_7_0_lbist_clk_int (net)
-                  0.04    0.00    5.84 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.11    0.18    6.02 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    24    0.06                           mprj/u_wb_host/clknet_4_14_0_lbist_clk_int (net)
-                  0.11    0.00    6.02 ^ mprj/u_wb_host/_5677_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    6.12   clock uncertainty
-                         -0.44    5.69   clock reconvergence pessimism
-                         -0.02    5.66   library hold time
-                                  5.66   data required time
+                  0.04    0.00    6.25 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.20    6.45 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_14_0_lbist_clk_int (net)
+                  0.13    0.00    6.45 ^ mprj/u_wb_host/_5671_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    6.55   clock uncertainty
+                         -0.47    6.08   clock reconvergence pessimism
+                         -0.02    6.06   library hold time
+                                  6.06   data required time
 -----------------------------------------------------------------------------
-                                  5.66   data required time
-                                 -6.10   data arrival time
+                                  6.06   data required time
+                                 -6.51   data arrival time
 -----------------------------------------------------------------------------
-                                  0.44   slack (MET)
+                                  0.45   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_5658_
+Startpoint: mprj/u_wb_host/_5647_
             (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5658_
+Endpoint: mprj/u_wb_host/_5647_
           (rising edge-triggered flip-flop clocked by lbist_clk)
 Path Group: lbist_clk
 Path Type: min
@@ -45458,118 +50289,148 @@
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.11    0.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    0.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    0.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17    0.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    1.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    1.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    3.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    3.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    3.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    3.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    3.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    4.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13    4.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    4.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13    4.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    4.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25    5.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.13    5.30 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    5.32 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12    5.55 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00    5.55 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.69 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.30 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.42 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
-                  0.04    0.00    5.42 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.13    0.18    5.60 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.07                           mprj/u_wb_host/clknet_4_10_0_lbist_clk_int (net)
-                  0.13    0.00    5.60 ^ mprj/u_wb_host/_5658_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.10    0.38    5.98 ^ mprj/u_wb_host/_5658_/Q (sky130_fd_sc_hd__dfrtp_1)
-     3    0.01                           mprj/u_wb_host/u_lbist.u_lbist_core.scan_pat_cnt[15] (net)
-                  0.10    0.00    5.98 ^ mprj/u_wb_host/_3619_/A1 (sky130_fd_sc_hd__a22o_1)
-                  0.05    0.14    6.12 ^ mprj/u_wb_host/_3619_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_wb_host/_0190_ (net)
-                  0.05    0.00    6.12 ^ mprj/u_wb_host/_5658_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  6.12   data arrival time
+                  0.06    0.00    5.69 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.81 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_4_0_lbist_clk_int (net)
+                  0.04    0.00    5.81 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.16    5.97 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.05                           mprj/u_wb_host/clknet_4_8_0_lbist_clk_int (net)
+                  0.09    0.00    5.97 ^ mprj/u_wb_host/_5647_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.12    0.38    6.35 ^ mprj/u_wb_host/_5647_/Q (sky130_fd_sc_hd__dfrtp_1)
+     4    0.01                           mprj/u_wb_host/u_lbist.u_lbist_core.scan_pat_cnt[10] (net)
+                  0.12    0.00    6.35 ^ mprj/u_wb_host/_3592_/A1 (sky130_fd_sc_hd__a22o_1)
+                  0.04    0.14    6.49 ^ mprj/u_wb_host/_3592_/X (sky130_fd_sc_hd__a22o_1)
+     1    0.00                           mprj/u_wb_host/_0185_ (net)
+                  0.04    0.00    6.49 ^ mprj/u_wb_host/_5647_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  6.49   data arrival time
 
                           0.00    0.00   clock lbist_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -45577,124 +50438,154 @@
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
                   0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.71 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00    5.99 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.71 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.84 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
-                  0.04    0.00    5.84 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.13    0.20    6.04 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.07                           mprj/u_wb_host/clknet_4_10_0_lbist_clk_int (net)
-                  0.13    0.00    6.04 ^ mprj/u_wb_host/_5658_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    6.14   clock uncertainty
-                         -0.44    5.70   clock reconvergence pessimism
-                         -0.02    5.68   library hold time
-                                  5.68   data required time
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_4_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.17    6.43 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.05                           mprj/u_wb_host/clknet_4_8_0_lbist_clk_int (net)
+                  0.09    0.00    6.43 ^ mprj/u_wb_host/_5647_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    6.53   clock uncertainty
+                         -0.46    6.07   clock reconvergence pessimism
+                         -0.03    6.04   library hold time
+                                  6.04   data required time
 -----------------------------------------------------------------------------
-                                  5.68   data required time
-                                 -6.12   data arrival time
+                                  6.04   data required time
+                                 -6.49   data arrival time
 -----------------------------------------------------------------------------
-                                  0.44   slack (MET)
+                                  0.45   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_5674_
+Startpoint: mprj/u_wb_host/_5650_
             (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5674_
+Endpoint: mprj/u_wb_host/_5650_
           (rising edge-triggered flip-flop clocked by lbist_clk)
 Path Group: lbist_clk
 Path Type: min
@@ -45706,118 +50597,148 @@
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.11    0.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    0.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    0.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    0.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    0.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17    0.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    0.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    1.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    1.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20    1.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20    2.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    2.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    3.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    3.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19    3.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    3.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    3.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    3.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    3.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    3.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    4.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    3.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    3.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13    4.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62    4.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    4.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29    5.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13    4.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    4.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13    4.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    4.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25    5.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.17 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.13    5.30 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    5.32 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12    5.55 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00    5.55 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.69 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.30 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    5.42 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
-                  0.04    0.00    5.42 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.12    0.18    5.60 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    26    0.06                           mprj/u_wb_host/clknet_4_11_0_lbist_clk_int (net)
-                  0.12    0.00    5.60 ^ mprj/u_wb_host/_5674_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.10    0.38    5.98 ^ mprj/u_wb_host/_5674_/Q (sky130_fd_sc_hd__dfrtp_1)
-     3    0.01                           mprj/u_wb_host/u_lbist.u_lbist_core.clk_cnt[9] (net)
-                  0.10    0.00    5.98 ^ mprj/u_wb_host/_3686_/A1 (sky130_fd_sc_hd__a22o_1)
-                  0.05    0.14    6.12 ^ mprj/u_wb_host/_3686_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_wb_host/_0206_ (net)
-                  0.05    0.00    6.12 ^ mprj/u_wb_host/_5674_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  6.12   data arrival time
+                  0.06    0.00    5.69 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.81 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_4_0_lbist_clk_int (net)
+                  0.04    0.00    5.81 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.16    5.97 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.05                           mprj/u_wb_host/clknet_4_8_0_lbist_clk_int (net)
+                  0.09    0.00    5.97 ^ mprj/u_wb_host/_5650_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.12    0.38    6.35 ^ mprj/u_wb_host/_5650_/Q (sky130_fd_sc_hd__dfrtp_1)
+     4    0.01                           mprj/u_wb_host/u_lbist.u_lbist_core.scan_pat_cnt[13] (net)
+                  0.12    0.00    6.35 ^ mprj/u_wb_host/_3603_/A1 (sky130_fd_sc_hd__a22o_1)
+                  0.04    0.14    6.49 ^ mprj/u_wb_host/_3603_/X (sky130_fd_sc_hd__a22o_1)
+     1    0.00                           mprj/u_wb_host/_0188_ (net)
+                  0.04    0.00    6.49 ^ mprj/u_wb_host/_5650_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  6.49   data arrival time
 
                           0.00    0.00   clock lbist_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -45825,124 +50746,462 @@
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
                   0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.71 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00    5.99 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.71 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.84 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
-                  0.04    0.00    5.84 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.12    0.19    6.03 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    26    0.06                           mprj/u_wb_host/clknet_4_11_0_lbist_clk_int (net)
-                  0.12    0.00    6.04 ^ mprj/u_wb_host/_5674_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    6.14   clock uncertainty
-                         -0.44    5.70   clock reconvergence pessimism
-                         -0.03    5.67   library hold time
-                                  5.67   data required time
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_4_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.17    6.43 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.05                           mprj/u_wb_host/clknet_4_8_0_lbist_clk_int (net)
+                  0.09    0.00    6.43 ^ mprj/u_wb_host/_5650_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    6.53   clock uncertainty
+                         -0.46    6.07   clock reconvergence pessimism
+                         -0.03    6.04   library hold time
+                                  6.04   data required time
 -----------------------------------------------------------------------------
-                                  5.67   data required time
-                                 -6.12   data arrival time
+                                  6.04   data required time
+                                 -6.49   data arrival time
 -----------------------------------------------------------------------------
-                                  0.44   slack (MET)
+                                  0.45   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_09038_
+Startpoint: mprj/u_wb_host/_5723_
+            (rising edge-triggered flip-flop clocked by lbist_clk)
+Endpoint: mprj/u_wb_host/_5723_
+          (rising edge-triggered flip-flop clocked by lbist_clk)
+Path Group: lbist_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.11    0.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14    0.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    0.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    0.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17    0.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    1.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    1.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16    1.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    1.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    2.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    2.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    2.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    3.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    3.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    3.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    3.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    3.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    3.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    4.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13    4.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    4.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13    4.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    4.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25    5.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.32 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12    5.55 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00    5.55 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.68 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
+                  0.05    0.00    5.68 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    5.80 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_7_0_lbist_clk_int (net)
+                  0.04    0.00    5.80 ^ mprj/u_wb_host/clkbuf_4_15_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.15    5.96 ^ mprj/u_wb_host/clkbuf_4_15_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    18    0.05                           mprj/u_wb_host/clknet_4_15_0_lbist_clk_int (net)
+                  0.09    0.00    5.96 ^ mprj/u_wb_host/_5723_/CLK (sky130_fd_sc_hd__dfstp_1)
+                  0.07    0.39    6.35 v mprj/u_wb_host/_5723_/Q (sky130_fd_sc_hd__dfstp_1)
+     4    0.01                           mprj/u_wb_host/u_lbist.u_lbist_core.u_tx_crc.current_crc[14] (net)
+                  0.07    0.00    6.35 v mprj/u_wb_host/_3975_/B2 (sky130_fd_sc_hd__o32a_1)
+                  0.04    0.15    6.50 v mprj/u_wb_host/_3975_/X (sky130_fd_sc_hd__o32a_1)
+     1    0.00                           mprj/u_wb_host/_0260_ (net)
+                  0.04    0.00    6.50 v mprj/u_wb_host/_5723_/D (sky130_fd_sc_hd__dfstp_1)
+                                  6.50   data arrival time
+
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    6.13 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
+                  0.05    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.25 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_7_0_lbist_clk_int (net)
+                  0.04    0.00    6.25 ^ mprj/u_wb_host/clkbuf_4_15_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.17    6.42 ^ mprj/u_wb_host/clkbuf_4_15_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    18    0.05                           mprj/u_wb_host/clknet_4_15_0_lbist_clk_int (net)
+                  0.09    0.00    6.42 ^ mprj/u_wb_host/_5723_/CLK (sky130_fd_sc_hd__dfstp_1)
+                          0.10    6.52   clock uncertainty
+                         -0.46    6.06   clock reconvergence pessimism
+                          0.00    6.05   library hold time
+                                  6.05   data required time
+-----------------------------------------------------------------------------
+                                  6.05   data required time
+                                 -6.50   data arrival time
+-----------------------------------------------------------------------------
+                                  0.45   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09041_
             (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_09020_
+Endpoint: mprj/u_mac_wrap/_09074_
           (rising edge-triggered flip-flop clocked by mac_rx_clk)
 Path Group: mac_rx_clk
 Path Type: min
@@ -45966,38 +51225,346 @@
                   0.15    0.00    1.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.11    1.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17    1.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    1.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21    1.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    1.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43    2.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    2.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24    2.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12    1.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    1.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81    2.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21    2.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42    3.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    3.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15    3.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    3.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32    3.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    3.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28    4.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    4.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21    4.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    4.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16    4.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    2.61 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.15    2.76 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00    2.77 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    2.91 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_3_0_phy_rx_clk (net)
-                  0.06    0.00    2.91 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.17    0.22    3.12 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.09                           mprj/u_mac_wrap/clknet_3_6_0_phy_rx_clk (net)
-                  0.17    0.00    3.12 ^ mprj/u_mac_wrap/clkbuf_leaf_33_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.17    3.29 ^ mprj/u_mac_wrap/clkbuf_leaf_33_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     9    0.03                           mprj/u_mac_wrap/clknet_leaf_33_phy_rx_clk (net)
-                  0.05    0.00    3.29 ^ mprj/u_mac_wrap/_09038_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.10    0.35    3.64 ^ mprj/u_mac_wrap/_09038_/Q (sky130_fd_sc_hd__dfrtp_1)
+                  0.05    0.00    4.41 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15    4.67 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    4.67 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    4.82 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
+                  0.06    0.00    4.82 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.26    0.28    5.10 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
+                  0.26    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_leaf_40_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.21    5.30 ^ mprj/u_mac_wrap/clkbuf_leaf_40_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    14    0.04                           mprj/u_mac_wrap/clknet_leaf_40_phy_rx_clk (net)
+                  0.07    0.00    5.30 ^ mprj/u_mac_wrap/_09041_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.06    0.32    5.63 ^ mprj/u_mac_wrap/_09041_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.buf_latch4[2] (net)
+                  0.06    0.00    5.63 ^ mprj/u_mac_wrap/_09074_/D (sky130_fd_sc_hd__dfrtp_2)
+                                  5.63   data arrival time
+
+                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
+     1   11.12                           mprj_io[12] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[12] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    7.15 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    7.15 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.16    7.31 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
+                  0.06    0.00    7.31 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.26    0.30    7.61 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
+                  0.26    0.00    7.61 ^ mprj/u_mac_wrap/clkbuf_leaf_40_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    7.83 ^ mprj/u_mac_wrap/clkbuf_leaf_40_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    14    0.04                           mprj/u_mac_wrap/clknet_leaf_40_phy_rx_clk (net)
+                  0.07    0.00    7.83 ^ mprj/u_mac_wrap/_09074_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                          0.10    7.93   clock uncertainty
+                         -2.53    5.40   clock reconvergence pessimism
+                         -0.03    5.37   library hold time
+                                  5.37   data required time
+-----------------------------------------------------------------------------
+                                  5.37   data required time
+                                 -5.63   data arrival time
+-----------------------------------------------------------------------------
+                                  0.26   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09045_
+            (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Endpoint: mprj/u_mac_wrap/_09078_
+          (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Path Group: mac_rx_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ mprj_io[12] (inout)
+     1    6.12                           mprj_io[12] (net)
+                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[12] (net)
+                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00    1.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10    1.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00    1.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21    1.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00    1.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11    1.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12    1.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    1.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81    2.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21    2.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42    3.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    3.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15    3.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    3.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32    3.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    3.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28    4.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    4.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21    4.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    4.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16    4.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00    4.41 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15    4.67 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    4.67 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    4.82 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
+                  0.06    0.00    4.82 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.26    0.28    5.10 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
+                  0.26    0.01    5.10 ^ mprj/u_mac_wrap/clkbuf_leaf_27_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    5.30 ^ mprj/u_mac_wrap/clkbuf_leaf_27_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    12    0.04                           mprj/u_mac_wrap/clknet_leaf_27_phy_rx_clk (net)
+                  0.06    0.00    5.30 ^ mprj/u_mac_wrap/_09045_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.06    0.32    5.63 ^ mprj/u_mac_wrap/_09045_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.buf_latch4[6] (net)
+                  0.06    0.00    5.63 ^ mprj/u_mac_wrap/_09078_/D (sky130_fd_sc_hd__dfrtp_4)
+                                  5.63   data arrival time
+
+                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
+     1   11.12                           mprj_io[12] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[12] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    7.15 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    7.15 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.16    7.31 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
+                  0.06    0.00    7.31 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.26    0.30    7.61 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
+                  0.26    0.01    7.62 ^ mprj/u_mac_wrap/clkbuf_leaf_27_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.22    7.83 ^ mprj/u_mac_wrap/clkbuf_leaf_27_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    12    0.04                           mprj/u_mac_wrap/clknet_leaf_27_phy_rx_clk (net)
+                  0.06    0.00    7.84 ^ mprj/u_mac_wrap/_09078_/CLK (sky130_fd_sc_hd__dfrtp_4)
+                          0.10    7.94   clock uncertainty
+                         -2.53    5.40   clock reconvergence pessimism
+                         -0.04    5.37   library hold time
+                                  5.37   data required time
+-----------------------------------------------------------------------------
+                                  5.37   data required time
+                                 -5.63   data arrival time
+-----------------------------------------------------------------------------
+                                  0.26   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09088_
+            (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Endpoint: mprj/u_mac_wrap/_09070_
+          (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Path Group: mac_rx_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ mprj_io[12] (inout)
+     1    6.12                           mprj_io[12] (net)
+                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[12] (net)
+                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00    1.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10    1.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00    1.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21    1.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00    1.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11    1.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12    1.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    1.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81    2.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21    2.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42    3.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    3.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15    3.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    3.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32    3.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    3.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28    4.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    4.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21    4.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    4.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16    4.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00    4.41 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    4.52 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
+                  0.03    0.00    4.52 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.14    4.66 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_1_1_1_phy_rx_clk (net)
+                  0.07    0.00    4.66 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    4.80 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_3_0_phy_rx_clk (net)
+                  0.06    0.00    4.81 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.17    0.22    5.02 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.10                           mprj/u_mac_wrap/clknet_3_6_0_phy_rx_clk (net)
+                  0.17    0.00    5.03 ^ mprj/u_mac_wrap/clkbuf_leaf_28_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17    5.20 ^ mprj/u_mac_wrap/clkbuf_leaf_28_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    11    0.03                           mprj/u_mac_wrap/clknet_leaf_28_phy_rx_clk (net)
+                  0.06    0.00    5.20 ^ mprj/u_mac_wrap/_09088_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.11    0.36    5.56 ^ mprj/u_mac_wrap/_09088_/Q (sky130_fd_sc_hd__dfrtp_1)
      2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.buf_latch0[7] (net)
-                  0.10    0.00    3.64 ^ mprj/u_mac_wrap/_06081_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    3.77 ^ mprj/u_mac_wrap/_06081_/X (sky130_fd_sc_hd__mux2_1)
+                  0.11    0.00    5.56 ^ mprj/u_mac_wrap/_06137_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.13    5.69 ^ mprj/u_mac_wrap/_06137_/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mac_wrap/_00552_ (net)
-                  0.04    0.00    3.77 ^ mprj/u_mac_wrap/_09020_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  3.77   data arrival time
+                  0.04    0.00    5.69 ^ mprj/u_mac_wrap/_09070_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  5.69   data arrival time
 
                           0.00    0.00   clock mac_rx_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -46016,45 +51583,63 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.17    5.10 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    5.26 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00    5.26 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.25    0.29    5.55 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    7.15 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    7.15 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.16    7.31 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
+                  0.06    0.00    7.31 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.26    0.30    7.61 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
     22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
-                  0.25    0.00    5.55 ^ mprj/u_mac_wrap/clkbuf_leaf_34_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.21    5.76 ^ mprj/u_mac_wrap/clkbuf_leaf_34_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    10    0.03                           mprj/u_mac_wrap/clknet_leaf_34_phy_rx_clk (net)
-                  0.05    0.00    5.76 ^ mprj/u_mac_wrap/_09020_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    5.86   clock uncertainty
-                         -2.32    3.54   clock reconvergence pessimism
-                         -0.03    3.51   library hold time
-                                  3.51   data required time
+                  0.26    0.01    7.62 ^ mprj/u_mac_wrap/clkbuf_leaf_26_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.21    7.83 ^ mprj/u_mac_wrap/clkbuf_leaf_26_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_mac_wrap/clknet_leaf_26_phy_rx_clk (net)
+                  0.05    0.00    7.83 ^ mprj/u_mac_wrap/_09070_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    7.93   clock uncertainty
+                         -2.46    5.47   clock reconvergence pessimism
+                         -0.03    5.43   library hold time
+                                  5.43   data required time
 -----------------------------------------------------------------------------
-                                  3.51   data required time
-                                 -3.77   data arrival time
+                                  5.43   data required time
+                                 -5.69   data arrival time
 -----------------------------------------------------------------------------
                                   0.26   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_08990_
+Startpoint: mprj/u_mac_wrap/_09043_
             (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_09023_
+Endpoint: mprj/u_mac_wrap/_09076_
           (rising edge-triggered flip-flop clocked by mac_rx_clk)
 Path Group: mac_rx_clk
 Path Type: min
@@ -46078,144 +51663,53 @@
                   0.15    0.00    1.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.11    1.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17    1.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    1.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21    1.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    1.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43    2.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    2.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24    2.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12    1.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    1.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81    2.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21    2.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42    3.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    3.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15    3.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    3.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32    3.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    3.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28    4.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    4.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21    4.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    4.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16    4.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    2.61 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.15    2.76 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00    2.77 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    2.91 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_3_0_phy_rx_clk (net)
-                  0.06    0.00    2.91 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.17    0.22    3.12 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.09                           mprj/u_mac_wrap/clknet_3_6_0_phy_rx_clk (net)
-                  0.17    0.00    3.13 ^ mprj/u_mac_wrap/clkbuf_leaf_27_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ mprj/u_mac_wrap/clkbuf_leaf_27_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.01                           mprj/u_mac_wrap/clknet_leaf_27_phy_rx_clk (net)
-                  0.04    0.00    3.28 ^ mprj/u_mac_wrap/_08990_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.07    0.32    3.60 ^ mprj/u_mac_wrap/_08990_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.buf_latch4[1] (net)
-                  0.07    0.00    3.60 ^ mprj/u_mac_wrap/_09023_/D (sky130_fd_sc_hd__dfrtp_4)
-                                  3.60   data arrival time
-
-                          0.00    0.00   clock mac_rx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1   11.12                           mprj_io[12] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[12] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.09 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.25 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_3_0_phy_rx_clk (net)
-                  0.06    0.00    5.25 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.17    0.23    5.48 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.09                           mprj/u_mac_wrap/clknet_3_6_0_phy_rx_clk (net)
-                  0.17    0.00    5.48 ^ mprj/u_mac_wrap/clkbuf_leaf_27_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.17    5.65 ^ mprj/u_mac_wrap/clkbuf_leaf_27_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.01                           mprj/u_mac_wrap/clknet_leaf_27_phy_rx_clk (net)
-                  0.04    0.00    5.65 ^ mprj/u_mac_wrap/_09023_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                          0.10    5.75   clock uncertainty
-                         -2.37    3.38   clock reconvergence pessimism
-                         -0.04    3.34   library hold time
-                                  3.34   data required time
------------------------------------------------------------------------------
-                                  3.34   data required time
-                                 -3.60   data arrival time
------------------------------------------------------------------------------
-                                  0.26   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_08993_
-            (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_09026_
-          (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Path Group: mac_rx_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_rx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1    6.12                           mprj_io[12] (net)
-                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[12] (net)
-                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    1.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10    1.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    1.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21    1.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    1.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11    1.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17    1.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    1.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21    1.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    1.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43    2.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    2.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24    2.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    2.61 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    2.77 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    2.77 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    2.91 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00    2.91 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.25    0.27    3.19 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    4.41 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15    4.67 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    4.67 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    4.82 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
+                  0.06    0.00    4.82 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.26    0.28    5.10 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
     22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
-                  0.25    0.00    3.19 ^ mprj/u_mac_wrap/clkbuf_leaf_30_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.19    3.38 ^ mprj/u_mac_wrap/clkbuf_leaf_30_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    10    0.03                           mprj/u_mac_wrap/clknet_leaf_30_phy_rx_clk (net)
-                  0.05    0.00    3.38 ^ mprj/u_mac_wrap/_08993_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.07    0.33    3.71 ^ mprj/u_mac_wrap/_08993_/Q (sky130_fd_sc_hd__dfrtp_1)
+                  0.26    0.01    5.10 ^ mprj/u_mac_wrap/clkbuf_leaf_25_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    5.30 ^ mprj/u_mac_wrap/clkbuf_leaf_25_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_mac_wrap/clknet_leaf_25_phy_rx_clk (net)
+                  0.06    0.00    5.30 ^ mprj/u_mac_wrap/_09043_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.07    0.33    5.63 ^ mprj/u_mac_wrap/_09043_/Q (sky130_fd_sc_hd__dfrtp_1)
      2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.buf_latch4[4] (net)
-                  0.07    0.00    3.71 ^ mprj/u_mac_wrap/_09026_/D (sky130_fd_sc_hd__dfrtp_4)
-                                  3.71   data arrival time
+                  0.07    0.00    5.63 ^ mprj/u_mac_wrap/_09076_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  5.63   data arrival time
 
                           0.00    0.00   clock mac_rx_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -46234,45 +51728,63 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.17    5.10 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    5.26 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00    5.26 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.25    0.29    5.55 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    7.15 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    7.15 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.16    7.31 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
+                  0.06    0.00    7.31 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.26    0.30    7.61 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
     22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
-                  0.25    0.00    5.55 ^ mprj/u_mac_wrap/clkbuf_leaf_30_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.21    5.76 ^ mprj/u_mac_wrap/clkbuf_leaf_30_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    10    0.03                           mprj/u_mac_wrap/clknet_leaf_30_phy_rx_clk (net)
-                  0.05    0.00    5.76 ^ mprj/u_mac_wrap/_09026_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                          0.10    5.86   clock uncertainty
-                         -2.38    3.48   clock reconvergence pessimism
-                         -0.04    3.44   library hold time
-                                  3.44   data required time
+                  0.26    0.01    7.62 ^ mprj/u_mac_wrap/clkbuf_leaf_25_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    7.83 ^ mprj/u_mac_wrap/clkbuf_leaf_25_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_mac_wrap/clknet_leaf_25_phy_rx_clk (net)
+                  0.06    0.00    7.83 ^ mprj/u_mac_wrap/_09076_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    7.93   clock uncertainty
+                         -2.53    5.40   clock reconvergence pessimism
+                         -0.04    5.36   library hold time
+                                  5.36   data required time
 -----------------------------------------------------------------------------
-                                  3.44   data required time
-                                 -3.71   data arrival time
+                                  5.36   data required time
+                                 -5.63   data arrival time
 -----------------------------------------------------------------------------
                                   0.26   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_09037_
+Startpoint: mprj/u_mac_wrap/_09039_
             (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_09019_
+Endpoint: mprj/u_mac_wrap/_09072_
           (rising edge-triggered flip-flop clocked by mac_rx_clk)
 Path Group: mac_rx_clk
 Path Type: min
@@ -46296,38 +51808,53 @@
                   0.15    0.00    1.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.11    1.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17    1.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    1.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21    1.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    1.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43    2.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    2.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24    2.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12    1.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    1.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81    2.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21    2.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42    3.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    3.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15    3.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    3.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32    3.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    3.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28    4.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    4.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21    4.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    4.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16    4.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    2.61 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.15    2.76 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00    2.77 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    2.91 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_3_0_phy_rx_clk (net)
-                  0.06    0.00    2.91 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.17    0.22    3.12 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.09                           mprj/u_mac_wrap/clknet_3_6_0_phy_rx_clk (net)
-                  0.17    0.00    3.12 ^ mprj/u_mac_wrap/clkbuf_leaf_32_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.17    3.30 ^ mprj/u_mac_wrap/clkbuf_leaf_32_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    10    0.03                           mprj/u_mac_wrap/clknet_leaf_32_phy_rx_clk (net)
-                  0.06    0.00    3.30 ^ mprj/u_mac_wrap/_09037_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.10    0.35    3.65 ^ mprj/u_mac_wrap/_09037_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.buf_latch0[6] (net)
-                  0.10    0.00    3.65 ^ mprj/u_mac_wrap/_06080_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    3.78 ^ mprj/u_mac_wrap/_06080_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00551_ (net)
-                  0.04    0.00    3.78 ^ mprj/u_mac_wrap/_09019_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  3.78   data arrival time
+                  0.05    0.00    4.41 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15    4.67 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    4.67 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    4.82 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
+                  0.06    0.00    4.82 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.26    0.28    5.10 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
+                  0.26    0.01    5.10 ^ mprj/u_mac_wrap/clkbuf_leaf_25_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    5.30 ^ mprj/u_mac_wrap/clkbuf_leaf_25_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_mac_wrap/clknet_leaf_25_phy_rx_clk (net)
+                  0.06    0.00    5.30 ^ mprj/u_mac_wrap/_09039_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.07    0.33    5.63 ^ mprj/u_mac_wrap/_09039_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.buf_latch4[0] (net)
+                  0.07    0.00    5.63 ^ mprj/u_mac_wrap/_09072_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  5.63   data arrival time
 
                           0.00    0.00   clock mac_rx_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -46346,45 +51873,63 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.17    5.10 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    5.26 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00    5.26 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.25    0.29    5.55 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    7.15 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    7.15 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.16    7.31 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
+                  0.06    0.00    7.31 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.26    0.30    7.61 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
     22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
-                  0.25    0.00    5.55 ^ mprj/u_mac_wrap/clkbuf_leaf_30_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.21    5.76 ^ mprj/u_mac_wrap/clkbuf_leaf_30_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    10    0.03                           mprj/u_mac_wrap/clknet_leaf_30_phy_rx_clk (net)
-                  0.05    0.00    5.76 ^ mprj/u_mac_wrap/_09019_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    5.86   clock uncertainty
-                         -2.32    3.54   clock reconvergence pessimism
-                         -0.03    3.51   library hold time
-                                  3.51   data required time
+                  0.26    0.01    7.62 ^ mprj/u_mac_wrap/clkbuf_leaf_25_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    7.83 ^ mprj/u_mac_wrap/clkbuf_leaf_25_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_mac_wrap/clknet_leaf_25_phy_rx_clk (net)
+                  0.06    0.00    7.83 ^ mprj/u_mac_wrap/_09072_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    7.93   clock uncertainty
+                         -2.53    5.40   clock reconvergence pessimism
+                         -0.04    5.36   library hold time
+                                  5.36   data required time
 -----------------------------------------------------------------------------
-                                  3.51   data required time
-                                 -3.78   data arrival time
+                                  5.36   data required time
+                                 -5.63   data arrival time
 -----------------------------------------------------------------------------
                                   0.27   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_09035_
+Startpoint: mprj/u_mac_wrap/_09042_
             (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_09017_
+Endpoint: mprj/u_mac_wrap/_09075_
           (rising edge-triggered flip-flop clocked by mac_rx_clk)
 Path Group: mac_rx_clk
 Path Type: min
@@ -46408,38 +51953,53 @@
                   0.15    0.00    1.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.11    1.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17    1.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    1.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21    1.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    1.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43    2.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    2.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24    2.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12    1.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    1.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81    2.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21    2.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42    3.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    3.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15    3.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    3.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32    3.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    3.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28    4.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    4.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21    4.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    4.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16    4.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    2.61 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.15    2.76 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00    2.77 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    2.91 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_3_0_phy_rx_clk (net)
-                  0.06    0.00    2.91 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.17    0.22    3.12 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.09                           mprj/u_mac_wrap/clknet_3_6_0_phy_rx_clk (net)
-                  0.17    0.00    3.12 ^ mprj/u_mac_wrap/clkbuf_leaf_32_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.17    3.30 ^ mprj/u_mac_wrap/clkbuf_leaf_32_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    10    0.03                           mprj/u_mac_wrap/clknet_leaf_32_phy_rx_clk (net)
-                  0.06    0.00    3.30 ^ mprj/u_mac_wrap/_09035_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.10    0.35    3.65 ^ mprj/u_mac_wrap/_09035_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.buf_latch0[4] (net)
-                  0.10    0.00    3.65 ^ mprj/u_mac_wrap/_06078_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    3.78 ^ mprj/u_mac_wrap/_06078_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00549_ (net)
-                  0.04    0.00    3.78 ^ mprj/u_mac_wrap/_09017_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  3.78   data arrival time
+                  0.05    0.00    4.41 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15    4.67 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    4.67 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    4.82 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
+                  0.06    0.00    4.82 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.26    0.28    5.10 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
+                  0.26    0.01    5.10 ^ mprj/u_mac_wrap/clkbuf_leaf_25_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    5.30 ^ mprj/u_mac_wrap/clkbuf_leaf_25_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_mac_wrap/clknet_leaf_25_phy_rx_clk (net)
+                  0.06    0.00    5.30 ^ mprj/u_mac_wrap/_09042_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.07    0.33    5.63 ^ mprj/u_mac_wrap/_09042_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.buf_latch4[3] (net)
+                  0.07    0.00    5.63 ^ mprj/u_mac_wrap/_09075_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  5.63   data arrival time
 
                           0.00    0.00   clock mac_rx_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -46458,45 +52018,63 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.17    5.10 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    5.26 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00    5.26 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.25    0.29    5.55 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    7.15 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    7.15 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.16    7.31 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
+                  0.06    0.00    7.31 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.26    0.30    7.61 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
     22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
-                  0.25    0.00    5.55 ^ mprj/u_mac_wrap/clkbuf_leaf_34_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.21    5.76 ^ mprj/u_mac_wrap/clkbuf_leaf_34_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    10    0.03                           mprj/u_mac_wrap/clknet_leaf_34_phy_rx_clk (net)
-                  0.05    0.00    5.76 ^ mprj/u_mac_wrap/_09017_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    5.86   clock uncertainty
-                         -2.32    3.54   clock reconvergence pessimism
-                         -0.03    3.51   library hold time
-                                  3.51   data required time
+                  0.26    0.00    7.61 ^ mprj/u_mac_wrap/clkbuf_leaf_41_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.20    7.81 ^ mprj/u_mac_wrap/clkbuf_leaf_41_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.01                           mprj/u_mac_wrap/clknet_leaf_41_phy_rx_clk (net)
+                  0.04    0.00    7.81 ^ mprj/u_mac_wrap/_09075_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    7.91   clock uncertainty
+                         -2.51    5.40   clock reconvergence pessimism
+                         -0.04    5.36   library hold time
+                                  5.36   data required time
 -----------------------------------------------------------------------------
-                                  3.51   data required time
-                                 -3.78   data arrival time
+                                  5.36   data required time
+                                 -5.63   data arrival time
 -----------------------------------------------------------------------------
                                   0.27   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_09016_
+Startpoint: mprj/u_mac_wrap/_09046_
             (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_09008_
+Endpoint: mprj/u_mac_wrap/_09079_
           (rising edge-triggered flip-flop clocked by mac_rx_clk)
 Path Group: mac_rx_clk
 Path Type: min
@@ -46520,38 +52098,53 @@
                   0.15    0.00    1.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.11    1.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17    1.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    1.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21    1.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    1.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43    2.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    2.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24    2.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12    1.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    1.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81    2.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21    2.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42    3.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    3.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15    3.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    3.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32    3.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    3.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28    4.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    4.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21    4.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    4.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16    4.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    2.61 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.15    2.76 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00    2.77 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    2.91 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_3_0_phy_rx_clk (net)
-                  0.06    0.00    2.91 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.17    0.22    3.12 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.09                           mprj/u_mac_wrap/clknet_3_6_0_phy_rx_clk (net)
-                  0.17    0.00    3.13 ^ mprj/u_mac_wrap/clkbuf_leaf_31_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.18    3.31 ^ mprj/u_mac_wrap/clkbuf_leaf_31_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    15    0.05                           mprj/u_mac_wrap/clknet_leaf_31_phy_rx_clk (net)
-                  0.07    0.00    3.31 ^ mprj/u_mac_wrap/_09016_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.09    0.34    3.65 ^ mprj/u_mac_wrap/_09016_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.buf_latch1[3] (net)
-                  0.09    0.00    3.65 ^ mprj/u_mac_wrap/_06069_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    3.77 ^ mprj/u_mac_wrap/_06069_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00540_ (net)
-                  0.04    0.00    3.77 ^ mprj/u_mac_wrap/_09008_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  3.77   data arrival time
+                  0.05    0.00    4.41 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15    4.67 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    4.67 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    4.82 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
+                  0.06    0.00    4.82 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.26    0.28    5.10 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
+                  0.26    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_leaf_40_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.21    5.30 ^ mprj/u_mac_wrap/clkbuf_leaf_40_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    14    0.04                           mprj/u_mac_wrap/clknet_leaf_40_phy_rx_clk (net)
+                  0.07    0.00    5.30 ^ mprj/u_mac_wrap/_09046_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.06    0.33    5.63 ^ mprj/u_mac_wrap/_09046_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.buf_latch4[7] (net)
+                  0.06    0.00    5.63 ^ mprj/u_mac_wrap/_09079_/D (sky130_fd_sc_hd__dfrtp_2)
+                                  5.63   data arrival time
 
                           0.00    0.00   clock mac_rx_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -46570,45 +52163,63 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.17    5.10 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    5.26 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00    5.26 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.25    0.29    5.55 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    7.15 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    7.15 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.16    7.31 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
+                  0.06    0.00    7.31 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.26    0.30    7.61 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
     22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
-                  0.25    0.00    5.55 ^ mprj/u_mac_wrap/clkbuf_leaf_29_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.20    5.76 ^ mprj/u_mac_wrap/clkbuf_leaf_29_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     9    0.02                           mprj/u_mac_wrap/clknet_leaf_29_phy_rx_clk (net)
-                  0.05    0.00    5.76 ^ mprj/u_mac_wrap/_09008_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    5.86   clock uncertainty
-                         -2.32    3.54   clock reconvergence pessimism
-                         -0.03    3.51   library hold time
-                                  3.51   data required time
+                  0.26    0.00    7.61 ^ mprj/u_mac_wrap/clkbuf_leaf_41_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.20    7.81 ^ mprj/u_mac_wrap/clkbuf_leaf_41_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.01                           mprj/u_mac_wrap/clknet_leaf_41_phy_rx_clk (net)
+                  0.04    0.00    7.81 ^ mprj/u_mac_wrap/_09079_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                          0.10    7.91   clock uncertainty
+                         -2.51    5.40   clock reconvergence pessimism
+                         -0.04    5.36   library hold time
+                                  5.36   data required time
 -----------------------------------------------------------------------------
-                                  3.51   data required time
-                                 -3.77   data arrival time
+                                  5.36   data required time
+                                 -5.63   data arrival time
 -----------------------------------------------------------------------------
                                   0.27   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_09815_
+Startpoint: mprj/u_mac_wrap/_09006_
             (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_09846_
+Endpoint: mprj/u_mac_wrap/_08988_
           (rising edge-triggered flip-flop clocked by mac_rx_clk)
 Path Group: mac_rx_clk
 Path Type: min
@@ -46632,150 +52243,56 @@
                   0.15    0.00    1.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.11    1.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17    1.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    1.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21    1.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    1.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43    2.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    2.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24    2.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12    1.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    1.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81    2.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21    2.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42    3.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    3.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15    3.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    3.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32    3.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    3.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28    4.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    4.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21    4.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    4.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16    4.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    2.61 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.15    2.76 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00    2.76 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    2.91 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    4.41 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    4.52 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
+                  0.03    0.00    4.52 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.14    4.66 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_1_1_1_phy_rx_clk (net)
+                  0.07    0.00    4.66 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    4.81 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
-                  0.06    0.00    2.91 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.25    3.16 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.12                           mprj/u_mac_wrap/clknet_3_5_0_phy_rx_clk (net)
-                  0.22    0.00    3.16 ^ mprj/u_mac_wrap/clkbuf_leaf_3_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.17    3.33 ^ mprj/u_mac_wrap/clkbuf_leaf_3_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.01                           mprj/u_mac_wrap/clknet_leaf_3_phy_rx_clk (net)
-                  0.04    0.00    3.34 ^ mprj/u_mac_wrap/_09815_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.05    0.30    3.64 ^ mprj/u_mac_wrap/_09815_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_mii_intf.U_dble_reg7.d_sync_out (net)
-                  0.05    0.00    3.64 ^ mprj/u_mac_wrap/_04704_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    3.75 ^ mprj/u_mac_wrap/_04704_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_mii_intf.rxd_in[1] (net)
-                  0.04    0.00    3.75 ^ mprj/u_mac_wrap/_09846_/D (sky130_fd_sc_hd__dfrtp_2)
-                                  3.75   data arrival time
-
-                          0.00    0.00   clock mac_rx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1   11.12                           mprj_io[12] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[12] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.09 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.16    5.25 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
-                  0.06    0.00    5.25 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.27    5.52 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.12                           mprj/u_mac_wrap/clknet_3_5_0_phy_rx_clk (net)
-                  0.22    0.01    5.53 ^ mprj/u_mac_wrap/clkbuf_leaf_4_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.21    5.74 ^ mprj/u_mac_wrap/clkbuf_leaf_4_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    13    0.04                           mprj/u_mac_wrap/clknet_leaf_4_phy_rx_clk (net)
-                  0.06    0.00    5.74 ^ mprj/u_mac_wrap/_09846_/CLK (sky130_fd_sc_hd__dfrtp_2)
-                          0.10    5.84   clock uncertainty
-                         -2.36    3.48   clock reconvergence pessimism
-                         -0.03    3.44   library hold time
-                                  3.44   data required time
------------------------------------------------------------------------------
-                                  3.44   data required time
-                                 -3.75   data arrival time
------------------------------------------------------------------------------
-                                  0.31   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_08956_
-            (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_08938_
-          (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Path Group: mac_rx_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_rx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1    6.12                           mprj_io[12] (net)
-                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[12] (net)
-                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    1.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10    1.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    1.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21    1.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    1.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11    1.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17    1.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    1.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21    1.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    1.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43    2.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    2.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24    2.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    2.61 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.15    2.76 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00    2.76 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    2.91 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
-                  0.06    0.00    2.91 ^ mprj/u_mac_wrap/clkbuf_3_4_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.17    0.22    3.13 ^ mprj/u_mac_wrap/clkbuf_3_4_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    12    0.09                           mprj/u_mac_wrap/clknet_3_4_0_phy_rx_clk (net)
-                  0.17    0.00    3.13 ^ mprj/u_mac_wrap/clkbuf_leaf_11_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.17    3.30 ^ mprj/u_mac_wrap/clkbuf_leaf_11_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.03                           mprj/u_mac_wrap/clknet_leaf_11_phy_rx_clk (net)
-                  0.06    0.00    3.30 ^ mprj/u_mac_wrap/_08956_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.06    0.32    3.62 ^ mprj/u_mac_wrap/_08956_/Q (sky130_fd_sc_hd__dfrtp_1)
+                  0.06    0.00    4.81 ^ mprj/u_mac_wrap/clkbuf_3_4_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.15    0.21    5.02 ^ mprj/u_mac_wrap/clkbuf_3_4_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    12    0.08                           mprj/u_mac_wrap/clknet_3_4_0_phy_rx_clk (net)
+                  0.15    0.00    5.02 ^ mprj/u_mac_wrap/clkbuf_leaf_7_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17    5.19 ^ mprj/u_mac_wrap/clkbuf_leaf_7_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    11    0.04                           mprj/u_mac_wrap/clknet_leaf_7_phy_rx_clk (net)
+                  0.06    0.00    5.19 ^ mprj/u_mac_wrap/_09006_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.07    0.33    5.52 ^ mprj/u_mac_wrap/_09006_/Q (sky130_fd_sc_hd__dfrtp_1)
      1    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.rx_sts_vld_o (net)
-                  0.06    0.00    3.62 ^ mprj/u_mac_wrap/_05766_/B (sky130_fd_sc_hd__xor2_1)
-                  0.03    0.06    3.68 v mprj/u_mac_wrap/_05766_/X (sky130_fd_sc_hd__xor2_1)
+                  0.07    0.00    5.52 ^ mprj/u_mac_wrap/_05823_/B (sky130_fd_sc_hd__xor2_1)
+                  0.04    0.06    5.58 v mprj/u_mac_wrap/_05823_/X (sky130_fd_sc_hd__xor2_1)
      1    0.00                           mprj/u_mac_wrap/_00474_ (net)
-                  0.03    0.00    3.68 v mprj/u_mac_wrap/_08938_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  3.68   data arrival time
+                  0.04    0.00    5.58 v mprj/u_mac_wrap/_08988_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  5.58   data arrival time
 
                           0.00    0.00   clock mac_rx_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -46794,269 +52311,63 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.09 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.16    5.25 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15    7.14 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_1_1_1_phy_rx_clk (net)
+                  0.07    0.00    7.14 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.16    7.30 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
-                  0.06    0.00    5.25 ^ mprj/u_mac_wrap/clkbuf_3_4_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.17    0.23    5.49 ^ mprj/u_mac_wrap/clkbuf_3_4_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    12    0.09                           mprj/u_mac_wrap/clknet_3_4_0_phy_rx_clk (net)
-                  0.17    0.00    5.49 ^ mprj/u_mac_wrap/clkbuf_leaf_11_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.19    5.67 ^ mprj/u_mac_wrap/clkbuf_leaf_11_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.03                           mprj/u_mac_wrap/clknet_leaf_11_phy_rx_clk (net)
-                  0.06    0.00    5.67 ^ mprj/u_mac_wrap/_08938_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    5.77   clock uncertainty
-                         -2.37    3.40   clock reconvergence pessimism
-                         -0.04    3.36   library hold time
-                                  3.36   data required time
+                  0.06    0.00    7.30 ^ mprj/u_mac_wrap/clkbuf_3_4_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.15    0.22    7.53 ^ mprj/u_mac_wrap/clkbuf_3_4_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    12    0.08                           mprj/u_mac_wrap/clknet_3_4_0_phy_rx_clk (net)
+                  0.15    0.00    7.53 ^ mprj/u_mac_wrap/clkbuf_leaf_7_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.18    7.71 ^ mprj/u_mac_wrap/clkbuf_leaf_7_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    11    0.04                           mprj/u_mac_wrap/clknet_leaf_7_phy_rx_clk (net)
+                  0.06    0.00    7.71 ^ mprj/u_mac_wrap/_08988_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    7.81   clock uncertainty
+                         -2.52    5.29   clock reconvergence pessimism
+                         -0.04    5.25   library hold time
+                                  5.25   data required time
 -----------------------------------------------------------------------------
-                                  3.36   data required time
-                                 -3.68   data arrival time
------------------------------------------------------------------------------
-                                  0.32   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_09818_
-            (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_09845_
-          (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Path Group: mac_rx_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_rx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1    6.12                           mprj_io[12] (net)
-                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[12] (net)
-                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    1.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10    1.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    1.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21    1.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    1.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11    1.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17    1.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    1.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21    1.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    1.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43    2.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    2.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24    2.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    2.61 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.15    2.76 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00    2.76 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    2.91 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
-                  0.06    0.00    2.91 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.25    3.16 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.12                           mprj/u_mac_wrap/clknet_3_5_0_phy_rx_clk (net)
-                  0.22    0.01    3.17 ^ mprj/u_mac_wrap/clkbuf_leaf_2_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.18    3.35 ^ mprj/u_mac_wrap/clkbuf_leaf_2_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     9    0.03                           mprj/u_mac_wrap/clknet_leaf_2_phy_rx_clk (net)
-                  0.05    0.00    3.35 ^ mprj/u_mac_wrap/_09818_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.05    0.30    3.65 ^ mprj/u_mac_wrap/_09818_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_mii_intf.U_dble_reg6.d_sync_out (net)
-                  0.05    0.00    3.65 ^ mprj/u_mac_wrap/_04703_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    3.77 ^ mprj/u_mac_wrap/_04703_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_mii_intf.rxd_in[0] (net)
-                  0.04    0.00    3.77 ^ mprj/u_mac_wrap/_09845_/D (sky130_fd_sc_hd__dfrtp_2)
-                                  3.77   data arrival time
-
-                          0.00    0.00   clock mac_rx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1   11.12                           mprj_io[12] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[12] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.09 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.16    5.25 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
-                  0.06    0.00    5.25 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.27    5.52 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.12                           mprj/u_mac_wrap/clknet_3_5_0_phy_rx_clk (net)
-                  0.22    0.01    5.53 ^ mprj/u_mac_wrap/clkbuf_leaf_4_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.21    5.74 ^ mprj/u_mac_wrap/clkbuf_leaf_4_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    13    0.04                           mprj/u_mac_wrap/clknet_leaf_4_phy_rx_clk (net)
-                  0.06    0.00    5.74 ^ mprj/u_mac_wrap/_09845_/CLK (sky130_fd_sc_hd__dfrtp_2)
-                          0.10    5.84   clock uncertainty
-                         -2.36    3.48   clock reconvergence pessimism
-                         -0.03    3.44   library hold time
-                                  3.44   data required time
------------------------------------------------------------------------------
-                                  3.44   data required time
-                                 -3.77   data arrival time
------------------------------------------------------------------------------
-                                  0.32   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_09821_
-            (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_09857_
-          (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Path Group: mac_rx_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_rx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1    6.12                           mprj_io[12] (net)
-                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[12] (net)
-                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    1.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10    1.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    1.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21    1.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    1.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11    1.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17    1.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    1.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21    1.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    1.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43    2.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    2.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24    2.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    2.61 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.15    2.76 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00    2.76 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    2.91 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
-                  0.06    0.00    2.91 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.25    3.16 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.12                           mprj/u_mac_wrap/clknet_3_5_0_phy_rx_clk (net)
-                  0.22    0.01    3.17 ^ mprj/u_mac_wrap/clkbuf_leaf_6_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.18    3.34 ^ mprj/u_mac_wrap/clkbuf_leaf_6_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     7    0.02                           mprj/u_mac_wrap/clknet_leaf_6_phy_rx_clk (net)
-                  0.05    0.00    3.34 ^ mprj/u_mac_wrap/_09821_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.05    0.30    3.65 ^ mprj/u_mac_wrap/_09821_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_mii_intf.U_dble_reg5.d_sync_out (net)
-                  0.05    0.00    3.65 ^ mprj/u_mac_wrap/_04701_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    3.76 ^ mprj/u_mac_wrap/_04701_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_mii_intf.U_dble_reg1.in_pulse (net)
-                  0.04    0.00    3.76 ^ mprj/u_mac_wrap/_09857_/D (sky130_fd_sc_hd__dfrtp_4)
-                                  3.76   data arrival time
-
-                          0.00    0.00   clock mac_rx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1   11.12                           mprj_io[12] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[12] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.09 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.16    5.25 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
-                  0.06    0.00    5.25 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.27    5.52 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.12                           mprj/u_mac_wrap/clknet_3_5_0_phy_rx_clk (net)
-                  0.22    0.01    5.53 ^ mprj/u_mac_wrap/clkbuf_leaf_5_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.20    5.72 ^ mprj/u_mac_wrap/clkbuf_leaf_5_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.03                           mprj/u_mac_wrap/clknet_leaf_5_phy_rx_clk (net)
-                  0.05    0.00    5.72 ^ mprj/u_mac_wrap/_09857_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                          0.10    5.82   clock uncertainty
-                         -2.36    3.46   clock reconvergence pessimism
-                         -0.03    3.43   library hold time
-                                  3.43   data required time
------------------------------------------------------------------------------
-                                  3.43   data required time
-                                 -3.76   data arrival time
+                                  5.25   data required time
+                                 -5.58   data arrival time
 -----------------------------------------------------------------------------
                                   0.33   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_08542_
+Startpoint: mprj/u_mac_wrap/_08561_
             (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_08542_
+Endpoint: mprj/u_mac_wrap/_08561_
           (rising edge-triggered flip-flop clocked by mac_rx_clk)
 Path Group: mac_rx_clk
 Path Type: min
@@ -47080,38 +52391,56 @@
                   0.15    0.00    1.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.11    1.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17    1.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    1.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21    1.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    1.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43    2.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    2.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24    2.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12    1.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    1.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81    2.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21    2.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42    3.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    3.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15    3.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    3.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32    3.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    3.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28    4.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    4.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21    4.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    4.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16    4.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    2.61 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    2.77 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    2.77 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    2.92 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    4.41 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15    4.67 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    4.67 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    4.82 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_mac_wrap/clknet_2_0_0_phy_rx_clk (net)
-                  0.06    0.00    2.92 ^ mprj/u_mac_wrap/clkbuf_3_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.18    0.23    3.15 ^ mprj/u_mac_wrap/clkbuf_3_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.10                           mprj/u_mac_wrap/clknet_3_1_0_phy_rx_clk (net)
-                  0.18    0.00    3.15 ^ mprj/u_mac_wrap/clkbuf_leaf_65_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.16    3.31 ^ mprj/u_mac_wrap/clkbuf_leaf_65_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     6    0.02                           mprj/u_mac_wrap/clknet_leaf_65_phy_rx_clk (net)
-                  0.04    0.00    3.31 ^ mprj/u_mac_wrap/_08542_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.05    0.30    3.61 ^ mprj/u_mac_wrap/_08542_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[18][3] (net)
-                  0.05    0.00    3.61 ^ mprj/u_mac_wrap/_05032_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    3.71 ^ mprj/u_mac_wrap/_05032_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00146_ (net)
-                  0.04    0.00    3.71 ^ mprj/u_mac_wrap/_08542_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  3.71   data arrival time
+                  0.06    0.00    4.82 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.23    0.26    5.08 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.13                           mprj/u_mac_wrap/clknet_3_0_0_phy_rx_clk (net)
+                  0.23    0.00    5.08 ^ mprj/u_mac_wrap/clkbuf_leaf_57_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.27 ^ mprj/u_mac_wrap/clkbuf_leaf_57_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    11    0.03                           mprj/u_mac_wrap/clknet_leaf_57_phy_rx_clk (net)
+                  0.06    0.00    5.27 ^ mprj/u_mac_wrap/_08561_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.05    0.30    5.57 ^ mprj/u_mac_wrap/_08561_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[7][8] (net)
+                  0.05    0.00    5.57 ^ mprj/u_mac_wrap/_05011_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    5.68 ^ mprj/u_mac_wrap/_05011_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00115_ (net)
+                  0.04    0.00    5.68 ^ mprj/u_mac_wrap/_08561_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  5.68   data arrival time
 
                           0.00    0.00   clock mac_rx_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -47130,45 +52459,63 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.17    5.10 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.16    5.26 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    7.15 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    7.15 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.16    7.31 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_mac_wrap/clknet_2_0_0_phy_rx_clk (net)
-                  0.06    0.00    5.26 ^ mprj/u_mac_wrap/clkbuf_3_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.18    0.25    5.51 ^ mprj/u_mac_wrap/clkbuf_3_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.10                           mprj/u_mac_wrap/clknet_3_1_0_phy_rx_clk (net)
-                  0.18    0.00    5.51 ^ mprj/u_mac_wrap/clkbuf_leaf_65_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.18    5.68 ^ mprj/u_mac_wrap/clkbuf_leaf_65_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     6    0.02                           mprj/u_mac_wrap/clknet_leaf_65_phy_rx_clk (net)
-                  0.04    0.00    5.68 ^ mprj/u_mac_wrap/_08542_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    5.78   clock uncertainty
-                         -2.37    3.41   clock reconvergence pessimism
-                         -0.03    3.38   library hold time
-                                  3.38   data required time
+                  0.06    0.00    7.31 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.23    0.28    7.59 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.13                           mprj/u_mac_wrap/clknet_3_0_0_phy_rx_clk (net)
+                  0.23    0.00    7.60 ^ mprj/u_mac_wrap/clkbuf_leaf_57_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    7.80 ^ mprj/u_mac_wrap/clkbuf_leaf_57_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    11    0.03                           mprj/u_mac_wrap/clknet_leaf_57_phy_rx_clk (net)
+                  0.06    0.00    7.80 ^ mprj/u_mac_wrap/_08561_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.90   clock uncertainty
+                         -2.53    5.37   clock reconvergence pessimism
+                         -0.03    5.34   library hold time
+                                  5.34   data required time
 -----------------------------------------------------------------------------
-                                  3.38   data required time
-                                 -3.71   data arrival time
+                                  5.34   data required time
+                                 -5.68   data arrival time
 -----------------------------------------------------------------------------
                                   0.33   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_08544_
+Startpoint: mprj/u_mac_wrap/_08547_
             (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_08544_
+Endpoint: mprj/u_mac_wrap/_08547_
           (rising edge-triggered flip-flop clocked by mac_rx_clk)
 Path Group: mac_rx_clk
 Path Type: min
@@ -47192,38 +52539,56 @@
                   0.15    0.00    1.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.11    1.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17    1.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    1.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21    1.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    1.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43    2.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    2.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24    2.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12    1.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    1.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81    2.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21    2.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42    3.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    3.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15    3.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    3.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32    3.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    3.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28    4.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    4.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21    4.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    4.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16    4.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    2.61 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    2.77 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    2.77 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    2.91 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00    2.91 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.25    0.27    3.19 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
-                  0.25    0.00    3.19 ^ mprj/u_mac_wrap/clkbuf_leaf_38_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.20    3.38 ^ mprj/u_mac_wrap/clkbuf_leaf_38_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.03                           mprj/u_mac_wrap/clknet_leaf_38_phy_rx_clk (net)
-                  0.06    0.00    3.39 ^ mprj/u_mac_wrap/_08544_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.05    0.30    3.68 ^ mprj/u_mac_wrap/_08544_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[18][5] (net)
-                  0.05    0.00    3.68 ^ mprj/u_mac_wrap/_05034_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    3.79 ^ mprj/u_mac_wrap/_05034_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00148_ (net)
-                  0.04    0.00    3.79 ^ mprj/u_mac_wrap/_08544_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  3.79   data arrival time
+                  0.05    0.00    4.41 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15    4.67 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    4.67 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    4.82 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
+                  0.06    0.00    4.82 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.19    0.23    5.05 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_mac_wrap/clknet_3_2_0_phy_rx_clk (net)
+                  0.19    0.00    5.05 ^ mprj/u_mac_wrap/clkbuf_leaf_49_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    5.22 ^ mprj/u_mac_wrap/clkbuf_leaf_49_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.02                           mprj/u_mac_wrap/clknet_leaf_49_phy_rx_clk (net)
+                  0.05    0.00    5.23 ^ mprj/u_mac_wrap/_08547_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.05    0.30    5.52 ^ mprj/u_mac_wrap/_08547_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[8][3] (net)
+                  0.05    0.00    5.52 ^ mprj/u_mac_wrap/_04991_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    5.63 ^ mprj/u_mac_wrap/_04991_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00101_ (net)
+                  0.04    0.00    5.63 ^ mprj/u_mac_wrap/_08547_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  5.63   data arrival time
 
                           0.00    0.00   clock mac_rx_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -47242,45 +52607,63 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.17    5.10 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    5.26 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00    5.26 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.25    0.29    5.55 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
-                  0.25    0.00    5.55 ^ mprj/u_mac_wrap/clkbuf_leaf_38_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.21    5.76 ^ mprj/u_mac_wrap/clkbuf_leaf_38_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.03                           mprj/u_mac_wrap/clknet_leaf_38_phy_rx_clk (net)
-                  0.06    0.00    5.76 ^ mprj/u_mac_wrap/_08544_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    5.86   clock uncertainty
-                         -2.38    3.49   clock reconvergence pessimism
-                         -0.03    3.46   library hold time
-                                  3.46   data required time
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    7.15 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    7.15 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.16    7.31 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
+                  0.06    0.00    7.31 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.19    0.25    7.56 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_mac_wrap/clknet_3_2_0_phy_rx_clk (net)
+                  0.19    0.00    7.57 ^ mprj/u_mac_wrap/clkbuf_leaf_49_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.18    7.75 ^ mprj/u_mac_wrap/clkbuf_leaf_49_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.02                           mprj/u_mac_wrap/clknet_leaf_49_phy_rx_clk (net)
+                  0.05    0.00    7.75 ^ mprj/u_mac_wrap/_08547_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.85   clock uncertainty
+                         -2.52    5.33   clock reconvergence pessimism
+                         -0.03    5.30   library hold time
+                                  5.30   data required time
 -----------------------------------------------------------------------------
-                                  3.46   data required time
-                                 -3.79   data arrival time
+                                  5.30   data required time
+                                 -5.63   data arrival time
 -----------------------------------------------------------------------------
-                                  0.33   slack (MET)
+                                  0.34   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_08551_
+Startpoint: mprj/u_mac_wrap/_08567_
             (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_08551_
+Endpoint: mprj/u_mac_wrap/_08567_
           (rising edge-triggered flip-flop clocked by mac_rx_clk)
 Path Group: mac_rx_clk
 Path Type: min
@@ -47304,38 +52687,56 @@
                   0.15    0.00    1.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.11    1.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17    1.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    1.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21    1.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    1.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43    2.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    2.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24    2.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12    1.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    1.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81    2.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21    2.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42    3.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    3.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15    3.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    3.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32    3.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    3.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28    4.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    4.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21    4.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    4.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16    4.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    2.61 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    2.77 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    2.77 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    2.92 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    4.41 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15    4.67 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    4.67 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    4.82 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_mac_wrap/clknet_2_0_0_phy_rx_clk (net)
-                  0.06    0.00    2.92 ^ mprj/u_mac_wrap/clkbuf_3_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.18    0.23    3.15 ^ mprj/u_mac_wrap/clkbuf_3_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.10                           mprj/u_mac_wrap/clknet_3_1_0_phy_rx_clk (net)
-                  0.18    0.00    3.15 ^ mprj/u_mac_wrap/clkbuf_leaf_67_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.17    3.31 ^ mprj/u_mac_wrap/clkbuf_leaf_67_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     7    0.02                           mprj/u_mac_wrap/clknet_leaf_67_phy_rx_clk (net)
-                  0.04    0.00    3.31 ^ mprj/u_mac_wrap/_08551_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.05    0.30    3.61 ^ mprj/u_mac_wrap/_08551_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[20][3] (net)
-                  0.05    0.00    3.61 ^ mprj/u_mac_wrap/_05043_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    3.72 ^ mprj/u_mac_wrap/_05043_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00155_ (net)
-                  0.04    0.00    3.72 ^ mprj/u_mac_wrap/_08551_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  3.72   data arrival time
+                  0.06    0.00    4.82 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.23    0.26    5.08 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.13                           mprj/u_mac_wrap/clknet_3_0_0_phy_rx_clk (net)
+                  0.23    0.00    5.08 ^ mprj/u_mac_wrap/clkbuf_leaf_56_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.19    5.27 ^ mprj/u_mac_wrap/clkbuf_leaf_56_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_mac_wrap/clknet_leaf_56_phy_rx_clk (net)
+                  0.05    0.00    5.27 ^ mprj/u_mac_wrap/_08567_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.05    0.30    5.57 ^ mprj/u_mac_wrap/_08567_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[6][5] (net)
+                  0.05    0.00    5.57 ^ mprj/u_mac_wrap/_05021_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    5.68 ^ mprj/u_mac_wrap/_05021_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00121_ (net)
+                  0.04    0.00    5.68 ^ mprj/u_mac_wrap/_08567_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  5.68   data arrival time
 
                           0.00    0.00   clock mac_rx_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -47354,45 +52755,63 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.17    5.10 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.16    5.26 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    7.15 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    7.15 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.16    7.31 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_mac_wrap/clknet_2_0_0_phy_rx_clk (net)
-                  0.06    0.00    5.26 ^ mprj/u_mac_wrap/clkbuf_3_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.18    0.25    5.51 ^ mprj/u_mac_wrap/clkbuf_3_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.10                           mprj/u_mac_wrap/clknet_3_1_0_phy_rx_clk (net)
-                  0.18    0.00    5.51 ^ mprj/u_mac_wrap/clkbuf_leaf_67_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.18    5.69 ^ mprj/u_mac_wrap/clkbuf_leaf_67_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     7    0.02                           mprj/u_mac_wrap/clknet_leaf_67_phy_rx_clk (net)
-                  0.04    0.00    5.69 ^ mprj/u_mac_wrap/_08551_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    5.79   clock uncertainty
-                         -2.37    3.41   clock reconvergence pessimism
-                         -0.03    3.38   library hold time
-                                  3.38   data required time
+                  0.06    0.00    7.31 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.23    0.28    7.59 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.13                           mprj/u_mac_wrap/clknet_3_0_0_phy_rx_clk (net)
+                  0.23    0.00    7.60 ^ mprj/u_mac_wrap/clkbuf_leaf_56_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.20    7.80 ^ mprj/u_mac_wrap/clkbuf_leaf_56_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_mac_wrap/clknet_leaf_56_phy_rx_clk (net)
+                  0.05    0.00    7.80 ^ mprj/u_mac_wrap/_08567_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.90   clock uncertainty
+                         -2.53    5.37   clock reconvergence pessimism
+                         -0.03    5.34   library hold time
+                                  5.34   data required time
 -----------------------------------------------------------------------------
-                                  3.38   data required time
-                                 -3.72   data arrival time
+                                  5.34   data required time
+                                 -5.68   data arrival time
 -----------------------------------------------------------------------------
                                   0.34   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_08709_
+Startpoint: mprj/u_mac_wrap/_08784_
             (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_08709_
+Endpoint: mprj/u_mac_wrap/_08784_
           (rising edge-triggered flip-flop clocked by mac_rx_clk)
 Path Group: mac_rx_clk
 Path Type: min
@@ -47416,38 +52835,204 @@
                   0.15    0.00    1.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.11    1.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17    1.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    1.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21    1.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    1.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43    2.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    2.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24    2.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12    1.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    1.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81    2.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21    2.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42    3.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    3.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15    3.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    3.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32    3.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    3.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28    4.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    4.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21    4.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    4.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16    4.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    2.61 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    2.77 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    2.77 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    2.92 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    4.41 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15    4.67 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    4.67 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    4.82 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
+                  0.06    0.00    4.82 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.19    0.23    5.05 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_mac_wrap/clknet_3_2_0_phy_rx_clk (net)
+                  0.19    0.00    5.05 ^ mprj/u_mac_wrap/clkbuf_leaf_44_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.18    5.23 ^ mprj/u_mac_wrap/clkbuf_leaf_44_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    12    0.04                           mprj/u_mac_wrap/clknet_leaf_44_phy_rx_clk (net)
+                  0.06    0.00    5.23 ^ mprj/u_mac_wrap/_08784_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.05    0.30    5.54 ^ mprj/u_mac_wrap/_08784_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[12][1] (net)
+                  0.05    0.00    5.54 ^ mprj/u_mac_wrap/_05601_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    5.64 ^ mprj/u_mac_wrap/_05601_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00327_ (net)
+                  0.04    0.00    5.64 ^ mprj/u_mac_wrap/_08784_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  5.64   data arrival time
+
+                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
+     1   11.12                           mprj_io[12] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[12] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    7.15 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    7.15 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.16    7.31 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
+                  0.06    0.00    7.31 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.19    0.25    7.56 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_mac_wrap/clknet_3_2_0_phy_rx_clk (net)
+                  0.19    0.00    7.56 ^ mprj/u_mac_wrap/clkbuf_leaf_44_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    7.76 ^ mprj/u_mac_wrap/clkbuf_leaf_44_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    12    0.04                           mprj/u_mac_wrap/clknet_leaf_44_phy_rx_clk (net)
+                  0.06    0.00    7.76 ^ mprj/u_mac_wrap/_08784_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.86   clock uncertainty
+                         -2.53    5.33   clock reconvergence pessimism
+                         -0.03    5.31   library hold time
+                                  5.31   data required time
+-----------------------------------------------------------------------------
+                                  5.31   data required time
+                                 -5.64   data arrival time
+-----------------------------------------------------------------------------
+                                  0.34   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08560_
+            (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Endpoint: mprj/u_mac_wrap/_08560_
+          (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Path Group: mac_rx_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ mprj_io[12] (inout)
+     1    6.12                           mprj_io[12] (net)
+                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[12] (net)
+                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00    1.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10    1.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00    1.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21    1.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00    1.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11    1.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12    1.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    1.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81    2.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21    2.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42    3.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    3.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15    3.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    3.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32    3.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    3.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28    4.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    4.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21    4.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    4.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16    4.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00    4.41 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15    4.67 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    4.67 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    4.82 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_mac_wrap/clknet_2_0_0_phy_rx_clk (net)
-                  0.06    0.00    2.92 ^ mprj/u_mac_wrap/clkbuf_3_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.18    0.23    3.15 ^ mprj/u_mac_wrap/clkbuf_3_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.10                           mprj/u_mac_wrap/clknet_3_1_0_phy_rx_clk (net)
-                  0.18    0.00    3.15 ^ mprj/u_mac_wrap/clkbuf_leaf_65_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.16    3.31 ^ mprj/u_mac_wrap/clkbuf_leaf_65_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     6    0.02                           mprj/u_mac_wrap/clknet_leaf_65_phy_rx_clk (net)
-                  0.04    0.00    3.31 ^ mprj/u_mac_wrap/_08709_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.05    0.30    3.61 ^ mprj/u_mac_wrap/_08709_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[23][6] (net)
-                  0.05    0.00    3.61 ^ mprj/u_mac_wrap/_05512_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    3.72 ^ mprj/u_mac_wrap/_05512_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00306_ (net)
-                  0.04    0.00    3.72 ^ mprj/u_mac_wrap/_08709_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  3.72   data arrival time
+                  0.06    0.00    4.82 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.23    0.26    5.08 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.13                           mprj/u_mac_wrap/clknet_3_0_0_phy_rx_clk (net)
+                  0.23    0.00    5.08 ^ mprj/u_mac_wrap/clkbuf_leaf_57_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.27 ^ mprj/u_mac_wrap/clkbuf_leaf_57_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    11    0.03                           mprj/u_mac_wrap/clknet_leaf_57_phy_rx_clk (net)
+                  0.06    0.00    5.27 ^ mprj/u_mac_wrap/_08560_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.05    0.30    5.57 ^ mprj/u_mac_wrap/_08560_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[7][7] (net)
+                  0.05    0.00    5.57 ^ mprj/u_mac_wrap/_05010_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    5.68 ^ mprj/u_mac_wrap/_05010_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00114_ (net)
+                  0.04    0.00    5.68 ^ mprj/u_mac_wrap/_08560_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  5.68   data arrival time
 
                           0.00    0.00   clock mac_rx_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -47466,45 +53051,63 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.17    5.10 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.16    5.26 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    7.15 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    7.15 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.16    7.31 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_mac_wrap/clknet_2_0_0_phy_rx_clk (net)
-                  0.06    0.00    5.26 ^ mprj/u_mac_wrap/clkbuf_3_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.18    0.25    5.51 ^ mprj/u_mac_wrap/clkbuf_3_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.10                           mprj/u_mac_wrap/clknet_3_1_0_phy_rx_clk (net)
-                  0.18    0.00    5.51 ^ mprj/u_mac_wrap/clkbuf_leaf_65_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.18    5.68 ^ mprj/u_mac_wrap/clkbuf_leaf_65_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     6    0.02                           mprj/u_mac_wrap/clknet_leaf_65_phy_rx_clk (net)
-                  0.04    0.00    5.68 ^ mprj/u_mac_wrap/_08709_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    5.78   clock uncertainty
-                         -2.37    3.41   clock reconvergence pessimism
-                         -0.03    3.38   library hold time
-                                  3.38   data required time
+                  0.06    0.00    7.31 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.23    0.28    7.59 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.13                           mprj/u_mac_wrap/clknet_3_0_0_phy_rx_clk (net)
+                  0.23    0.00    7.60 ^ mprj/u_mac_wrap/clkbuf_leaf_57_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    7.80 ^ mprj/u_mac_wrap/clkbuf_leaf_57_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    11    0.03                           mprj/u_mac_wrap/clknet_leaf_57_phy_rx_clk (net)
+                  0.06    0.00    7.80 ^ mprj/u_mac_wrap/_08560_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.90   clock uncertainty
+                         -2.53    5.37   clock reconvergence pessimism
+                         -0.03    5.34   library hold time
+                                  5.34   data required time
 -----------------------------------------------------------------------------
-                                  3.38   data required time
-                                 -3.72   data arrival time
+                                  5.34   data required time
+                                 -5.68   data arrival time
 -----------------------------------------------------------------------------
                                   0.34   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_08549_
+Startpoint: mprj/u_mac_wrap/_08783_
             (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_08549_
+Endpoint: mprj/u_mac_wrap/_08783_
           (rising edge-triggered flip-flop clocked by mac_rx_clk)
 Path Group: mac_rx_clk
 Path Type: min
@@ -47528,38 +53131,204 @@
                   0.15    0.00    1.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.11    1.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17    1.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    1.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21    1.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    1.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43    2.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    2.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24    2.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12    1.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    1.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81    2.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21    2.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42    3.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    3.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15    3.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    3.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32    3.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    3.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28    4.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    4.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21    4.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    4.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16    4.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    2.61 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    2.77 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    2.77 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    2.92 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    4.41 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15    4.67 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    4.67 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    4.82 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
+                  0.06    0.00    4.82 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.19    0.23    5.05 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_mac_wrap/clknet_3_2_0_phy_rx_clk (net)
+                  0.19    0.00    5.05 ^ mprj/u_mac_wrap/clkbuf_leaf_44_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.18    5.23 ^ mprj/u_mac_wrap/clkbuf_leaf_44_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    12    0.04                           mprj/u_mac_wrap/clknet_leaf_44_phy_rx_clk (net)
+                  0.06    0.00    5.23 ^ mprj/u_mac_wrap/_08783_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.05    0.30    5.54 ^ mprj/u_mac_wrap/_08783_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[12][0] (net)
+                  0.05    0.00    5.54 ^ mprj/u_mac_wrap/_05600_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.03    0.11    5.64 ^ mprj/u_mac_wrap/_05600_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00326_ (net)
+                  0.03    0.00    5.64 ^ mprj/u_mac_wrap/_08783_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  5.64   data arrival time
+
+                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
+     1   11.12                           mprj_io[12] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[12] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    7.15 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    7.15 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.16    7.31 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
+                  0.06    0.00    7.31 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.19    0.25    7.56 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_mac_wrap/clknet_3_2_0_phy_rx_clk (net)
+                  0.19    0.00    7.56 ^ mprj/u_mac_wrap/clkbuf_leaf_44_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    7.76 ^ mprj/u_mac_wrap/clkbuf_leaf_44_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    12    0.04                           mprj/u_mac_wrap/clknet_leaf_44_phy_rx_clk (net)
+                  0.06    0.00    7.76 ^ mprj/u_mac_wrap/_08783_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.86   clock uncertainty
+                         -2.53    5.33   clock reconvergence pessimism
+                         -0.03    5.31   library hold time
+                                  5.31   data required time
+-----------------------------------------------------------------------------
+                                  5.31   data required time
+                                 -5.64   data arrival time
+-----------------------------------------------------------------------------
+                                  0.34   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08564_
+            (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Endpoint: mprj/u_mac_wrap/_08564_
+          (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Path Group: mac_rx_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ mprj_io[12] (inout)
+     1    6.12                           mprj_io[12] (net)
+                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[12] (net)
+                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00    1.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10    1.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00    1.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21    1.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00    1.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11    1.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12    1.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    1.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81    2.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21    2.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42    3.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    3.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15    3.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    3.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32    3.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    3.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28    4.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    4.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21    4.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    4.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16    4.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00    4.41 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15    4.67 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    4.67 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    4.82 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_mac_wrap/clknet_2_0_0_phy_rx_clk (net)
-                  0.06    0.00    2.92 ^ mprj/u_mac_wrap/clkbuf_3_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.18    0.23    3.15 ^ mprj/u_mac_wrap/clkbuf_3_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.10                           mprj/u_mac_wrap/clknet_3_1_0_phy_rx_clk (net)
-                  0.18    0.00    3.15 ^ mprj/u_mac_wrap/clkbuf_leaf_35_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.18    3.32 ^ mprj/u_mac_wrap/clkbuf_leaf_35_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    10    0.03                           mprj/u_mac_wrap/clknet_leaf_35_phy_rx_clk (net)
-                  0.05    0.00    3.32 ^ mprj/u_mac_wrap/_08549_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.05    0.30    3.63 ^ mprj/u_mac_wrap/_08549_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[20][1] (net)
-                  0.05    0.00    3.63 ^ mprj/u_mac_wrap/_05041_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    3.73 ^ mprj/u_mac_wrap/_05041_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00153_ (net)
-                  0.04    0.00    3.73 ^ mprj/u_mac_wrap/_08549_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  3.73   data arrival time
+                  0.06    0.00    4.82 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.23    0.26    5.08 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.13                           mprj/u_mac_wrap/clknet_3_0_0_phy_rx_clk (net)
+                  0.23    0.00    5.08 ^ mprj/u_mac_wrap/clkbuf_leaf_57_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.27 ^ mprj/u_mac_wrap/clkbuf_leaf_57_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    11    0.03                           mprj/u_mac_wrap/clknet_leaf_57_phy_rx_clk (net)
+                  0.06    0.00    5.27 ^ mprj/u_mac_wrap/_08564_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.05    0.30    5.57 ^ mprj/u_mac_wrap/_08564_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[6][2] (net)
+                  0.05    0.00    5.57 ^ mprj/u_mac_wrap/_05018_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    5.68 ^ mprj/u_mac_wrap/_05018_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00118_ (net)
+                  0.04    0.00    5.68 ^ mprj/u_mac_wrap/_08564_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  5.68   data arrival time
 
                           0.00    0.00   clock mac_rx_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -47578,45 +53347,63 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.17    5.10 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.16    5.26 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    7.15 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    7.15 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.16    7.31 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_mac_wrap/clknet_2_0_0_phy_rx_clk (net)
-                  0.06    0.00    5.26 ^ mprj/u_mac_wrap/clkbuf_3_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.18    0.25    5.51 ^ mprj/u_mac_wrap/clkbuf_3_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.10                           mprj/u_mac_wrap/clknet_3_1_0_phy_rx_clk (net)
-                  0.18    0.00    5.51 ^ mprj/u_mac_wrap/clkbuf_leaf_35_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.19    5.70 ^ mprj/u_mac_wrap/clkbuf_leaf_35_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    10    0.03                           mprj/u_mac_wrap/clknet_leaf_35_phy_rx_clk (net)
-                  0.05    0.00    5.70 ^ mprj/u_mac_wrap/_08549_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    5.80   clock uncertainty
-                         -2.37    3.42   clock reconvergence pessimism
-                         -0.03    3.40   library hold time
-                                  3.40   data required time
+                  0.06    0.00    7.31 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.23    0.28    7.59 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.13                           mprj/u_mac_wrap/clknet_3_0_0_phy_rx_clk (net)
+                  0.23    0.00    7.60 ^ mprj/u_mac_wrap/clkbuf_leaf_57_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    7.80 ^ mprj/u_mac_wrap/clkbuf_leaf_57_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    11    0.03                           mprj/u_mac_wrap/clknet_leaf_57_phy_rx_clk (net)
+                  0.06    0.00    7.80 ^ mprj/u_mac_wrap/_08564_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.90   clock uncertainty
+                         -2.53    5.37   clock reconvergence pessimism
+                         -0.03    5.34   library hold time
+                                  5.34   data required time
 -----------------------------------------------------------------------------
-                                  3.40   data required time
-                                 -3.73   data arrival time
+                                  5.34   data required time
+                                 -5.68   data arrival time
 -----------------------------------------------------------------------------
                                   0.34   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_08799_
+Startpoint: mprj/u_mac_wrap/_08556_
             (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_08799_
+Endpoint: mprj/u_mac_wrap/_08556_
           (rising edge-triggered flip-flop clocked by mac_rx_clk)
 Path Group: mac_rx_clk
 Path Type: min
@@ -47640,374 +53427,56 @@
                   0.15    0.00    1.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.11    1.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17    1.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    1.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21    1.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    1.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43    2.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    2.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24    2.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12    1.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    1.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81    2.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21    2.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42    3.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    3.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15    3.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    3.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32    3.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    3.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28    4.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    4.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21    4.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    4.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16    4.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    2.61 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    2.77 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    2.77 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    2.91 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00    2.91 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.25    0.27    3.19 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
-                  0.25    0.00    3.19 ^ mprj/u_mac_wrap/clkbuf_leaf_38_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.20    3.38 ^ mprj/u_mac_wrap/clkbuf_leaf_38_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.03                           mprj/u_mac_wrap/clknet_leaf_38_phy_rx_clk (net)
-                  0.06    0.00    3.39 ^ mprj/u_mac_wrap/_08799_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.05    0.30    3.69 ^ mprj/u_mac_wrap/_08799_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[16][6] (net)
-                  0.05    0.00    3.69 ^ mprj/u_mac_wrap/_05615_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    3.79 ^ mprj/u_mac_wrap/_05615_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00392_ (net)
-                  0.04    0.00    3.79 ^ mprj/u_mac_wrap/_08799_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  3.79   data arrival time
-
-                          0.00    0.00   clock mac_rx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1   11.12                           mprj_io[12] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[12] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.17    5.10 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    5.26 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00    5.26 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.25    0.29    5.55 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
-                  0.25    0.00    5.55 ^ mprj/u_mac_wrap/clkbuf_leaf_38_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.21    5.76 ^ mprj/u_mac_wrap/clkbuf_leaf_38_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.03                           mprj/u_mac_wrap/clknet_leaf_38_phy_rx_clk (net)
-                  0.06    0.00    5.76 ^ mprj/u_mac_wrap/_08799_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    5.86   clock uncertainty
-                         -2.38    3.49   clock reconvergence pessimism
-                         -0.03    3.46   library hold time
-                                  3.46   data required time
------------------------------------------------------------------------------
-                                  3.46   data required time
-                                 -3.79   data arrival time
------------------------------------------------------------------------------
-                                  0.34   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_08539_
-            (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_08539_
-          (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Path Group: mac_rx_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_rx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1    6.12                           mprj_io[12] (net)
-                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[12] (net)
-                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    1.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10    1.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    1.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21    1.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    1.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11    1.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17    1.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    1.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21    1.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    1.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43    2.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    2.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24    2.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    2.61 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    2.77 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    2.77 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    2.91 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00    2.91 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.25    0.27    3.19 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
-                  0.25    0.00    3.19 ^ mprj/u_mac_wrap/clkbuf_leaf_39_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.19    3.37 ^ mprj/u_mac_wrap/clkbuf_leaf_39_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     7    0.02                           mprj/u_mac_wrap/clknet_leaf_39_phy_rx_clk (net)
-                  0.04    0.00    3.37 ^ mprj/u_mac_wrap/_08539_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.06    0.30    3.67 ^ mprj/u_mac_wrap/_08539_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[18][0] (net)
-                  0.06    0.00    3.67 ^ mprj/u_mac_wrap/_05029_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    3.78 ^ mprj/u_mac_wrap/_05029_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00143_ (net)
-                  0.04    0.00    3.78 ^ mprj/u_mac_wrap/_08539_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  3.78   data arrival time
-
-                          0.00    0.00   clock mac_rx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1   11.12                           mprj_io[12] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[12] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.17    5.10 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    5.26 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00    5.26 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.25    0.29    5.55 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
-                  0.25    0.00    5.55 ^ mprj/u_mac_wrap/clkbuf_leaf_39_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.20    5.75 ^ mprj/u_mac_wrap/clkbuf_leaf_39_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     7    0.02                           mprj/u_mac_wrap/clknet_leaf_39_phy_rx_clk (net)
-                  0.04    0.00    5.75 ^ mprj/u_mac_wrap/_08539_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    5.85   clock uncertainty
-                         -2.38    3.47   clock reconvergence pessimism
-                         -0.03    3.44   library hold time
-                                  3.44   data required time
------------------------------------------------------------------------------
-                                  3.44   data required time
-                                 -3.78   data arrival time
------------------------------------------------------------------------------
-                                  0.34   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_08674_
-            (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_08674_
-          (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Path Group: mac_rx_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_rx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1    6.12                           mprj_io[12] (net)
-                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[12] (net)
-                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    1.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10    1.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    1.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21    1.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    1.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11    1.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17    1.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    1.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21    1.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    1.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43    2.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    2.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24    2.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    2.61 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    2.77 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    2.77 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    2.91 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00    2.91 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.25    0.27    3.19 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
-                  0.25    0.00    3.19 ^ mprj/u_mac_wrap/clkbuf_leaf_28_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.19    3.37 ^ mprj/u_mac_wrap/clkbuf_leaf_28_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     6    0.02                           mprj/u_mac_wrap/clknet_leaf_28_phy_rx_clk (net)
-                  0.05    0.00    3.38 ^ mprj/u_mac_wrap/_08674_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.05    0.30    3.67 ^ mprj/u_mac_wrap/_08674_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[2][5] (net)
-                  0.05    0.00    3.67 ^ mprj/u_mac_wrap/_05256_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    3.78 ^ mprj/u_mac_wrap/_05256_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00271_ (net)
-                  0.04    0.00    3.78 ^ mprj/u_mac_wrap/_08674_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  3.78   data arrival time
-
-                          0.00    0.00   clock mac_rx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1   11.12                           mprj_io[12] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[12] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.17    5.10 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    5.26 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00    5.26 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.25    0.29    5.55 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
-                  0.25    0.00    5.55 ^ mprj/u_mac_wrap/clkbuf_leaf_28_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.20    5.75 ^ mprj/u_mac_wrap/clkbuf_leaf_28_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     6    0.02                           mprj/u_mac_wrap/clknet_leaf_28_phy_rx_clk (net)
-                  0.05    0.00    5.75 ^ mprj/u_mac_wrap/_08674_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    5.85   clock uncertainty
-                         -2.38    3.48   clock reconvergence pessimism
-                         -0.03    3.45   library hold time
-                                  3.45   data required time
------------------------------------------------------------------------------
-                                  3.45   data required time
-                                 -3.78   data arrival time
------------------------------------------------------------------------------
-                                  0.34   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_08706_
-            (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_08706_
-          (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Path Group: mac_rx_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_rx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1    6.12                           mprj_io[12] (net)
-                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[12] (net)
-                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    1.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10    1.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    1.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21    1.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    1.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11    1.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17    1.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    1.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21    1.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    1.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43    2.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    2.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24    2.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    2.61 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    2.77 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    2.77 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    2.92 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    4.41 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15    4.67 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    4.67 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    4.82 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_mac_wrap/clknet_2_0_0_phy_rx_clk (net)
-                  0.06    0.00    2.92 ^ mprj/u_mac_wrap/clkbuf_3_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.18    0.23    3.15 ^ mprj/u_mac_wrap/clkbuf_3_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.10                           mprj/u_mac_wrap/clknet_3_1_0_phy_rx_clk (net)
-                  0.18    0.00    3.15 ^ mprj/u_mac_wrap/clkbuf_leaf_67_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.17    3.31 ^ mprj/u_mac_wrap/clkbuf_leaf_67_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     7    0.02                           mprj/u_mac_wrap/clknet_leaf_67_phy_rx_clk (net)
-                  0.04    0.00    3.31 ^ mprj/u_mac_wrap/_08706_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.05    0.30    3.61 ^ mprj/u_mac_wrap/_08706_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[23][3] (net)
-                  0.05    0.00    3.61 ^ mprj/u_mac_wrap/_05509_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    3.72 ^ mprj/u_mac_wrap/_05509_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00303_ (net)
-                  0.04    0.00    3.72 ^ mprj/u_mac_wrap/_08706_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  3.72   data arrival time
+                  0.06    0.00    4.82 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.23    0.26    5.08 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.13                           mprj/u_mac_wrap/clknet_3_0_0_phy_rx_clk (net)
+                  0.23    0.00    5.08 ^ mprj/u_mac_wrap/clkbuf_leaf_58_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.19    5.27 ^ mprj/u_mac_wrap/clkbuf_leaf_58_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_mac_wrap/clknet_leaf_58_phy_rx_clk (net)
+                  0.05    0.00    5.27 ^ mprj/u_mac_wrap/_08556_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.05    0.30    5.57 ^ mprj/u_mac_wrap/_08556_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[7][3] (net)
+                  0.05    0.00    5.57 ^ mprj/u_mac_wrap/_05006_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    5.68 ^ mprj/u_mac_wrap/_05006_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00110_ (net)
+                  0.04    0.00    5.68 ^ mprj/u_mac_wrap/_08556_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  5.68   data arrival time
 
                           0.00    0.00   clock mac_rx_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -48026,45 +53495,63 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.17    5.10 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.16    5.26 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    7.15 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    7.15 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.16    7.31 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_mac_wrap/clknet_2_0_0_phy_rx_clk (net)
-                  0.06    0.00    5.26 ^ mprj/u_mac_wrap/clkbuf_3_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.18    0.25    5.51 ^ mprj/u_mac_wrap/clkbuf_3_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.10                           mprj/u_mac_wrap/clknet_3_1_0_phy_rx_clk (net)
-                  0.18    0.00    5.51 ^ mprj/u_mac_wrap/clkbuf_leaf_67_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.18    5.69 ^ mprj/u_mac_wrap/clkbuf_leaf_67_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     7    0.02                           mprj/u_mac_wrap/clknet_leaf_67_phy_rx_clk (net)
-                  0.04    0.00    5.69 ^ mprj/u_mac_wrap/_08706_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    5.79   clock uncertainty
-                         -2.37    3.41   clock reconvergence pessimism
-                         -0.03    3.38   library hold time
-                                  3.38   data required time
+                  0.06    0.00    7.31 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.23    0.28    7.59 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.13                           mprj/u_mac_wrap/clknet_3_0_0_phy_rx_clk (net)
+                  0.23    0.00    7.60 ^ mprj/u_mac_wrap/clkbuf_leaf_58_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.20    7.80 ^ mprj/u_mac_wrap/clkbuf_leaf_58_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_mac_wrap/clknet_leaf_58_phy_rx_clk (net)
+                  0.05    0.00    7.80 ^ mprj/u_mac_wrap/_08556_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.90   clock uncertainty
+                         -2.53    5.37   clock reconvergence pessimism
+                         -0.03    5.34   library hold time
+                                  5.34   data required time
 -----------------------------------------------------------------------------
-                                  3.38   data required time
-                                 -3.72   data arrival time
+                                  5.34   data required time
+                                 -5.68   data arrival time
 -----------------------------------------------------------------------------
                                   0.34   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_08541_
+Startpoint: mprj/u_mac_wrap/_08788_
             (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_08541_
+Endpoint: mprj/u_mac_wrap/_08788_
           (rising edge-triggered flip-flop clocked by mac_rx_clk)
 Path Group: mac_rx_clk
 Path Type: min
@@ -48088,38 +53575,56 @@
                   0.15    0.00    1.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.11    1.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17    1.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    1.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21    1.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    1.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43    2.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    2.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24    2.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12    1.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    1.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81    2.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21    2.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42    3.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    3.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15    3.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    3.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32    3.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    3.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28    4.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    4.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21    4.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    4.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16    4.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    2.61 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    2.77 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    2.77 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    2.91 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00    2.91 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.25    0.27    3.19 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
-                  0.25    0.00    3.19 ^ mprj/u_mac_wrap/clkbuf_leaf_38_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.20    3.38 ^ mprj/u_mac_wrap/clkbuf_leaf_38_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.03                           mprj/u_mac_wrap/clknet_leaf_38_phy_rx_clk (net)
-                  0.06    0.00    3.39 ^ mprj/u_mac_wrap/_08541_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.05    0.30    3.69 ^ mprj/u_mac_wrap/_08541_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[18][2] (net)
-                  0.05    0.00    3.69 ^ mprj/u_mac_wrap/_05031_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    3.80 ^ mprj/u_mac_wrap/_05031_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00145_ (net)
-                  0.04    0.00    3.80 ^ mprj/u_mac_wrap/_08541_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  3.80   data arrival time
+                  0.05    0.00    4.41 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15    4.67 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    4.67 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    4.82 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
+                  0.06    0.00    4.82 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.19    0.23    5.05 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_mac_wrap/clknet_3_2_0_phy_rx_clk (net)
+                  0.19    0.00    5.05 ^ mprj/u_mac_wrap/clkbuf_leaf_44_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.18    5.23 ^ mprj/u_mac_wrap/clkbuf_leaf_44_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    12    0.04                           mprj/u_mac_wrap/clknet_leaf_44_phy_rx_clk (net)
+                  0.06    0.00    5.23 ^ mprj/u_mac_wrap/_08788_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.05    0.30    5.54 ^ mprj/u_mac_wrap/_08788_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[12][5] (net)
+                  0.05    0.00    5.54 ^ mprj/u_mac_wrap/_05605_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    5.64 ^ mprj/u_mac_wrap/_05605_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00331_ (net)
+                  0.04    0.00    5.64 ^ mprj/u_mac_wrap/_08788_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  5.64   data arrival time
 
                           0.00    0.00   clock mac_rx_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -48138,45 +53643,63 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.17    5.10 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    5.26 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00    5.26 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.25    0.29    5.55 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
-                  0.25    0.00    5.55 ^ mprj/u_mac_wrap/clkbuf_leaf_38_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.21    5.76 ^ mprj/u_mac_wrap/clkbuf_leaf_38_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.03                           mprj/u_mac_wrap/clknet_leaf_38_phy_rx_clk (net)
-                  0.06    0.00    5.76 ^ mprj/u_mac_wrap/_08541_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    5.86   clock uncertainty
-                         -2.38    3.49   clock reconvergence pessimism
-                         -0.03    3.46   library hold time
-                                  3.46   data required time
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    7.15 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    7.15 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.16    7.31 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
+                  0.06    0.00    7.31 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.19    0.25    7.56 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_mac_wrap/clknet_3_2_0_phy_rx_clk (net)
+                  0.19    0.00    7.56 ^ mprj/u_mac_wrap/clkbuf_leaf_44_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    7.76 ^ mprj/u_mac_wrap/clkbuf_leaf_44_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    12    0.04                           mprj/u_mac_wrap/clknet_leaf_44_phy_rx_clk (net)
+                  0.06    0.00    7.76 ^ mprj/u_mac_wrap/_08788_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.86   clock uncertainty
+                         -2.53    5.33   clock reconvergence pessimism
+                         -0.03    5.31   library hold time
+                                  5.31   data required time
 -----------------------------------------------------------------------------
-                                  3.46   data required time
-                                 -3.80   data arrival time
+                                  5.31   data required time
+                                 -5.64   data arrival time
 -----------------------------------------------------------------------------
                                   0.34   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_08626_
+Startpoint: mprj/u_mac_wrap/_08930_
             (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_08626_
+Endpoint: mprj/u_mac_wrap/_08930_
           (rising edge-triggered flip-flop clocked by mac_rx_clk)
 Path Group: mac_rx_clk
 Path Type: min
@@ -48200,38 +53723,56 @@
                   0.15    0.00    1.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.11    1.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17    1.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    1.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21    1.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    1.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43    2.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    2.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24    2.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12    1.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    1.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81    2.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21    2.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42    3.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    3.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15    3.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    3.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32    3.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    3.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28    4.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    4.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21    4.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    4.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16    4.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    2.61 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    2.77 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    2.77 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    2.92 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    4.41 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15    4.67 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    4.67 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    4.82 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_mac_wrap/clknet_2_0_0_phy_rx_clk (net)
-                  0.06    0.00    2.92 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.19    0.23    3.15 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.10                           mprj/u_mac_wrap/clknet_3_0_0_phy_rx_clk (net)
-                  0.19    0.00    3.15 ^ mprj/u_mac_wrap/clkbuf_leaf_56_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.18    3.33 ^ mprj/u_mac_wrap/clkbuf_leaf_56_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    12    0.04                           mprj/u_mac_wrap/clknet_leaf_56_phy_rx_clk (net)
-                  0.06    0.00    3.33 ^ mprj/u_mac_wrap/_08626_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.05    0.30    3.63 ^ mprj/u_mac_wrap/_08626_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[24][2] (net)
-                  0.05    0.00    3.63 ^ mprj/u_mac_wrap/_05203_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    3.74 ^ mprj/u_mac_wrap/_05203_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00223_ (net)
-                  0.04    0.00    3.74 ^ mprj/u_mac_wrap/_08626_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  3.74   data arrival time
+                  0.06    0.00    4.82 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.23    0.26    5.08 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.13                           mprj/u_mac_wrap/clknet_3_0_0_phy_rx_clk (net)
+                  0.23    0.00    5.08 ^ mprj/u_mac_wrap/clkbuf_leaf_57_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.27 ^ mprj/u_mac_wrap/clkbuf_leaf_57_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    11    0.03                           mprj/u_mac_wrap/clknet_leaf_57_phy_rx_clk (net)
+                  0.06    0.00    5.27 ^ mprj/u_mac_wrap/_08930_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.05    0.30    5.57 ^ mprj/u_mac_wrap/_08930_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[4][8] (net)
+                  0.05    0.00    5.57 ^ mprj/u_mac_wrap/_05754_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    5.68 ^ mprj/u_mac_wrap/_05754_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00449_ (net)
+                  0.04    0.00    5.68 ^ mprj/u_mac_wrap/_08930_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  5.68   data arrival time
 
                           0.00    0.00   clock mac_rx_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -48250,45 +53791,63 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.17    5.10 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.16    5.26 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    7.15 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    7.15 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.16    7.31 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_mac_wrap/clknet_2_0_0_phy_rx_clk (net)
-                  0.06    0.00    5.26 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.19    0.25    5.51 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.10                           mprj/u_mac_wrap/clknet_3_0_0_phy_rx_clk (net)
-                  0.19    0.00    5.51 ^ mprj/u_mac_wrap/clkbuf_leaf_56_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.20    5.70 ^ mprj/u_mac_wrap/clkbuf_leaf_56_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    12    0.04                           mprj/u_mac_wrap/clknet_leaf_56_phy_rx_clk (net)
-                  0.06    0.00    5.70 ^ mprj/u_mac_wrap/_08626_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    5.80   clock uncertainty
-                         -2.37    3.43   clock reconvergence pessimism
-                         -0.03    3.40   library hold time
-                                  3.40   data required time
+                  0.06    0.00    7.31 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.23    0.28    7.59 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.13                           mprj/u_mac_wrap/clknet_3_0_0_phy_rx_clk (net)
+                  0.23    0.00    7.60 ^ mprj/u_mac_wrap/clkbuf_leaf_57_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    7.80 ^ mprj/u_mac_wrap/clkbuf_leaf_57_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    11    0.03                           mprj/u_mac_wrap/clknet_leaf_57_phy_rx_clk (net)
+                  0.06    0.00    7.80 ^ mprj/u_mac_wrap/_08930_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.90   clock uncertainty
+                         -2.53    5.37   clock reconvergence pessimism
+                         -0.03    5.34   library hold time
+                                  5.34   data required time
 -----------------------------------------------------------------------------
-                                  3.40   data required time
-                                 -3.74   data arrival time
+                                  5.34   data required time
+                                 -5.68   data arrival time
 -----------------------------------------------------------------------------
                                   0.34   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_08499_
+Startpoint: mprj/u_mac_wrap/_08714_
             (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_08499_
+Endpoint: mprj/u_mac_wrap/_08714_
           (rising edge-triggered flip-flop clocked by mac_rx_clk)
 Path Group: mac_rx_clk
 Path Type: min
@@ -48312,374 +53871,56 @@
                   0.15    0.00    1.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.11    1.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17    1.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    1.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21    1.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    1.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43    2.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    2.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24    2.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12    1.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    1.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81    2.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21    2.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42    3.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    3.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15    3.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    3.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32    3.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    3.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28    4.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    4.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21    4.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    4.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16    4.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    2.61 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    2.77 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    2.77 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    2.91 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00    2.91 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.26    0.28    3.19 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.15                           mprj/u_mac_wrap/clknet_3_2_0_phy_rx_clk (net)
-                  0.27    0.00    3.20 ^ mprj/u_mac_wrap/clkbuf_leaf_50_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.20    3.40 ^ mprj/u_mac_wrap/clkbuf_leaf_50_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     9    0.03                           mprj/u_mac_wrap/clknet_leaf_50_phy_rx_clk (net)
-                  0.05    0.00    3.40 ^ mprj/u_mac_wrap/_08499_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.06    0.30    3.70 ^ mprj/u_mac_wrap/_08499_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[8][5] (net)
-                  0.06    0.00    3.70 ^ mprj/u_mac_wrap/_04972_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    3.81 ^ mprj/u_mac_wrap/_04972_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00103_ (net)
-                  0.04    0.00    3.81 ^ mprj/u_mac_wrap/_08499_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  3.81   data arrival time
-
-                          0.00    0.00   clock mac_rx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1   11.12                           mprj_io[12] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[12] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.17    5.10 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    5.26 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00    5.26 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.26    0.30    5.56 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.15                           mprj/u_mac_wrap/clknet_3_2_0_phy_rx_clk (net)
-                  0.27    0.00    5.56 ^ mprj/u_mac_wrap/clkbuf_leaf_50_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.21    5.77 ^ mprj/u_mac_wrap/clkbuf_leaf_50_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     9    0.03                           mprj/u_mac_wrap/clknet_leaf_50_phy_rx_clk (net)
-                  0.05    0.00    5.77 ^ mprj/u_mac_wrap/_08499_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    5.87   clock uncertainty
-                         -2.38    3.50   clock reconvergence pessimism
-                         -0.03    3.47   library hold time
-                                  3.47   data required time
------------------------------------------------------------------------------
-                                  3.47   data required time
-                                 -3.81   data arrival time
------------------------------------------------------------------------------
-                                  0.34   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_08873_
-            (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_08873_
-          (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Path Group: mac_rx_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_rx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1    6.12                           mprj_io[12] (net)
-                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[12] (net)
-                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    1.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10    1.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    1.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21    1.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    1.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11    1.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17    1.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    1.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21    1.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    1.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43    2.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    2.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24    2.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    2.61 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    2.77 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    2.77 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    2.91 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00    2.91 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.25    0.27    3.19 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
-                  0.25    0.00    3.19 ^ mprj/u_mac_wrap/clkbuf_leaf_45_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.18    3.37 ^ mprj/u_mac_wrap/clkbuf_leaf_45_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     6    0.02                           mprj/u_mac_wrap/clknet_leaf_45_phy_rx_clk (net)
-                  0.04    0.00    3.37 ^ mprj/u_mac_wrap/_08873_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.06    0.30    3.67 ^ mprj/u_mac_wrap/_08873_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[4][1] (net)
-                  0.06    0.00    3.67 ^ mprj/u_mac_wrap/_05692_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    3.78 ^ mprj/u_mac_wrap/_05692_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00442_ (net)
-                  0.04    0.00    3.78 ^ mprj/u_mac_wrap/_08873_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  3.78   data arrival time
-
-                          0.00    0.00   clock mac_rx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1   11.12                           mprj_io[12] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[12] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.17    5.10 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    5.26 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00    5.26 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.25    0.29    5.55 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
-                  0.25    0.00    5.55 ^ mprj/u_mac_wrap/clkbuf_leaf_45_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.20    5.75 ^ mprj/u_mac_wrap/clkbuf_leaf_45_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     6    0.02                           mprj/u_mac_wrap/clknet_leaf_45_phy_rx_clk (net)
-                  0.04    0.00    5.75 ^ mprj/u_mac_wrap/_08873_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    5.85   clock uncertainty
-                         -2.38    3.47   clock reconvergence pessimism
-                         -0.03    3.44   library hold time
-                                  3.44   data required time
------------------------------------------------------------------------------
-                                  3.44   data required time
-                                 -3.78   data arrival time
------------------------------------------------------------------------------
-                                  0.34   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_08803_
-            (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_08803_
-          (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Path Group: mac_rx_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_rx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1    6.12                           mprj_io[12] (net)
-                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[12] (net)
-                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    1.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10    1.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    1.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21    1.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    1.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11    1.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17    1.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    1.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21    1.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    1.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43    2.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    2.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24    2.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    2.61 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    2.77 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    2.77 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    2.91 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00    2.91 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.26    0.28    3.19 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.15                           mprj/u_mac_wrap/clknet_3_2_0_phy_rx_clk (net)
-                  0.26    0.00    3.20 ^ mprj/u_mac_wrap/clkbuf_leaf_47_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.20    3.39 ^ mprj/u_mac_wrap/clkbuf_leaf_47_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     9    0.03                           mprj/u_mac_wrap/clknet_leaf_47_phy_rx_clk (net)
-                  0.05    0.00    3.39 ^ mprj/u_mac_wrap/_08803_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.06    0.30    3.69 ^ mprj/u_mac_wrap/_08803_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[11][1] (net)
-                  0.06    0.00    3.69 ^ mprj/u_mac_wrap/_05620_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    3.80 ^ mprj/u_mac_wrap/_05620_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00396_ (net)
-                  0.04    0.00    3.80 ^ mprj/u_mac_wrap/_08803_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  3.80   data arrival time
-
-                          0.00    0.00   clock mac_rx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1   11.12                           mprj_io[12] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[12] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.17    5.10 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    5.26 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00    5.26 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.26    0.30    5.56 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.15                           mprj/u_mac_wrap/clknet_3_2_0_phy_rx_clk (net)
-                  0.26    0.00    5.56 ^ mprj/u_mac_wrap/clkbuf_leaf_47_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.21    5.77 ^ mprj/u_mac_wrap/clkbuf_leaf_47_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     9    0.03                           mprj/u_mac_wrap/clknet_leaf_47_phy_rx_clk (net)
-                  0.05    0.00    5.77 ^ mprj/u_mac_wrap/_08803_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    5.87   clock uncertainty
-                         -2.38    3.49   clock reconvergence pessimism
-                         -0.03    3.46   library hold time
-                                  3.46   data required time
------------------------------------------------------------------------------
-                                  3.46   data required time
-                                 -3.80   data arrival time
------------------------------------------------------------------------------
-                                  0.34   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_08624_
-            (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_08624_
-          (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Path Group: mac_rx_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_rx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1    6.12                           mprj_io[12] (net)
-                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[12] (net)
-                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    1.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10    1.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    1.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21    1.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    1.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11    1.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17    1.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    1.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21    1.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    1.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43    2.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    2.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24    2.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    2.61 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    2.77 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    2.77 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    2.92 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    4.41 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15    4.67 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    4.67 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    4.82 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_mac_wrap/clknet_2_0_0_phy_rx_clk (net)
-                  0.06    0.00    2.92 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.19    0.23    3.15 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.10                           mprj/u_mac_wrap/clknet_3_0_0_phy_rx_clk (net)
-                  0.19    0.00    3.15 ^ mprj/u_mac_wrap/clkbuf_leaf_57_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.18    3.33 ^ mprj/u_mac_wrap/clkbuf_leaf_57_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    12    0.04                           mprj/u_mac_wrap/clknet_leaf_57_phy_rx_clk (net)
-                  0.06    0.00    3.33 ^ mprj/u_mac_wrap/_08624_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.05    0.30    3.63 ^ mprj/u_mac_wrap/_08624_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[24][0] (net)
-                  0.05    0.00    3.63 ^ mprj/u_mac_wrap/_05201_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    3.74 ^ mprj/u_mac_wrap/_05201_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00221_ (net)
-                  0.04    0.00    3.74 ^ mprj/u_mac_wrap/_08624_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  3.74   data arrival time
+                  0.06    0.00    4.82 ^ mprj/u_mac_wrap/clkbuf_3_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.20    0.24    5.06 ^ mprj/u_mac_wrap/clkbuf_3_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_mac_wrap/clknet_3_1_0_phy_rx_clk (net)
+                  0.20    0.01    5.06 ^ mprj/u_mac_wrap/clkbuf_leaf_31_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.18    5.24 ^ mprj/u_mac_wrap/clkbuf_leaf_31_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_mac_wrap/clknet_leaf_31_phy_rx_clk (net)
+                  0.05    0.00    5.24 ^ mprj/u_mac_wrap/_08714_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.05    0.30    5.54 ^ mprj/u_mac_wrap/_08714_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[28][4] (net)
+                  0.05    0.00    5.54 ^ mprj/u_mac_wrap/_05264_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    5.65 ^ mprj/u_mac_wrap/_05264_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00261_ (net)
+                  0.04    0.00    5.65 ^ mprj/u_mac_wrap/_08714_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  5.65   data arrival time
 
                           0.00    0.00   clock mac_rx_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -48698,45 +53939,63 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.17    5.10 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.16    5.26 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    7.15 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    7.15 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.16    7.31 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_mac_wrap/clknet_2_0_0_phy_rx_clk (net)
-                  0.06    0.00    5.26 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.19    0.25    5.51 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.10                           mprj/u_mac_wrap/clknet_3_0_0_phy_rx_clk (net)
-                  0.19    0.00    5.51 ^ mprj/u_mac_wrap/clkbuf_leaf_57_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.19    5.70 ^ mprj/u_mac_wrap/clkbuf_leaf_57_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    12    0.04                           mprj/u_mac_wrap/clknet_leaf_57_phy_rx_clk (net)
-                  0.06    0.00    5.70 ^ mprj/u_mac_wrap/_08624_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    5.80   clock uncertainty
-                         -2.37    3.43   clock reconvergence pessimism
-                         -0.03    3.40   library hold time
-                                  3.40   data required time
+                  0.06    0.00    7.31 ^ mprj/u_mac_wrap/clkbuf_3_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.20    0.26    7.57 ^ mprj/u_mac_wrap/clkbuf_3_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_mac_wrap/clknet_3_1_0_phy_rx_clk (net)
+                  0.20    0.01    7.58 ^ mprj/u_mac_wrap/clkbuf_leaf_31_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.19    7.77 ^ mprj/u_mac_wrap/clkbuf_leaf_31_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_mac_wrap/clknet_leaf_31_phy_rx_clk (net)
+                  0.05    0.00    7.77 ^ mprj/u_mac_wrap/_08714_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.87   clock uncertainty
+                         -2.53    5.34   clock reconvergence pessimism
+                         -0.03    5.31   library hold time
+                                  5.31   data required time
 -----------------------------------------------------------------------------
-                                  3.40   data required time
-                                 -3.74   data arrival time
+                                  5.31   data required time
+                                 -5.65   data arrival time
 -----------------------------------------------------------------------------
                                   0.34   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_08739_
+Startpoint: mprj/u_mac_wrap/_08557_
             (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_08739_
+Endpoint: mprj/u_mac_wrap/_08557_
           (rising edge-triggered flip-flop clocked by mac_rx_clk)
 Path Group: mac_rx_clk
 Path Type: min
@@ -48760,38 +54019,56 @@
                   0.15    0.00    1.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.11    1.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17    1.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    1.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21    1.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    1.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43    2.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    2.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24    2.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12    1.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    1.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81    2.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21    2.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42    3.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    3.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15    3.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    3.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32    3.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    3.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28    4.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    4.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21    4.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    4.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16    4.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    2.61 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    2.77 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    2.77 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    2.91 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00    2.91 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.26    0.28    3.19 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.15                           mprj/u_mac_wrap/clknet_3_2_0_phy_rx_clk (net)
-                  0.27    0.01    3.20 ^ mprj/u_mac_wrap/clkbuf_leaf_53_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.21    3.41 ^ mprj/u_mac_wrap/clkbuf_leaf_53_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    13    0.04                           mprj/u_mac_wrap/clknet_leaf_53_phy_rx_clk (net)
-                  0.06    0.00    3.41 ^ mprj/u_mac_wrap/_08739_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.05    0.30    3.71 ^ mprj/u_mac_wrap/_08739_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[12][6] (net)
-                  0.05    0.00    3.71 ^ mprj/u_mac_wrap/_05551_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    3.82 ^ mprj/u_mac_wrap/_05551_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00332_ (net)
-                  0.04    0.00    3.82 ^ mprj/u_mac_wrap/_08739_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  3.82   data arrival time
+                  0.05    0.00    4.41 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15    4.67 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    4.67 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    4.82 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_2_0_0_phy_rx_clk (net)
+                  0.06    0.00    4.82 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.23    0.26    5.08 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.13                           mprj/u_mac_wrap/clknet_3_0_0_phy_rx_clk (net)
+                  0.23    0.00    5.08 ^ mprj/u_mac_wrap/clkbuf_leaf_60_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.19    5.27 ^ mprj/u_mac_wrap/clkbuf_leaf_60_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_mac_wrap/clknet_leaf_60_phy_rx_clk (net)
+                  0.05    0.00    5.27 ^ mprj/u_mac_wrap/_08557_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.05    0.30    5.57 ^ mprj/u_mac_wrap/_08557_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[7][4] (net)
+                  0.05    0.00    5.57 ^ mprj/u_mac_wrap/_05007_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    5.68 ^ mprj/u_mac_wrap/_05007_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00111_ (net)
+                  0.04    0.00    5.68 ^ mprj/u_mac_wrap/_08557_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  5.68   data arrival time
 
                           0.00    0.00   clock mac_rx_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -48810,45 +54087,63 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.17    5.10 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    5.26 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00    5.26 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.26    0.30    5.56 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.15                           mprj/u_mac_wrap/clknet_3_2_0_phy_rx_clk (net)
-                  0.27    0.01    5.56 ^ mprj/u_mac_wrap/clkbuf_leaf_53_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.22    5.79 ^ mprj/u_mac_wrap/clkbuf_leaf_53_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    13    0.04                           mprj/u_mac_wrap/clknet_leaf_53_phy_rx_clk (net)
-                  0.06    0.00    5.79 ^ mprj/u_mac_wrap/_08739_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    5.89   clock uncertainty
-                         -2.38    3.51   clock reconvergence pessimism
-                         -0.03    3.48   library hold time
-                                  3.48   data required time
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    7.15 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    7.15 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.16    7.31 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_2_0_0_phy_rx_clk (net)
+                  0.06    0.00    7.31 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.23    0.28    7.59 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.13                           mprj/u_mac_wrap/clknet_3_0_0_phy_rx_clk (net)
+                  0.23    0.00    7.60 ^ mprj/u_mac_wrap/clkbuf_leaf_60_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.20    7.80 ^ mprj/u_mac_wrap/clkbuf_leaf_60_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_mac_wrap/clknet_leaf_60_phy_rx_clk (net)
+                  0.05    0.00    7.80 ^ mprj/u_mac_wrap/_08557_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.90   clock uncertainty
+                         -2.53    5.37   clock reconvergence pessimism
+                         -0.03    5.34   library hold time
+                                  5.34   data required time
 -----------------------------------------------------------------------------
-                                  3.48   data required time
-                                 -3.82   data arrival time
+                                  5.34   data required time
+                                 -5.68   data arrival time
 -----------------------------------------------------------------------------
                                   0.34   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_08521_
+Startpoint: mprj/u_mac_wrap/_08725_
             (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_08521_
+Endpoint: mprj/u_mac_wrap/_08725_
           (rising edge-triggered flip-flop clocked by mac_rx_clk)
 Path Group: mac_rx_clk
 Path Type: min
@@ -48872,38 +54167,56 @@
                   0.15    0.00    1.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.11    1.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17    1.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    1.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21    1.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    1.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43    2.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    2.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24    2.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12    1.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    1.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81    2.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21    2.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42    3.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    3.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15    3.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    3.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32    3.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    3.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28    4.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    4.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21    4.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    4.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16    4.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    2.61 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    2.77 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    2.77 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    2.91 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00    2.91 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.25    0.27    3.19 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
-                  0.25    0.00    3.19 ^ mprj/u_mac_wrap/clkbuf_leaf_39_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.19    3.37 ^ mprj/u_mac_wrap/clkbuf_leaf_39_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     7    0.02                           mprj/u_mac_wrap/clknet_leaf_39_phy_rx_clk (net)
-                  0.04    0.00    3.37 ^ mprj/u_mac_wrap/_08521_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.06    0.30    3.68 ^ mprj/u_mac_wrap/_08521_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[17][0] (net)
-                  0.06    0.00    3.68 ^ mprj/u_mac_wrap/_05008_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    3.78 ^ mprj/u_mac_wrap/_05008_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00125_ (net)
-                  0.04    0.00    3.78 ^ mprj/u_mac_wrap/_08521_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  3.78   data arrival time
+                  0.05    0.00    4.41 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15    4.67 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    4.67 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    4.82 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_2_0_0_phy_rx_clk (net)
+                  0.06    0.00    4.82 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.23    0.26    5.08 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.13                           mprj/u_mac_wrap/clknet_3_0_0_phy_rx_clk (net)
+                  0.23    0.00    5.08 ^ mprj/u_mac_wrap/clkbuf_leaf_56_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.19    5.27 ^ mprj/u_mac_wrap/clkbuf_leaf_56_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_mac_wrap/clknet_leaf_56_phy_rx_clk (net)
+                  0.05    0.00    5.27 ^ mprj/u_mac_wrap/_08725_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.30    5.58 ^ mprj/u_mac_wrap/_08725_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[2][6] (net)
+                  0.06    0.00    5.58 ^ mprj/u_mac_wrap/_05276_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    5.68 ^ mprj/u_mac_wrap/_05276_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00272_ (net)
+                  0.04    0.00    5.68 ^ mprj/u_mac_wrap/_08725_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  5.68   data arrival time
 
                           0.00    0.00   clock mac_rx_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -48922,45 +54235,63 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.17    5.10 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    5.26 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00    5.26 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.25    0.29    5.55 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
-                  0.25    0.00    5.55 ^ mprj/u_mac_wrap/clkbuf_leaf_39_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.20    5.75 ^ mprj/u_mac_wrap/clkbuf_leaf_39_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     7    0.02                           mprj/u_mac_wrap/clknet_leaf_39_phy_rx_clk (net)
-                  0.04    0.00    5.75 ^ mprj/u_mac_wrap/_08521_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    5.85   clock uncertainty
-                         -2.38    3.47   clock reconvergence pessimism
-                         -0.03    3.44   library hold time
-                                  3.44   data required time
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    7.15 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    7.15 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.16    7.31 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_2_0_0_phy_rx_clk (net)
+                  0.06    0.00    7.31 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.23    0.28    7.59 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.13                           mprj/u_mac_wrap/clknet_3_0_0_phy_rx_clk (net)
+                  0.23    0.00    7.60 ^ mprj/u_mac_wrap/clkbuf_leaf_56_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.20    7.80 ^ mprj/u_mac_wrap/clkbuf_leaf_56_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_mac_wrap/clknet_leaf_56_phy_rx_clk (net)
+                  0.05    0.00    7.80 ^ mprj/u_mac_wrap/_08725_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.90   clock uncertainty
+                         -2.53    5.37   clock reconvergence pessimism
+                         -0.03    5.34   library hold time
+                                  5.34   data required time
 -----------------------------------------------------------------------------
-                                  3.44   data required time
-                                 -3.78   data arrival time
+                                  5.34   data required time
+                                 -5.68   data arrival time
 -----------------------------------------------------------------------------
                                   0.34   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_08718_
+Startpoint: mprj/u_mac_wrap/_09915_
             (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_08718_
+Endpoint: mprj/u_mac_wrap/_09915_
           (rising edge-triggered flip-flop clocked by mac_rx_clk)
 Path Group: mac_rx_clk
 Path Type: min
@@ -48984,38 +54315,56 @@
                   0.15    0.00    1.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.11    1.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17    1.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    1.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21    1.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    1.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43    2.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    2.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24    2.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12    1.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    1.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81    2.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21    2.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42    3.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    3.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15    3.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    3.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32    3.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    3.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28    4.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    4.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21    4.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    4.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16    4.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    2.61 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    2.77 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    2.77 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    2.91 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00    2.91 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.25    0.27    3.19 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
-                  0.25    0.00    3.19 ^ mprj/u_mac_wrap/clkbuf_leaf_43_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.19    3.38 ^ mprj/u_mac_wrap/clkbuf_leaf_43_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    10    0.03                           mprj/u_mac_wrap/clknet_leaf_43_phy_rx_clk (net)
-                  0.05    0.00    3.38 ^ mprj/u_mac_wrap/_08718_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.06    0.30    3.69 ^ mprj/u_mac_wrap/_08718_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[5][2] (net)
-                  0.06    0.00    3.69 ^ mprj/u_mac_wrap/_05518_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    3.79 ^ mprj/u_mac_wrap/_05518_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00311_ (net)
-                  0.04    0.00    3.80 ^ mprj/u_mac_wrap/_08718_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  3.80   data arrival time
+                  0.05    0.00    4.41 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15    4.67 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    4.67 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    4.82 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_2_0_0_phy_rx_clk (net)
+                  0.06    0.00    4.82 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.23    0.26    5.08 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.13                           mprj/u_mac_wrap/clknet_3_0_0_phy_rx_clk (net)
+                  0.23    0.00    5.08 ^ mprj/u_mac_wrap/clkbuf_leaf_55_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.27 ^ mprj/u_mac_wrap/clkbuf_leaf_55_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_mac_wrap/clknet_leaf_55_phy_rx_clk (net)
+                  0.06    0.00    5.27 ^ mprj/u_mac_wrap/_09915_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.05    0.30    5.57 ^ mprj/u_mac_wrap/_09915_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[3][3] (net)
+                  0.05    0.00    5.57 ^ mprj/u_mac_wrap/_07911_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    5.68 ^ mprj/u_mac_wrap/_07911_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_01289_ (net)
+                  0.04    0.00    5.68 ^ mprj/u_mac_wrap/_09915_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  5.68   data arrival time
 
                           0.00    0.00   clock mac_rx_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -49034,38 +54383,500 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.17    5.10 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    5.26 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00    5.26 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.25    0.29    5.55 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
-                  0.25    0.00    5.55 ^ mprj/u_mac_wrap/clkbuf_leaf_43_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.21    5.76 ^ mprj/u_mac_wrap/clkbuf_leaf_43_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    10    0.03                           mprj/u_mac_wrap/clknet_leaf_43_phy_rx_clk (net)
-                  0.05    0.00    5.76 ^ mprj/u_mac_wrap/_08718_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    5.86   clock uncertainty
-                         -2.38    3.48   clock reconvergence pessimism
-                         -0.03    3.45   library hold time
-                                  3.45   data required time
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    7.15 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    7.15 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.16    7.31 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_2_0_0_phy_rx_clk (net)
+                  0.06    0.00    7.31 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.23    0.28    7.59 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.13                           mprj/u_mac_wrap/clknet_3_0_0_phy_rx_clk (net)
+                  0.23    0.00    7.59 ^ mprj/u_mac_wrap/clkbuf_leaf_55_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    7.80 ^ mprj/u_mac_wrap/clkbuf_leaf_55_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_mac_wrap/clknet_leaf_55_phy_rx_clk (net)
+                  0.06    0.00    7.80 ^ mprj/u_mac_wrap/_09915_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.90   clock uncertainty
+                         -2.53    5.37   clock reconvergence pessimism
+                         -0.03    5.34   library hold time
+                                  5.34   data required time
 -----------------------------------------------------------------------------
-                                  3.45   data required time
-                                 -3.80   data arrival time
+                                  5.34   data required time
+                                 -5.68   data arrival time
+-----------------------------------------------------------------------------
+                                  0.34   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08869_
+            (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Endpoint: mprj/u_mac_wrap/_08869_
+          (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Path Group: mac_rx_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ mprj_io[12] (inout)
+     1    6.12                           mprj_io[12] (net)
+                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[12] (net)
+                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00    1.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10    1.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00    1.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21    1.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00    1.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11    1.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12    1.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    1.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81    2.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21    2.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42    3.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    3.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15    3.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    3.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32    3.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    3.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28    4.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    4.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21    4.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    4.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16    4.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00    4.41 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15    4.67 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    4.67 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    4.82 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_2_0_0_phy_rx_clk (net)
+                  0.06    0.00    4.82 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.23    0.26    5.08 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.13                           mprj/u_mac_wrap/clknet_3_0_0_phy_rx_clk (net)
+                  0.23    0.00    5.08 ^ mprj/u_mac_wrap/clkbuf_leaf_52_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    5.26 ^ mprj/u_mac_wrap/clkbuf_leaf_52_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     5    0.02                           mprj/u_mac_wrap/clknet_leaf_52_phy_rx_clk (net)
+                  0.04    0.00    5.26 ^ mprj/u_mac_wrap/_08869_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.05    0.30    5.56 ^ mprj/u_mac_wrap/_08869_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[0][8] (net)
+                  0.05    0.00    5.56 ^ mprj/u_mac_wrap/_05692_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    5.67 ^ mprj/u_mac_wrap/_05692_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00412_ (net)
+                  0.04    0.00    5.67 ^ mprj/u_mac_wrap/_08869_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  5.67   data arrival time
+
+                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
+     1   11.12                           mprj_io[12] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[12] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    7.15 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    7.15 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.16    7.31 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_2_0_0_phy_rx_clk (net)
+                  0.06    0.00    7.31 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.23    0.28    7.59 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.13                           mprj/u_mac_wrap/clknet_3_0_0_phy_rx_clk (net)
+                  0.23    0.00    7.60 ^ mprj/u_mac_wrap/clkbuf_leaf_52_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.19    7.79 ^ mprj/u_mac_wrap/clkbuf_leaf_52_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     5    0.02                           mprj/u_mac_wrap/clknet_leaf_52_phy_rx_clk (net)
+                  0.04    0.00    7.79 ^ mprj/u_mac_wrap/_08869_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.89   clock uncertainty
+                         -2.53    5.36   clock reconvergence pessimism
+                         -0.03    5.33   library hold time
+                                  5.33   data required time
+-----------------------------------------------------------------------------
+                                  5.33   data required time
+                                 -5.67   data arrival time
+-----------------------------------------------------------------------------
+                                  0.34   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08791_
+            (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Endpoint: mprj/u_mac_wrap/_08791_
+          (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Path Group: mac_rx_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ mprj_io[12] (inout)
+     1    6.12                           mprj_io[12] (net)
+                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[12] (net)
+                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00    1.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10    1.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00    1.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21    1.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00    1.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11    1.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12    1.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    1.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81    2.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21    2.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42    3.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    3.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15    3.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    3.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32    3.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    3.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28    4.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    4.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21    4.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    4.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16    4.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00    4.41 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15    4.67 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    4.67 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    4.82 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
+                  0.06    0.00    4.82 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.19    0.23    5.05 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_mac_wrap/clknet_3_2_0_phy_rx_clk (net)
+                  0.19    0.00    5.05 ^ mprj/u_mac_wrap/clkbuf_leaf_48_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.18    5.23 ^ mprj/u_mac_wrap/clkbuf_leaf_48_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_mac_wrap/clknet_leaf_48_phy_rx_clk (net)
+                  0.05    0.00    5.23 ^ mprj/u_mac_wrap/_08791_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.30    5.54 ^ mprj/u_mac_wrap/_08791_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[12][8] (net)
+                  0.06    0.00    5.54 ^ mprj/u_mac_wrap/_05608_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    5.64 ^ mprj/u_mac_wrap/_05608_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00334_ (net)
+                  0.04    0.00    5.64 ^ mprj/u_mac_wrap/_08791_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  5.64   data arrival time
+
+                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
+     1   11.12                           mprj_io[12] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[12] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    7.15 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    7.15 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.16    7.31 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
+                  0.06    0.00    7.31 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.19    0.25    7.56 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_mac_wrap/clknet_3_2_0_phy_rx_clk (net)
+                  0.19    0.00    7.56 ^ mprj/u_mac_wrap/clkbuf_leaf_48_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.19    7.76 ^ mprj/u_mac_wrap/clkbuf_leaf_48_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_mac_wrap/clknet_leaf_48_phy_rx_clk (net)
+                  0.05    0.00    7.76 ^ mprj/u_mac_wrap/_08791_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.86   clock uncertainty
+                         -2.53    5.33   clock reconvergence pessimism
+                         -0.03    5.30   library hold time
+                                  5.30   data required time
+-----------------------------------------------------------------------------
+                                  5.30   data required time
+                                 -5.64   data arrival time
+-----------------------------------------------------------------------------
+                                  0.34   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08774_
+            (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Endpoint: mprj/u_mac_wrap/_08774_
+          (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Path Group: mac_rx_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ mprj_io[12] (inout)
+     1    6.12                           mprj_io[12] (net)
+                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[12] (net)
+                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00    1.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10    1.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00    1.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21    1.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00    1.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11    1.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12    1.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    1.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81    2.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21    2.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42    3.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    3.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15    3.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    3.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32    3.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    3.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28    4.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    4.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21    4.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    4.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16    4.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00    4.41 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15    4.67 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    4.67 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    4.82 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_2_0_0_phy_rx_clk (net)
+                  0.06    0.00    4.82 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.23    0.26    5.08 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.13                           mprj/u_mac_wrap/clknet_3_0_0_phy_rx_clk (net)
+                  0.23    0.00    5.08 ^ mprj/u_mac_wrap/clkbuf_leaf_57_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.27 ^ mprj/u_mac_wrap/clkbuf_leaf_57_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    11    0.03                           mprj/u_mac_wrap/clknet_leaf_57_phy_rx_clk (net)
+                  0.06    0.00    5.27 ^ mprj/u_mac_wrap/_08774_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.30    5.58 ^ mprj/u_mac_wrap/_08774_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[5][8] (net)
+                  0.06    0.00    5.58 ^ mprj/u_mac_wrap/_05579_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    5.68 ^ mprj/u_mac_wrap/_05579_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00317_ (net)
+                  0.04    0.00    5.68 ^ mprj/u_mac_wrap/_08774_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  5.68   data arrival time
+
+                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
+     1   11.12                           mprj_io[12] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[12] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    7.15 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    7.15 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.16    7.31 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_2_0_0_phy_rx_clk (net)
+                  0.06    0.00    7.31 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.23    0.28    7.59 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.13                           mprj/u_mac_wrap/clknet_3_0_0_phy_rx_clk (net)
+                  0.23    0.00    7.60 ^ mprj/u_mac_wrap/clkbuf_leaf_57_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    7.80 ^ mprj/u_mac_wrap/clkbuf_leaf_57_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    11    0.03                           mprj/u_mac_wrap/clknet_leaf_57_phy_rx_clk (net)
+                  0.06    0.00    7.80 ^ mprj/u_mac_wrap/_08774_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.90   clock uncertainty
+                         -2.53    5.37   clock reconvergence pessimism
+                         -0.03    5.34   library hold time
+                                  5.34   data required time
+-----------------------------------------------------------------------------
+                                  5.34   data required time
+                                 -5.68   data arrival time
 -----------------------------------------------------------------------------
                                   0.34   slack (MET)
 
@@ -49096,38 +54907,56 @@
                   0.15    0.00    1.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.11    1.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17    1.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    1.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21    1.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    1.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43    2.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    2.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24    2.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12    1.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    1.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81    2.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21    2.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42    3.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    3.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15    3.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    3.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32    3.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    3.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28    4.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    4.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21    4.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    4.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16    4.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    2.61 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    2.77 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    2.77 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    2.91 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00    2.91 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.25    0.27    3.19 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    4.41 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15    4.67 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    4.67 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    4.82 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
+                  0.06    0.00    4.82 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.26    0.28    5.10 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
     22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
-                  0.25    0.00    3.19 ^ mprj/u_mac_wrap/clkbuf_leaf_44_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.19    3.38 ^ mprj/u_mac_wrap/clkbuf_leaf_44_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    10    0.03                           mprj/u_mac_wrap/clknet_leaf_44_phy_rx_clk (net)
-                  0.05    0.00    3.38 ^ mprj/u_mac_wrap/_08716_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.06    0.30    3.69 ^ mprj/u_mac_wrap/_08716_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[5][0] (net)
-                  0.06    0.00    3.69 ^ mprj/u_mac_wrap/_05516_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    3.79 ^ mprj/u_mac_wrap/_05516_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00309_ (net)
-                  0.04    0.00    3.79 ^ mprj/u_mac_wrap/_08716_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  3.79   data arrival time
+                  0.26    0.01    5.10 ^ mprj/u_mac_wrap/clkbuf_leaf_27_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    5.30 ^ mprj/u_mac_wrap/clkbuf_leaf_27_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    12    0.04                           mprj/u_mac_wrap/clknet_leaf_27_phy_rx_clk (net)
+                  0.06    0.00    5.30 ^ mprj/u_mac_wrap/_08716_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.05    0.30    5.61 ^ mprj/u_mac_wrap/_08716_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[28][6] (net)
+                  0.05    0.00    5.61 ^ mprj/u_mac_wrap/_05266_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    5.72 ^ mprj/u_mac_wrap/_05266_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00263_ (net)
+                  0.04    0.00    5.72 ^ mprj/u_mac_wrap/_08716_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  5.72   data arrival time
 
                           0.00    0.00   clock mac_rx_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -49146,45 +54975,63 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.17    5.10 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    5.26 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00    5.26 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.25    0.29    5.55 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    7.15 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    7.15 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.16    7.31 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
+                  0.06    0.00    7.31 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.26    0.30    7.61 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
     22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
-                  0.25    0.00    5.55 ^ mprj/u_mac_wrap/clkbuf_leaf_44_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.21    5.76 ^ mprj/u_mac_wrap/clkbuf_leaf_44_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    10    0.03                           mprj/u_mac_wrap/clknet_leaf_44_phy_rx_clk (net)
-                  0.05    0.00    5.76 ^ mprj/u_mac_wrap/_08716_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    5.86   clock uncertainty
-                         -2.38    3.48   clock reconvergence pessimism
-                         -0.03    3.45   library hold time
-                                  3.45   data required time
+                  0.26    0.01    7.62 ^ mprj/u_mac_wrap/clkbuf_leaf_27_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.22    7.83 ^ mprj/u_mac_wrap/clkbuf_leaf_27_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    12    0.04                           mprj/u_mac_wrap/clknet_leaf_27_phy_rx_clk (net)
+                  0.06    0.00    7.84 ^ mprj/u_mac_wrap/_08716_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.94   clock uncertainty
+                         -2.53    5.40   clock reconvergence pessimism
+                         -0.03    5.38   library hold time
+                                  5.38   data required time
 -----------------------------------------------------------------------------
-                                  3.45   data required time
-                                 -3.79   data arrival time
+                                  5.38   data required time
+                                 -5.72   data arrival time
 -----------------------------------------------------------------------------
                                   0.34   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_08874_
+Startpoint: mprj/u_mac_wrap/_08600_
             (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_08874_
+Endpoint: mprj/u_mac_wrap/_08600_
           (rising edge-triggered flip-flop clocked by mac_rx_clk)
 Path Group: mac_rx_clk
 Path Type: min
@@ -49208,38 +55055,56 @@
                   0.15    0.00    1.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.11    1.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17    1.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    1.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21    1.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    1.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43    2.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    2.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24    2.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12    1.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    1.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81    2.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21    2.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42    3.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    3.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15    3.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    3.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32    3.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    3.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28    4.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    4.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21    4.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    4.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16    4.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    2.61 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    2.77 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    2.77 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    2.91 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00    2.91 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.25    0.27    3.19 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    4.41 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15    4.67 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    4.67 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    4.82 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
+                  0.06    0.00    4.82 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.26    0.28    5.10 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
     22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
-                  0.25    0.00    3.19 ^ mprj/u_mac_wrap/clkbuf_leaf_43_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.19    3.38 ^ mprj/u_mac_wrap/clkbuf_leaf_43_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    10    0.03                           mprj/u_mac_wrap/clknet_leaf_43_phy_rx_clk (net)
-                  0.05    0.00    3.38 ^ mprj/u_mac_wrap/_08874_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.05    0.30    3.69 ^ mprj/u_mac_wrap/_08874_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[4][2] (net)
-                  0.05    0.00    3.69 ^ mprj/u_mac_wrap/_05693_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    3.80 ^ mprj/u_mac_wrap/_05693_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00443_ (net)
-                  0.04    0.00    3.80 ^ mprj/u_mac_wrap/_08874_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  3.80   data arrival time
+                  0.26    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_leaf_35_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.19    5.29 ^ mprj/u_mac_wrap/clkbuf_leaf_35_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.02                           mprj/u_mac_wrap/clknet_leaf_35_phy_rx_clk (net)
+                  0.05    0.00    5.29 ^ mprj/u_mac_wrap/_08600_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.05    0.30    5.58 ^ mprj/u_mac_wrap/_08600_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[20][2] (net)
+                  0.05    0.00    5.58 ^ mprj/u_mac_wrap/_05063_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    5.70 ^ mprj/u_mac_wrap/_05063_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00154_ (net)
+                  0.04    0.00    5.70 ^ mprj/u_mac_wrap/_08600_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  5.70   data arrival time
 
                           0.00    0.00   clock mac_rx_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -49258,45 +55123,63 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.17    5.10 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    5.26 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00    5.26 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.25    0.29    5.55 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    7.15 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    7.15 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.16    7.31 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
+                  0.06    0.00    7.31 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.26    0.30    7.61 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
     22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
-                  0.25    0.00    5.55 ^ mprj/u_mac_wrap/clkbuf_leaf_43_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.21    5.76 ^ mprj/u_mac_wrap/clkbuf_leaf_43_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    10    0.03                           mprj/u_mac_wrap/clknet_leaf_43_phy_rx_clk (net)
-                  0.05    0.00    5.76 ^ mprj/u_mac_wrap/_08874_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    5.86   clock uncertainty
-                         -2.38    3.48   clock reconvergence pessimism
-                         -0.03    3.45   library hold time
-                                  3.45   data required time
+                  0.26    0.00    7.61 ^ mprj/u_mac_wrap/clkbuf_leaf_35_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.20    7.82 ^ mprj/u_mac_wrap/clkbuf_leaf_35_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.02                           mprj/u_mac_wrap/clknet_leaf_35_phy_rx_clk (net)
+                  0.05    0.00    7.82 ^ mprj/u_mac_wrap/_08600_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.92   clock uncertainty
+                         -2.53    5.39   clock reconvergence pessimism
+                         -0.03    5.36   library hold time
+                                  5.36   data required time
 -----------------------------------------------------------------------------
-                                  3.45   data required time
-                                 -3.80   data arrival time
+                                  5.36   data required time
+                                 -5.70   data arrival time
 -----------------------------------------------------------------------------
                                   0.34   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_08800_
+Startpoint: mprj/u_mac_wrap/_08558_
             (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_08800_
+Endpoint: mprj/u_mac_wrap/_08558_
           (rising edge-triggered flip-flop clocked by mac_rx_clk)
 Path Group: mac_rx_clk
 Path Type: min
@@ -49320,374 +55203,56 @@
                   0.15    0.00    1.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.11    1.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17    1.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    1.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21    1.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    1.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43    2.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    2.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24    2.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12    1.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    1.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81    2.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21    2.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42    3.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    3.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15    3.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    3.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32    3.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    3.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28    4.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    4.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21    4.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    4.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16    4.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    2.61 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    2.77 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    2.77 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    2.91 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00    2.91 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.26    0.28    3.19 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.15                           mprj/u_mac_wrap/clknet_3_2_0_phy_rx_clk (net)
-                  0.26    0.00    3.20 ^ mprj/u_mac_wrap/clkbuf_leaf_40_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.20    3.40 ^ mprj/u_mac_wrap/clkbuf_leaf_40_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.03                           mprj/u_mac_wrap/clknet_leaf_40_phy_rx_clk (net)
-                  0.06    0.00    3.40 ^ mprj/u_mac_wrap/_08800_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.05    0.30    3.70 ^ mprj/u_mac_wrap/_08800_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[16][7] (net)
-                  0.05    0.00    3.70 ^ mprj/u_mac_wrap/_05616_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    3.81 ^ mprj/u_mac_wrap/_05616_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00393_ (net)
-                  0.04    0.00    3.81 ^ mprj/u_mac_wrap/_08800_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  3.81   data arrival time
-
-                          0.00    0.00   clock mac_rx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1   11.12                           mprj_io[12] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[12] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.17    5.10 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    5.26 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00    5.26 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.26    0.30    5.56 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.15                           mprj/u_mac_wrap/clknet_3_2_0_phy_rx_clk (net)
-                  0.26    0.00    5.56 ^ mprj/u_mac_wrap/clkbuf_leaf_40_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.22    5.78 ^ mprj/u_mac_wrap/clkbuf_leaf_40_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.03                           mprj/u_mac_wrap/clknet_leaf_40_phy_rx_clk (net)
-                  0.06    0.00    5.78 ^ mprj/u_mac_wrap/_08800_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    5.88   clock uncertainty
-                         -2.38    3.50   clock reconvergence pessimism
-                         -0.03    3.47   library hold time
-                                  3.47   data required time
------------------------------------------------------------------------------
-                                  3.47   data required time
-                                 -3.81   data arrival time
------------------------------------------------------------------------------
-                                  0.34   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_08673_
-            (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_08673_
-          (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Path Group: mac_rx_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_rx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1    6.12                           mprj_io[12] (net)
-                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[12] (net)
-                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    1.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10    1.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    1.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21    1.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    1.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11    1.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17    1.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    1.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21    1.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    1.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43    2.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    2.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24    2.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    2.61 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    2.77 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    2.77 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    2.91 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00    2.91 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.26    0.28    3.19 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.15                           mprj/u_mac_wrap/clknet_3_2_0_phy_rx_clk (net)
-                  0.26    0.00    3.20 ^ mprj/u_mac_wrap/clkbuf_leaf_41_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.19    3.39 ^ mprj/u_mac_wrap/clkbuf_leaf_41_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.02                           mprj/u_mac_wrap/clknet_leaf_41_phy_rx_clk (net)
-                  0.05    0.00    3.39 ^ mprj/u_mac_wrap/_08673_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.06    0.30    3.69 ^ mprj/u_mac_wrap/_08673_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[2][4] (net)
-                  0.06    0.00    3.69 ^ mprj/u_mac_wrap/_05255_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    3.80 ^ mprj/u_mac_wrap/_05255_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00270_ (net)
-                  0.04    0.00    3.80 ^ mprj/u_mac_wrap/_08673_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  3.80   data arrival time
-
-                          0.00    0.00   clock mac_rx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1   11.12                           mprj_io[12] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[12] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.17    5.10 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    5.26 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00    5.26 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.26    0.30    5.56 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.15                           mprj/u_mac_wrap/clknet_3_2_0_phy_rx_clk (net)
-                  0.26    0.00    5.56 ^ mprj/u_mac_wrap/clkbuf_leaf_41_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.21    5.77 ^ mprj/u_mac_wrap/clkbuf_leaf_41_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.02                           mprj/u_mac_wrap/clknet_leaf_41_phy_rx_clk (net)
-                  0.05    0.00    5.77 ^ mprj/u_mac_wrap/_08673_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    5.87   clock uncertainty
-                         -2.38    3.49   clock reconvergence pessimism
-                         -0.03    3.46   library hold time
-                                  3.46   data required time
------------------------------------------------------------------------------
-                                  3.46   data required time
-                                 -3.80   data arrival time
------------------------------------------------------------------------------
-                                  0.34   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_08518_
-            (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_08518_
-          (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Path Group: mac_rx_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_rx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1    6.12                           mprj_io[12] (net)
-                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[12] (net)
-                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    1.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10    1.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    1.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21    1.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    1.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11    1.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17    1.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    1.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21    1.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    1.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43    2.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    2.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24    2.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    2.61 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    2.77 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    2.77 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    2.91 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00    2.91 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.25    0.27    3.19 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
-                  0.25    0.00    3.19 ^ mprj/u_mac_wrap/clkbuf_leaf_43_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.19    3.38 ^ mprj/u_mac_wrap/clkbuf_leaf_43_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    10    0.03                           mprj/u_mac_wrap/clknet_leaf_43_phy_rx_clk (net)
-                  0.05    0.00    3.38 ^ mprj/u_mac_wrap/_08518_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.06    0.30    3.69 ^ mprj/u_mac_wrap/_08518_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[6][6] (net)
-                  0.06    0.00    3.69 ^ mprj/u_mac_wrap/_05000_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    3.80 ^ mprj/u_mac_wrap/_05000_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00122_ (net)
-                  0.04    0.00    3.80 ^ mprj/u_mac_wrap/_08518_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  3.80   data arrival time
-
-                          0.00    0.00   clock mac_rx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1   11.12                           mprj_io[12] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[12] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.17    5.10 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    5.26 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00    5.26 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.25    0.29    5.55 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
-                  0.25    0.00    5.55 ^ mprj/u_mac_wrap/clkbuf_leaf_43_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.21    5.76 ^ mprj/u_mac_wrap/clkbuf_leaf_43_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    10    0.03                           mprj/u_mac_wrap/clknet_leaf_43_phy_rx_clk (net)
-                  0.05    0.00    5.76 ^ mprj/u_mac_wrap/_08518_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    5.86   clock uncertainty
-                         -2.38    3.48   clock reconvergence pessimism
-                         -0.03    3.45   library hold time
-                                  3.45   data required time
------------------------------------------------------------------------------
-                                  3.45   data required time
-                                 -3.80   data arrival time
------------------------------------------------------------------------------
-                                  0.34   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_08593_
-            (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_08593_
-          (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Path Group: mac_rx_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_rx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1    6.12                           mprj_io[12] (net)
-                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[12] (net)
-                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    1.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10    1.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    1.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21    1.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    1.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11    1.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17    1.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    1.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21    1.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    1.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43    2.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    2.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24    2.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    2.61 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    2.77 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    2.77 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    2.92 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    4.41 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15    4.67 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    4.67 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    4.82 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_mac_wrap/clknet_2_0_0_phy_rx_clk (net)
-                  0.06    0.00    2.92 ^ mprj/u_mac_wrap/clkbuf_3_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.18    0.23    3.15 ^ mprj/u_mac_wrap/clkbuf_3_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.10                           mprj/u_mac_wrap/clknet_3_1_0_phy_rx_clk (net)
-                  0.18    0.00    3.15 ^ mprj/u_mac_wrap/clkbuf_leaf_37_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.18    3.33 ^ mprj/u_mac_wrap/clkbuf_leaf_37_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    12    0.04                           mprj/u_mac_wrap/clknet_leaf_37_phy_rx_clk (net)
-                  0.06    0.00    3.33 ^ mprj/u_mac_wrap/_08593_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.05    0.30    3.63 ^ mprj/u_mac_wrap/_08593_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[19][3] (net)
-                  0.05    0.00    3.63 ^ mprj/u_mac_wrap/_05108_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    3.74 ^ mprj/u_mac_wrap/_05108_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00190_ (net)
-                  0.04    0.00    3.74 ^ mprj/u_mac_wrap/_08593_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  3.74   data arrival time
+                  0.06    0.00    4.82 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.23    0.26    5.08 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.13                           mprj/u_mac_wrap/clknet_3_0_0_phy_rx_clk (net)
+                  0.23    0.00    5.08 ^ mprj/u_mac_wrap/clkbuf_leaf_55_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.27 ^ mprj/u_mac_wrap/clkbuf_leaf_55_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_mac_wrap/clknet_leaf_55_phy_rx_clk (net)
+                  0.06    0.00    5.27 ^ mprj/u_mac_wrap/_08558_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.30    5.58 ^ mprj/u_mac_wrap/_08558_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[7][5] (net)
+                  0.06    0.00    5.58 ^ mprj/u_mac_wrap/_05008_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    5.68 ^ mprj/u_mac_wrap/_05008_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00112_ (net)
+                  0.04    0.00    5.68 ^ mprj/u_mac_wrap/_08558_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  5.68   data arrival time
 
                           0.00    0.00   clock mac_rx_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -49706,45 +55271,63 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.17    5.10 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.16    5.26 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    7.15 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    7.15 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.16    7.31 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_mac_wrap/clknet_2_0_0_phy_rx_clk (net)
-                  0.06    0.00    5.26 ^ mprj/u_mac_wrap/clkbuf_3_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.18    0.25    5.51 ^ mprj/u_mac_wrap/clkbuf_3_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.10                           mprj/u_mac_wrap/clknet_3_1_0_phy_rx_clk (net)
-                  0.18    0.00    5.51 ^ mprj/u_mac_wrap/clkbuf_leaf_37_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.19    5.70 ^ mprj/u_mac_wrap/clkbuf_leaf_37_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    12    0.04                           mprj/u_mac_wrap/clknet_leaf_37_phy_rx_clk (net)
-                  0.06    0.00    5.70 ^ mprj/u_mac_wrap/_08593_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    5.80   clock uncertainty
-                         -2.37    3.43   clock reconvergence pessimism
-                         -0.03    3.40   library hold time
-                                  3.40   data required time
+                  0.06    0.00    7.31 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.23    0.28    7.59 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.13                           mprj/u_mac_wrap/clknet_3_0_0_phy_rx_clk (net)
+                  0.23    0.00    7.59 ^ mprj/u_mac_wrap/clkbuf_leaf_55_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    7.80 ^ mprj/u_mac_wrap/clkbuf_leaf_55_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_mac_wrap/clknet_leaf_55_phy_rx_clk (net)
+                  0.06    0.00    7.80 ^ mprj/u_mac_wrap/_08558_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.90   clock uncertainty
+                         -2.53    5.37   clock reconvergence pessimism
+                         -0.03    5.34   library hold time
+                                  5.34   data required time
 -----------------------------------------------------------------------------
-                                  3.40   data required time
-                                 -3.74   data arrival time
+                                  5.34   data required time
+                                 -5.68   data arrival time
 -----------------------------------------------------------------------------
                                   0.34   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_08504_
+Startpoint: mprj/u_mac_wrap/_08553_
             (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_08504_
+Endpoint: mprj/u_mac_wrap/_08553_
           (rising edge-triggered flip-flop clocked by mac_rx_clk)
 Path Group: mac_rx_clk
 Path Type: min
@@ -49768,486 +55351,56 @@
                   0.15    0.00    1.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.11    1.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17    1.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    1.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21    1.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    1.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43    2.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    2.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24    2.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12    1.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    1.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81    2.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21    2.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42    3.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    3.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15    3.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    3.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32    3.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    3.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28    4.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    4.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21    4.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    4.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16    4.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    2.61 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    2.77 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    2.77 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    2.91 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00    2.91 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.25    0.27    3.19 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
-                  0.25    0.00    3.19 ^ mprj/u_mac_wrap/clkbuf_leaf_44_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.19    3.38 ^ mprj/u_mac_wrap/clkbuf_leaf_44_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    10    0.03                           mprj/u_mac_wrap/clknet_leaf_44_phy_rx_clk (net)
-                  0.05    0.00    3.38 ^ mprj/u_mac_wrap/_08504_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.06    0.30    3.69 ^ mprj/u_mac_wrap/_08504_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[7][1] (net)
-                  0.06    0.00    3.69 ^ mprj/u_mac_wrap/_04983_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    3.80 ^ mprj/u_mac_wrap/_04983_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00108_ (net)
-                  0.04    0.00    3.80 ^ mprj/u_mac_wrap/_08504_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  3.80   data arrival time
-
-                          0.00    0.00   clock mac_rx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1   11.12                           mprj_io[12] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[12] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.17    5.10 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    5.26 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00    5.26 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.25    0.29    5.55 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
-                  0.25    0.00    5.55 ^ mprj/u_mac_wrap/clkbuf_leaf_44_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.21    5.76 ^ mprj/u_mac_wrap/clkbuf_leaf_44_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    10    0.03                           mprj/u_mac_wrap/clknet_leaf_44_phy_rx_clk (net)
-                  0.05    0.00    5.76 ^ mprj/u_mac_wrap/_08504_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    5.86   clock uncertainty
-                         -2.38    3.48   clock reconvergence pessimism
-                         -0.03    3.45   library hold time
-                                  3.45   data required time
------------------------------------------------------------------------------
-                                  3.45   data required time
-                                 -3.80   data arrival time
------------------------------------------------------------------------------
-                                  0.34   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_08628_
-            (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_08628_
-          (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Path Group: mac_rx_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_rx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1    6.12                           mprj_io[12] (net)
-                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[12] (net)
-                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    1.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10    1.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    1.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21    1.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    1.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11    1.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17    1.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    1.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21    1.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    1.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43    2.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    2.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24    2.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    2.61 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    2.77 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    2.77 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    2.92 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    4.41 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15    4.67 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    4.67 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    4.82 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_mac_wrap/clknet_2_0_0_phy_rx_clk (net)
-                  0.06    0.00    2.92 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.19    0.23    3.15 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.10                           mprj/u_mac_wrap/clknet_3_0_0_phy_rx_clk (net)
-                  0.19    0.00    3.15 ^ mprj/u_mac_wrap/clkbuf_leaf_58_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.17    3.32 ^ mprj/u_mac_wrap/clkbuf_leaf_58_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.03                           mprj/u_mac_wrap/clknet_leaf_58_phy_rx_clk (net)
-                  0.05    0.00    3.32 ^ mprj/u_mac_wrap/_08628_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.06    0.30    3.63 ^ mprj/u_mac_wrap/_08628_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[24][4] (net)
-                  0.06    0.00    3.63 ^ mprj/u_mac_wrap/_05205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    3.74 ^ mprj/u_mac_wrap/_05205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00225_ (net)
-                  0.04    0.00    3.74 ^ mprj/u_mac_wrap/_08628_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  3.74   data arrival time
-
-                          0.00    0.00   clock mac_rx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1   11.12                           mprj_io[12] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[12] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.17    5.10 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.16    5.26 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_mac_wrap/clknet_2_0_0_phy_rx_clk (net)
-                  0.06    0.00    5.26 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.19    0.25    5.51 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.10                           mprj/u_mac_wrap/clknet_3_0_0_phy_rx_clk (net)
-                  0.19    0.00    5.51 ^ mprj/u_mac_wrap/clkbuf_leaf_58_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.19    5.70 ^ mprj/u_mac_wrap/clkbuf_leaf_58_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.03                           mprj/u_mac_wrap/clknet_leaf_58_phy_rx_clk (net)
-                  0.05    0.00    5.70 ^ mprj/u_mac_wrap/_08628_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    5.80   clock uncertainty
-                         -2.37    3.42   clock reconvergence pessimism
-                         -0.03    3.40   library hold time
-                                  3.40   data required time
------------------------------------------------------------------------------
-                                  3.40   data required time
-                                 -3.74   data arrival time
------------------------------------------------------------------------------
-                                  0.34   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_08724_
-            (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_08724_
-          (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Path Group: mac_rx_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_rx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1    6.12                           mprj_io[12] (net)
-                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[12] (net)
-                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    1.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10    1.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    1.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21    1.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    1.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11    1.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17    1.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    1.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21    1.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    1.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43    2.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    2.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24    2.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    2.61 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    2.77 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    2.77 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    2.91 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00    2.91 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.25    0.27    3.19 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
-                  0.25    0.00    3.19 ^ mprj/u_mac_wrap/clkbuf_leaf_44_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.19    3.38 ^ mprj/u_mac_wrap/clkbuf_leaf_44_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    10    0.03                           mprj/u_mac_wrap/clknet_leaf_44_phy_rx_clk (net)
-                  0.05    0.00    3.38 ^ mprj/u_mac_wrap/_08724_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.06    0.31    3.69 ^ mprj/u_mac_wrap/_08724_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[5][8] (net)
-                  0.06    0.00    3.69 ^ mprj/u_mac_wrap/_05524_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    3.80 ^ mprj/u_mac_wrap/_05524_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00317_ (net)
-                  0.04    0.00    3.80 ^ mprj/u_mac_wrap/_08724_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  3.80   data arrival time
-
-                          0.00    0.00   clock mac_rx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1   11.12                           mprj_io[12] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[12] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.17    5.10 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    5.26 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00    5.26 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.25    0.29    5.55 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
-                  0.25    0.00    5.55 ^ mprj/u_mac_wrap/clkbuf_leaf_44_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.21    5.76 ^ mprj/u_mac_wrap/clkbuf_leaf_44_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    10    0.03                           mprj/u_mac_wrap/clknet_leaf_44_phy_rx_clk (net)
-                  0.05    0.00    5.76 ^ mprj/u_mac_wrap/_08724_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    5.86   clock uncertainty
-                         -2.38    3.48   clock reconvergence pessimism
-                         -0.03    3.45   library hold time
-                                  3.45   data required time
------------------------------------------------------------------------------
-                                  3.45   data required time
-                                 -3.80   data arrival time
------------------------------------------------------------------------------
-                                  0.34   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_08810_
-            (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_08810_
-          (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Path Group: mac_rx_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_rx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1    6.12                           mprj_io[12] (net)
-                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[12] (net)
-                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    1.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10    1.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    1.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21    1.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    1.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11    1.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17    1.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    1.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21    1.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    1.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43    2.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    2.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24    2.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    2.61 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    2.77 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    2.77 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    2.91 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00    2.91 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.26    0.28    3.19 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.15                           mprj/u_mac_wrap/clknet_3_2_0_phy_rx_clk (net)
-                  0.27    0.01    3.20 ^ mprj/u_mac_wrap/clkbuf_leaf_52_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.20    3.40 ^ mprj/u_mac_wrap/clkbuf_leaf_52_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.03                           mprj/u_mac_wrap/clknet_leaf_52_phy_rx_clk (net)
-                  0.06    0.00    3.40 ^ mprj/u_mac_wrap/_08810_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.06    0.31    3.71 ^ mprj/u_mac_wrap/_08810_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[11][8] (net)
-                  0.06    0.00    3.71 ^ mprj/u_mac_wrap/_05627_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    3.81 ^ mprj/u_mac_wrap/_05627_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00403_ (net)
-                  0.04    0.00    3.81 ^ mprj/u_mac_wrap/_08810_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  3.81   data arrival time
-
-                          0.00    0.00   clock mac_rx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1   11.12                           mprj_io[12] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[12] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.17    5.10 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    5.26 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00    5.26 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.26    0.30    5.56 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.15                           mprj/u_mac_wrap/clknet_3_2_0_phy_rx_clk (net)
-                  0.27    0.01    5.56 ^ mprj/u_mac_wrap/clkbuf_leaf_52_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.22    5.78 ^ mprj/u_mac_wrap/clkbuf_leaf_52_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.03                           mprj/u_mac_wrap/clknet_leaf_52_phy_rx_clk (net)
-                  0.06    0.00    5.78 ^ mprj/u_mac_wrap/_08810_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    5.88   clock uncertainty
-                         -2.38    3.50   clock reconvergence pessimism
-                         -0.03    3.47   library hold time
-                                  3.47   data required time
------------------------------------------------------------------------------
-                                  3.47   data required time
-                                 -3.81   data arrival time
------------------------------------------------------------------------------
-                                  0.34   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_08503_
-            (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_08503_
-          (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Path Group: mac_rx_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_rx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1    6.12                           mprj_io[12] (net)
-                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[12] (net)
-                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    1.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10    1.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    1.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21    1.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    1.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11    1.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17    1.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    1.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21    1.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    1.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43    2.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    2.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24    2.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    2.61 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    2.77 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    2.77 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    2.91 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00    2.91 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.25    0.27    3.19 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
-                  0.25    0.00    3.19 ^ mprj/u_mac_wrap/clkbuf_leaf_44_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.19    3.38 ^ mprj/u_mac_wrap/clkbuf_leaf_44_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    10    0.03                           mprj/u_mac_wrap/clknet_leaf_44_phy_rx_clk (net)
-                  0.05    0.00    3.38 ^ mprj/u_mac_wrap/_08503_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.06    0.30    3.69 ^ mprj/u_mac_wrap/_08503_/Q (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.00    4.82 ^ mprj/u_mac_wrap/clkbuf_3_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.20    0.24    5.06 ^ mprj/u_mac_wrap/clkbuf_3_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_mac_wrap/clknet_3_1_0_phy_rx_clk (net)
+                  0.20    0.00    5.06 ^ mprj/u_mac_wrap/clkbuf_leaf_61_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.18    5.25 ^ mprj/u_mac_wrap/clkbuf_leaf_61_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    11    0.04                           mprj/u_mac_wrap/clknet_leaf_61_phy_rx_clk (net)
+                  0.06    0.00    5.25 ^ mprj/u_mac_wrap/_08553_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.05    0.30    5.55 ^ mprj/u_mac_wrap/_08553_/Q (sky130_fd_sc_hd__dfxtp_1)
      2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[7][0] (net)
-                  0.06    0.00    3.69 ^ mprj/u_mac_wrap/_04982_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    3.80 ^ mprj/u_mac_wrap/_04982_/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    5.55 ^ mprj/u_mac_wrap/_05003_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    5.66 ^ mprj/u_mac_wrap/_05003_/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mac_wrap/_00107_ (net)
-                  0.04    0.00    3.80 ^ mprj/u_mac_wrap/_08503_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  3.80   data arrival time
+                  0.04    0.00    5.66 ^ mprj/u_mac_wrap/_08553_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  5.66   data arrival time
 
                           0.00    0.00   clock mac_rx_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -50266,436 +55419,136 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.17    5.10 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    5.26 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00    5.26 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.25    0.29    5.55 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
-                  0.25    0.00    5.55 ^ mprj/u_mac_wrap/clkbuf_leaf_44_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.21    5.76 ^ mprj/u_mac_wrap/clkbuf_leaf_44_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    10    0.03                           mprj/u_mac_wrap/clknet_leaf_44_phy_rx_clk (net)
-                  0.05    0.00    5.76 ^ mprj/u_mac_wrap/_08503_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    5.86   clock uncertainty
-                         -2.38    3.48   clock reconvergence pessimism
-                         -0.03    3.45   library hold time
-                                  3.45   data required time
------------------------------------------------------------------------------
-                                  3.45   data required time
-                                 -3.80   data arrival time
------------------------------------------------------------------------------
-                                  0.34   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_08875_
-            (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_08875_
-          (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Path Group: mac_rx_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_rx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1    6.12                           mprj_io[12] (net)
-                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[12] (net)
-                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    1.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10    1.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    1.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21    1.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    1.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11    1.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17    1.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    1.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21    1.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    1.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43    2.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    2.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24    2.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    2.61 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    2.77 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    2.77 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    2.91 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00    2.91 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.26    0.28    3.19 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.15                           mprj/u_mac_wrap/clknet_3_2_0_phy_rx_clk (net)
-                  0.26    0.00    3.20 ^ mprj/u_mac_wrap/clkbuf_leaf_48_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.20    3.40 ^ mprj/u_mac_wrap/clkbuf_leaf_48_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    12    0.04                           mprj/u_mac_wrap/clknet_leaf_48_phy_rx_clk (net)
-                  0.06    0.00    3.40 ^ mprj/u_mac_wrap/_08875_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.05    0.30    3.70 ^ mprj/u_mac_wrap/_08875_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[4][3] (net)
-                  0.05    0.00    3.70 ^ mprj/u_mac_wrap/_05694_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    3.81 ^ mprj/u_mac_wrap/_05694_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00444_ (net)
-                  0.04    0.00    3.81 ^ mprj/u_mac_wrap/_08875_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  3.81   data arrival time
-
-                          0.00    0.00   clock mac_rx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1   11.12                           mprj_io[12] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[12] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.17    5.10 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    5.26 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00    5.26 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.26    0.30    5.56 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.15                           mprj/u_mac_wrap/clknet_3_2_0_phy_rx_clk (net)
-                  0.26    0.00    5.56 ^ mprj/u_mac_wrap/clkbuf_leaf_48_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.22    5.78 ^ mprj/u_mac_wrap/clkbuf_leaf_48_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    12    0.04                           mprj/u_mac_wrap/clknet_leaf_48_phy_rx_clk (net)
-                  0.06    0.00    5.78 ^ mprj/u_mac_wrap/_08875_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    5.88   clock uncertainty
-                         -2.38    3.50   clock reconvergence pessimism
-                         -0.03    3.47   library hold time
-                                  3.47   data required time
------------------------------------------------------------------------------
-                                  3.47   data required time
-                                 -3.81   data arrival time
------------------------------------------------------------------------------
-                                  0.34   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_08511_
-            (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_08511_
-          (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Path Group: mac_rx_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_rx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1    6.12                           mprj_io[12] (net)
-                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[12] (net)
-                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    1.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10    1.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    1.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21    1.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    1.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11    1.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17    1.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    1.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21    1.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    1.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43    2.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    2.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24    2.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    2.61 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    2.77 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    2.77 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    2.91 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00    2.91 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.25    0.27    3.19 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
-                  0.25    0.00    3.19 ^ mprj/u_mac_wrap/clkbuf_leaf_42_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.19    3.38 ^ mprj/u_mac_wrap/clkbuf_leaf_42_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     9    0.03                           mprj/u_mac_wrap/clknet_leaf_42_phy_rx_clk (net)
-                  0.05    0.00    3.38 ^ mprj/u_mac_wrap/_08511_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.06    0.30    3.69 ^ mprj/u_mac_wrap/_08511_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[7][8] (net)
-                  0.06    0.00    3.69 ^ mprj/u_mac_wrap/_04990_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    3.79 ^ mprj/u_mac_wrap/_04990_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00115_ (net)
-                  0.04    0.00    3.79 ^ mprj/u_mac_wrap/_08511_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  3.79   data arrival time
-
-                          0.00    0.00   clock mac_rx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1   11.12                           mprj_io[12] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[12] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.17    5.10 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    5.26 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00    5.26 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.25    0.29    5.55 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
-                  0.25    0.00    5.55 ^ mprj/u_mac_wrap/clkbuf_leaf_42_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.21    5.76 ^ mprj/u_mac_wrap/clkbuf_leaf_42_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     9    0.03                           mprj/u_mac_wrap/clknet_leaf_42_phy_rx_clk (net)
-                  0.05    0.00    5.76 ^ mprj/u_mac_wrap/_08511_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    5.86   clock uncertainty
-                         -2.38    3.48   clock reconvergence pessimism
-                         -0.03    3.45   library hold time
-                                  3.45   data required time
------------------------------------------------------------------------------
-                                  3.45   data required time
-                                 -3.79   data arrival time
------------------------------------------------------------------------------
-                                  0.34   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_08552_
-            (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_08552_
-          (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Path Group: mac_rx_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_rx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1    6.12                           mprj_io[12] (net)
-                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[12] (net)
-                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    1.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10    1.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    1.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21    1.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    1.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11    1.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17    1.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    1.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21    1.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    1.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43    2.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    2.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24    2.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    2.61 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    2.77 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    2.77 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    2.92 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    7.15 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    7.15 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.16    7.31 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_mac_wrap/clknet_2_0_0_phy_rx_clk (net)
-                  0.06    0.00    2.92 ^ mprj/u_mac_wrap/clkbuf_3_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.18    0.23    3.15 ^ mprj/u_mac_wrap/clkbuf_3_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.10                           mprj/u_mac_wrap/clknet_3_1_0_phy_rx_clk (net)
-                  0.18    0.00    3.15 ^ mprj/u_mac_wrap/clkbuf_leaf_64_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.17    3.32 ^ mprj/u_mac_wrap/clkbuf_leaf_64_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     9    0.03                           mprj/u_mac_wrap/clknet_leaf_64_phy_rx_clk (net)
-                  0.05    0.00    3.32 ^ mprj/u_mac_wrap/_08552_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.06    0.30    3.63 ^ mprj/u_mac_wrap/_08552_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[20][4] (net)
-                  0.06    0.00    3.63 ^ mprj/u_mac_wrap/_05044_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    3.73 ^ mprj/u_mac_wrap/_05044_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00156_ (net)
-                  0.04    0.00    3.73 ^ mprj/u_mac_wrap/_08552_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  3.73   data arrival time
+                  0.06    0.00    7.31 ^ mprj/u_mac_wrap/clkbuf_3_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.20    0.26    7.57 ^ mprj/u_mac_wrap/clkbuf_3_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_mac_wrap/clknet_3_1_0_phy_rx_clk (net)
+                  0.20    0.00    7.57 ^ mprj/u_mac_wrap/clkbuf_leaf_61_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    7.77 ^ mprj/u_mac_wrap/clkbuf_leaf_61_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    11    0.04                           mprj/u_mac_wrap/clknet_leaf_61_phy_rx_clk (net)
+                  0.06    0.00    7.77 ^ mprj/u_mac_wrap/_08553_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.87   clock uncertainty
+                         -2.53    5.35   clock reconvergence pessimism
+                         -0.03    5.32   library hold time
+                                  5.32   data required time
+-----------------------------------------------------------------------------
+                                  5.32   data required time
+                                 -5.66   data arrival time
+-----------------------------------------------------------------------------
+                                  0.34   slack (MET)
 
+
+Startpoint: mprj/u_mac_wrap/_08720_
+            (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Endpoint: mprj/u_mac_wrap/_08720_
+          (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Path Group: mac_rx_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
                           0.00    0.00   clock mac_rx_clk (rise edge)
                           0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1   11.12                           mprj_io[12] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[12] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+                  1.00    0.00    0.00 ^ mprj_io[12] (inout)
+     1    6.12                           mprj_io[12] (net)
+                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[12] (net)
+                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
      2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+                  0.07    0.00    1.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10    1.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
      2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+                  0.03    0.00    1.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21    1.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
      1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+                  0.15    0.00    1.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11    1.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12    1.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    1.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81    2.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21    2.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42    3.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    3.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15    3.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    3.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32    3.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    3.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28    4.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    4.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21    4.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    4.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16    4.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.17    5.10 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.16    5.26 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    4.41 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15    4.67 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    4.67 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    4.82 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_mac_wrap/clknet_2_0_0_phy_rx_clk (net)
-                  0.06    0.00    5.26 ^ mprj/u_mac_wrap/clkbuf_3_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.18    0.25    5.51 ^ mprj/u_mac_wrap/clkbuf_3_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.10                           mprj/u_mac_wrap/clknet_3_1_0_phy_rx_clk (net)
-                  0.18    0.00    5.51 ^ mprj/u_mac_wrap/clkbuf_leaf_64_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.19    5.69 ^ mprj/u_mac_wrap/clkbuf_leaf_64_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     9    0.03                           mprj/u_mac_wrap/clknet_leaf_64_phy_rx_clk (net)
-                  0.05    0.00    5.70 ^ mprj/u_mac_wrap/_08552_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    5.80   clock uncertainty
-                         -2.37    3.42   clock reconvergence pessimism
-                         -0.03    3.39   library hold time
-                                  3.39   data required time
------------------------------------------------------------------------------
-                                  3.39   data required time
-                                 -3.73   data arrival time
------------------------------------------------------------------------------
-                                  0.34   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_08825_
-            (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_08825_
-          (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Path Group: mac_rx_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_rx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1    6.12                           mprj_io[12] (net)
-                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[12] (net)
-                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    1.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10    1.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    1.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21    1.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    1.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11    1.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17    1.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    1.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21    1.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    1.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43    2.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    2.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24    2.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    2.61 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    2.77 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    2.77 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    2.91 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00    2.91 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.26    0.28    3.19 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.15                           mprj/u_mac_wrap/clknet_3_2_0_phy_rx_clk (net)
-                  0.26    0.00    3.20 ^ mprj/u_mac_wrap/clkbuf_leaf_48_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.20    3.40 ^ mprj/u_mac_wrap/clkbuf_leaf_48_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    12    0.04                           mprj/u_mac_wrap/clknet_leaf_48_phy_rx_clk (net)
-                  0.06    0.00    3.40 ^ mprj/u_mac_wrap/_08825_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.06    0.31    3.71 ^ mprj/u_mac_wrap/_08825_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[10][5] (net)
-                  0.06    0.00    3.71 ^ mprj/u_mac_wrap/_05644_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    3.81 ^ mprj/u_mac_wrap/_05644_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00418_ (net)
-                  0.04    0.00    3.81 ^ mprj/u_mac_wrap/_08825_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  3.81   data arrival time
+                  0.06    0.00    4.82 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.23    0.26    5.08 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.13                           mprj/u_mac_wrap/clknet_3_0_0_phy_rx_clk (net)
+                  0.23    0.00    5.08 ^ mprj/u_mac_wrap/clkbuf_leaf_54_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.19    5.27 ^ mprj/u_mac_wrap/clkbuf_leaf_54_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_mac_wrap/clknet_leaf_54_phy_rx_clk (net)
+                  0.05    0.00    5.27 ^ mprj/u_mac_wrap/_08720_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.30    5.57 ^ mprj/u_mac_wrap/_08720_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[2][1] (net)
+                  0.06    0.00    5.57 ^ mprj/u_mac_wrap/_05271_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    5.68 ^ mprj/u_mac_wrap/_05271_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00267_ (net)
+                  0.04    0.00    5.68 ^ mprj/u_mac_wrap/_08720_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  5.68   data arrival time
 
                           0.00    0.00   clock mac_rx_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -50714,381 +55567,63 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.17    5.10 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    5.26 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00    5.26 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.26    0.30    5.56 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.15                           mprj/u_mac_wrap/clknet_3_2_0_phy_rx_clk (net)
-                  0.26    0.00    5.56 ^ mprj/u_mac_wrap/clkbuf_leaf_48_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.22    5.78 ^ mprj/u_mac_wrap/clkbuf_leaf_48_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    12    0.04                           mprj/u_mac_wrap/clknet_leaf_48_phy_rx_clk (net)
-                  0.06    0.00    5.78 ^ mprj/u_mac_wrap/_08825_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    5.88   clock uncertainty
-                         -2.38    3.50   clock reconvergence pessimism
-                         -0.03    3.47   library hold time
-                                  3.47   data required time
------------------------------------------------------------------------------
-                                  3.47   data required time
-                                 -3.81   data arrival time
------------------------------------------------------------------------------
-                                  0.34   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_09865_
-            (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_09865_
-          (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Path Group: mac_rx_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_rx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1    6.12                           mprj_io[12] (net)
-                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[12] (net)
-                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    1.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10    1.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    1.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21    1.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    1.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11    1.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17    1.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    1.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21    1.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    1.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43    2.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    2.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24    2.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    2.61 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    2.77 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    2.77 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    2.91 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00    2.91 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.25    0.27    3.19 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
-                  0.25    0.00    3.19 ^ mprj/u_mac_wrap/clkbuf_leaf_46_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.20    3.39 ^ mprj/u_mac_wrap/clkbuf_leaf_46_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    12    0.04                           mprj/u_mac_wrap/clknet_leaf_46_phy_rx_clk (net)
-                  0.06    0.00    3.39 ^ mprj/u_mac_wrap/_09865_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.06    0.31    3.70 ^ mprj/u_mac_wrap/_09865_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[3][3] (net)
-                  0.06    0.00    3.70 ^ mprj/u_mac_wrap/_07848_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    3.80 ^ mprj/u_mac_wrap/_07848_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_01289_ (net)
-                  0.04    0.00    3.80 ^ mprj/u_mac_wrap/_09865_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  3.80   data arrival time
-
-                          0.00    0.00   clock mac_rx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1   11.12                           mprj_io[12] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[12] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.17    5.10 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    5.26 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00    5.26 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.25    0.29    5.55 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
-                  0.25    0.00    5.55 ^ mprj/u_mac_wrap/clkbuf_leaf_46_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.22    5.77 ^ mprj/u_mac_wrap/clkbuf_leaf_46_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    12    0.04                           mprj/u_mac_wrap/clknet_leaf_46_phy_rx_clk (net)
-                  0.06    0.00    5.77 ^ mprj/u_mac_wrap/_09865_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    5.87   clock uncertainty
-                         -2.38    3.49   clock reconvergence pessimism
-                         -0.03    3.46   library hold time
-                                  3.46   data required time
------------------------------------------------------------------------------
-                                  3.46   data required time
-                                 -3.80   data arrival time
------------------------------------------------------------------------------
-                                  0.34   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_08721_
-            (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_08721_
-          (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Path Group: mac_rx_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_rx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1    6.12                           mprj_io[12] (net)
-                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[12] (net)
-                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    1.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10    1.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    1.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21    1.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    1.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11    1.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17    1.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    1.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21    1.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    1.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43    2.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    2.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24    2.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    2.61 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    2.77 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    2.77 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    2.91 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00    2.91 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.25    0.27    3.19 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
-                  0.25    0.00    3.19 ^ mprj/u_mac_wrap/clkbuf_leaf_43_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.19    3.38 ^ mprj/u_mac_wrap/clkbuf_leaf_43_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    10    0.03                           mprj/u_mac_wrap/clknet_leaf_43_phy_rx_clk (net)
-                  0.05    0.00    3.38 ^ mprj/u_mac_wrap/_08721_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.06    0.30    3.69 ^ mprj/u_mac_wrap/_08721_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[5][5] (net)
-                  0.06    0.00    3.69 ^ mprj/u_mac_wrap/_05521_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    3.80 ^ mprj/u_mac_wrap/_05521_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00314_ (net)
-                  0.04    0.00    3.80 ^ mprj/u_mac_wrap/_08721_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  3.80   data arrival time
-
-                          0.00    0.00   clock mac_rx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1   11.12                           mprj_io[12] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[12] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.17    5.10 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    5.26 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00    5.26 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.25    0.29    5.55 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
-                  0.25    0.00    5.55 ^ mprj/u_mac_wrap/clkbuf_leaf_43_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.21    5.76 ^ mprj/u_mac_wrap/clkbuf_leaf_43_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    10    0.03                           mprj/u_mac_wrap/clknet_leaf_43_phy_rx_clk (net)
-                  0.05    0.00    5.76 ^ mprj/u_mac_wrap/_08721_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    5.86   clock uncertainty
-                         -2.38    3.48   clock reconvergence pessimism
-                         -0.03    3.45   library hold time
-                                  3.45   data required time
------------------------------------------------------------------------------
-                                  3.45   data required time
-                                 -3.80   data arrival time
------------------------------------------------------------------------------
-                                  0.34   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_08554_
-            (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_08554_
-          (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Path Group: mac_rx_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_rx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1    6.12                           mprj_io[12] (net)
-                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[12] (net)
-                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    1.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10    1.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    1.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21    1.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    1.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11    1.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17    1.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    1.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21    1.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    1.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43    2.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    2.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24    2.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    2.61 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    2.77 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    2.77 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    2.92 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    7.15 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    7.15 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.16    7.31 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_mac_wrap/clknet_2_0_0_phy_rx_clk (net)
-                  0.06    0.00    2.92 ^ mprj/u_mac_wrap/clkbuf_3_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.18    0.23    3.15 ^ mprj/u_mac_wrap/clkbuf_3_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.10                           mprj/u_mac_wrap/clknet_3_1_0_phy_rx_clk (net)
-                  0.18    0.00    3.15 ^ mprj/u_mac_wrap/clkbuf_leaf_67_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.17    3.31 ^ mprj/u_mac_wrap/clkbuf_leaf_67_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     7    0.02                           mprj/u_mac_wrap/clknet_leaf_67_phy_rx_clk (net)
-                  0.04    0.00    3.31 ^ mprj/u_mac_wrap/_08554_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.05    0.30    3.61 ^ mprj/u_mac_wrap/_08554_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[20][6] (net)
-                  0.05    0.00    3.61 ^ mprj/u_mac_wrap/_05046_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    3.72 ^ mprj/u_mac_wrap/_05046_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00158_ (net)
-                  0.04    0.00    3.72 ^ mprj/u_mac_wrap/_08554_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  3.72   data arrival time
-
-                          0.00    0.00   clock mac_rx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1   11.12                           mprj_io[12] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[12] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.17    5.10 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.16    5.26 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_mac_wrap/clknet_2_0_0_phy_rx_clk (net)
-                  0.06    0.00    5.26 ^ mprj/u_mac_wrap/clkbuf_3_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.18    0.25    5.51 ^ mprj/u_mac_wrap/clkbuf_3_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.10                           mprj/u_mac_wrap/clknet_3_1_0_phy_rx_clk (net)
-                  0.18    0.00    5.51 ^ mprj/u_mac_wrap/clkbuf_leaf_67_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.18    5.69 ^ mprj/u_mac_wrap/clkbuf_leaf_67_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     7    0.02                           mprj/u_mac_wrap/clknet_leaf_67_phy_rx_clk (net)
-                  0.04    0.00    5.69 ^ mprj/u_mac_wrap/_08554_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    5.79   clock uncertainty
-                         -2.37    3.41   clock reconvergence pessimism
-                         -0.03    3.38   library hold time
-                                  3.38   data required time
+                  0.06    0.00    7.31 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.23    0.28    7.59 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.13                           mprj/u_mac_wrap/clknet_3_0_0_phy_rx_clk (net)
+                  0.23    0.00    7.60 ^ mprj/u_mac_wrap/clkbuf_leaf_54_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.20    7.80 ^ mprj/u_mac_wrap/clkbuf_leaf_54_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_mac_wrap/clknet_leaf_54_phy_rx_clk (net)
+                  0.05    0.00    7.80 ^ mprj/u_mac_wrap/_08720_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.90   clock uncertainty
+                         -2.53    5.37   clock reconvergence pessimism
+                         -0.03    5.34   library hold time
+                                  5.34   data required time
 -----------------------------------------------------------------------------
-                                  3.38   data required time
-                                 -3.72   data arrival time
+                                  5.34   data required time
+                                 -5.68   data arrival time
 -----------------------------------------------------------------------------
                                   0.34   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_08740_
+Startpoint: mprj/u_mac_wrap/_08677_
             (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_08740_
+Endpoint: mprj/u_mac_wrap/_08677_
           (rising edge-triggered flip-flop clocked by mac_rx_clk)
 Path Group: mac_rx_clk
 Path Type: min
@@ -51112,38 +55647,352 @@
                   0.15    0.00    1.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.11    1.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17    1.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    1.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21    1.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    1.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43    2.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    2.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24    2.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12    1.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    1.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81    2.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21    2.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42    3.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    3.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15    3.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    3.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32    3.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    3.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28    4.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    4.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21    4.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    4.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16    4.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    2.61 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    2.77 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    2.77 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    2.91 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00    2.91 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.26    0.28    3.19 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.15                           mprj/u_mac_wrap/clknet_3_2_0_phy_rx_clk (net)
-                  0.27    0.01    3.20 ^ mprj/u_mac_wrap/clkbuf_leaf_53_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.21    3.41 ^ mprj/u_mac_wrap/clkbuf_leaf_53_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00    4.41 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15    4.67 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    4.67 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    4.82 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
+                  0.06    0.00    4.82 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.19    0.23    5.05 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_mac_wrap/clknet_3_2_0_phy_rx_clk (net)
+                  0.19    0.00    5.05 ^ mprj/u_mac_wrap/clkbuf_leaf_43_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.18    5.23 ^ mprj/u_mac_wrap/clkbuf_leaf_43_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_mac_wrap/clknet_leaf_43_phy_rx_clk (net)
+                  0.05    0.00    5.23 ^ mprj/u_mac_wrap/_08677_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.30    5.53 ^ mprj/u_mac_wrap/_08677_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[24][3] (net)
+                  0.06    0.00    5.53 ^ mprj/u_mac_wrap/_05223_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.03    0.11    5.64 ^ mprj/u_mac_wrap/_05223_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00224_ (net)
+                  0.03    0.00    5.64 ^ mprj/u_mac_wrap/_08677_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  5.64   data arrival time
+
+                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
+     1   11.12                           mprj_io[12] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[12] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    7.15 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    7.15 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.16    7.31 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
+                  0.06    0.00    7.31 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.19    0.25    7.56 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_mac_wrap/clknet_3_2_0_phy_rx_clk (net)
+                  0.19    0.00    7.56 ^ mprj/u_mac_wrap/clkbuf_leaf_43_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.19    7.75 ^ mprj/u_mac_wrap/clkbuf_leaf_43_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_mac_wrap/clknet_leaf_43_phy_rx_clk (net)
+                  0.05    0.00    7.75 ^ mprj/u_mac_wrap/_08677_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.85   clock uncertainty
+                         -2.53    5.33   clock reconvergence pessimism
+                         -0.03    5.30   library hold time
+                                  5.30   data required time
+-----------------------------------------------------------------------------
+                                  5.30   data required time
+                                 -5.64   data arrival time
+-----------------------------------------------------------------------------
+                                  0.34   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08848_
+            (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Endpoint: mprj/u_mac_wrap/_08848_
+          (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Path Group: mac_rx_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ mprj_io[12] (inout)
+     1    6.12                           mprj_io[12] (net)
+                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[12] (net)
+                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00    1.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10    1.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00    1.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21    1.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00    1.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11    1.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12    1.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    1.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81    2.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21    2.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42    3.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    3.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15    3.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    3.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32    3.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    3.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28    4.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    4.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21    4.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    4.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16    4.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00    4.41 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15    4.67 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    4.67 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    4.82 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
+                  0.06    0.00    4.82 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.19    0.23    5.05 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_mac_wrap/clknet_3_2_0_phy_rx_clk (net)
+                  0.19    0.00    5.05 ^ mprj/u_mac_wrap/clkbuf_leaf_45_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.18    5.24 ^ mprj/u_mac_wrap/clkbuf_leaf_45_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    13    0.04                           mprj/u_mac_wrap/clknet_leaf_45_phy_rx_clk (net)
+                  0.06    0.00    5.24 ^ mprj/u_mac_wrap/_08848_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.05    0.30    5.54 ^ mprj/u_mac_wrap/_08848_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[16][5] (net)
+                  0.05    0.00    5.54 ^ mprj/u_mac_wrap/_05669_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    5.65 ^ mprj/u_mac_wrap/_05669_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00391_ (net)
+                  0.04    0.00    5.65 ^ mprj/u_mac_wrap/_08848_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  5.65   data arrival time
+
+                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
+     1   11.12                           mprj_io[12] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[12] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    7.15 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    7.15 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.16    7.31 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
+                  0.06    0.00    7.31 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.19    0.25    7.56 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_mac_wrap/clknet_3_2_0_phy_rx_clk (net)
+                  0.19    0.00    7.56 ^ mprj/u_mac_wrap/clkbuf_leaf_45_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    7.76 ^ mprj/u_mac_wrap/clkbuf_leaf_45_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    13    0.04                           mprj/u_mac_wrap/clknet_leaf_45_phy_rx_clk (net)
+                  0.06    0.00    7.76 ^ mprj/u_mac_wrap/_08848_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.86   clock uncertainty
+                         -2.53    5.34   clock reconvergence pessimism
+                         -0.03    5.31   library hold time
+                                  5.31   data required time
+-----------------------------------------------------------------------------
+                                  5.31   data required time
+                                 -5.65   data arrival time
+-----------------------------------------------------------------------------
+                                  0.34   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08871_
+            (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Endpoint: mprj/u_mac_wrap/_08871_
+          (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Path Group: mac_rx_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ mprj_io[12] (inout)
+     1    6.12                           mprj_io[12] (net)
+                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[12] (net)
+                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00    1.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10    1.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00    1.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21    1.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00    1.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11    1.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12    1.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    1.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81    2.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21    2.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42    3.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    3.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15    3.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    3.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32    3.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    3.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28    4.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    4.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21    4.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    4.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16    4.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00    4.41 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15    4.67 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    4.67 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    4.82 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_2_0_0_phy_rx_clk (net)
+                  0.06    0.00    4.82 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.23    0.26    5.08 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.13                           mprj/u_mac_wrap/clknet_3_0_0_phy_rx_clk (net)
+                  0.23    0.00    5.08 ^ mprj/u_mac_wrap/clkbuf_leaf_53_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.28 ^ mprj/u_mac_wrap/clkbuf_leaf_53_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
     13    0.04                           mprj/u_mac_wrap/clknet_leaf_53_phy_rx_clk (net)
-                  0.06    0.00    3.41 ^ mprj/u_mac_wrap/_08740_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.05    0.30    3.71 ^ mprj/u_mac_wrap/_08740_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[12][7] (net)
-                  0.05    0.00    3.71 ^ mprj/u_mac_wrap/_05552_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    3.82 ^ mprj/u_mac_wrap/_05552_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00333_ (net)
-                  0.04    0.00    3.82 ^ mprj/u_mac_wrap/_08740_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  3.82   data arrival time
+                  0.06    0.00    5.28 ^ mprj/u_mac_wrap/_08871_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.05    0.31    5.58 ^ mprj/u_mac_wrap/_08871_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[10][1] (net)
+                  0.05    0.00    5.58 ^ mprj/u_mac_wrap/_05695_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    5.69 ^ mprj/u_mac_wrap/_05695_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00414_ (net)
+                  0.04    0.00    5.69 ^ mprj/u_mac_wrap/_08871_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  5.69   data arrival time
 
                           0.00    0.00   clock mac_rx_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -51162,45 +56011,63 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.17    5.10 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    5.26 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00    5.26 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.26    0.30    5.56 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.15                           mprj/u_mac_wrap/clknet_3_2_0_phy_rx_clk (net)
-                  0.27    0.01    5.56 ^ mprj/u_mac_wrap/clkbuf_leaf_53_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.22    5.79 ^ mprj/u_mac_wrap/clkbuf_leaf_53_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    7.15 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    7.15 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.16    7.31 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_2_0_0_phy_rx_clk (net)
+                  0.06    0.00    7.31 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.23    0.28    7.59 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.13                           mprj/u_mac_wrap/clknet_3_0_0_phy_rx_clk (net)
+                  0.23    0.00    7.60 ^ mprj/u_mac_wrap/clkbuf_leaf_53_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    7.81 ^ mprj/u_mac_wrap/clkbuf_leaf_53_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
     13    0.04                           mprj/u_mac_wrap/clknet_leaf_53_phy_rx_clk (net)
-                  0.06    0.00    5.79 ^ mprj/u_mac_wrap/_08740_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    5.89   clock uncertainty
-                         -2.38    3.51   clock reconvergence pessimism
-                         -0.03    3.48   library hold time
-                                  3.48   data required time
+                  0.06    0.00    7.81 ^ mprj/u_mac_wrap/_08871_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.91   clock uncertainty
+                         -2.53    5.38   clock reconvergence pessimism
+                         -0.03    5.35   library hold time
+                                  5.35   data required time
 -----------------------------------------------------------------------------
-                                  3.48   data required time
-                                 -3.82   data arrival time
+                                  5.35   data required time
+                                 -5.69   data arrival time
 -----------------------------------------------------------------------------
                                   0.34   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_08668_
+Startpoint: mprj/u_mac_wrap/_08868_
             (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_08668_
+Endpoint: mprj/u_mac_wrap/_08868_
           (rising edge-triggered flip-flop clocked by mac_rx_clk)
 Path Group: mac_rx_clk
 Path Type: min
@@ -51224,38 +56091,56 @@
                   0.15    0.00    1.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.11    1.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17    1.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    1.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21    1.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    1.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43    2.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    2.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24    2.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12    1.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    1.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81    2.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21    2.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42    3.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    3.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15    3.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    3.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32    3.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    3.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28    4.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    4.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21    4.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    4.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16    4.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    2.61 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    2.77 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    2.77 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    2.92 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    4.41 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15    4.67 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    4.67 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    4.82 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_mac_wrap/clknet_2_0_0_phy_rx_clk (net)
-                  0.06    0.00    2.92 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.19    0.23    3.15 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.10                           mprj/u_mac_wrap/clknet_3_0_0_phy_rx_clk (net)
-                  0.19    0.00    3.15 ^ mprj/u_mac_wrap/clkbuf_leaf_59_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.17    3.32 ^ mprj/u_mac_wrap/clkbuf_leaf_59_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     9    0.03                           mprj/u_mac_wrap/clknet_leaf_59_phy_rx_clk (net)
-                  0.05    0.00    3.32 ^ mprj/u_mac_wrap/_08668_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.06    0.30    3.62 ^ mprj/u_mac_wrap/_08668_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[28][8] (net)
-                  0.06    0.00    3.62 ^ mprj/u_mac_wrap/_05249_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    3.74 ^ mprj/u_mac_wrap/_05249_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00265_ (net)
-                  0.04    0.00    3.74 ^ mprj/u_mac_wrap/_08668_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  3.74   data arrival time
+                  0.06    0.00    4.82 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.23    0.26    5.08 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.13                           mprj/u_mac_wrap/clknet_3_0_0_phy_rx_clk (net)
+                  0.23    0.00    5.08 ^ mprj/u_mac_wrap/clkbuf_leaf_53_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.28 ^ mprj/u_mac_wrap/clkbuf_leaf_53_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    13    0.04                           mprj/u_mac_wrap/clknet_leaf_53_phy_rx_clk (net)
+                  0.06    0.00    5.28 ^ mprj/u_mac_wrap/_08868_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.31    5.58 ^ mprj/u_mac_wrap/_08868_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[0][7] (net)
+                  0.06    0.00    5.58 ^ mprj/u_mac_wrap/_05691_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    5.69 ^ mprj/u_mac_wrap/_05691_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00411_ (net)
+                  0.04    0.00    5.69 ^ mprj/u_mac_wrap/_08868_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  5.69   data arrival time
 
                           0.00    0.00   clock mac_rx_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -51274,45 +56159,63 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.17    5.10 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.16    5.26 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    7.15 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    7.15 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.16    7.31 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_mac_wrap/clknet_2_0_0_phy_rx_clk (net)
-                  0.06    0.00    5.26 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.19    0.25    5.51 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.10                           mprj/u_mac_wrap/clknet_3_0_0_phy_rx_clk (net)
-                  0.19    0.00    5.51 ^ mprj/u_mac_wrap/clkbuf_leaf_59_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.19    5.70 ^ mprj/u_mac_wrap/clkbuf_leaf_59_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     9    0.03                           mprj/u_mac_wrap/clknet_leaf_59_phy_rx_clk (net)
-                  0.05    0.00    5.70 ^ mprj/u_mac_wrap/_08668_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    5.80   clock uncertainty
-                         -2.37    3.42   clock reconvergence pessimism
-                         -0.03    3.39   library hold time
-                                  3.39   data required time
+                  0.06    0.00    7.31 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.23    0.28    7.59 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.13                           mprj/u_mac_wrap/clknet_3_0_0_phy_rx_clk (net)
+                  0.23    0.00    7.60 ^ mprj/u_mac_wrap/clkbuf_leaf_53_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    7.81 ^ mprj/u_mac_wrap/clkbuf_leaf_53_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    13    0.04                           mprj/u_mac_wrap/clknet_leaf_53_phy_rx_clk (net)
+                  0.06    0.00    7.81 ^ mprj/u_mac_wrap/_08868_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.91   clock uncertainty
+                         -2.53    5.38   clock reconvergence pessimism
+                         -0.03    5.35   library hold time
+                                  5.35   data required time
 -----------------------------------------------------------------------------
-                                  3.39   data required time
-                                 -3.74   data arrival time
+                                  5.35   data required time
+                                 -5.69   data arrival time
 -----------------------------------------------------------------------------
                                   0.34   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_08734_
+Startpoint: mprj/u_mac_wrap/_10005_
             (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_08734_
+Endpoint: mprj/u_mac_wrap/_10005_
           (rising edge-triggered flip-flop clocked by mac_rx_clk)
 Path Group: mac_rx_clk
 Path Type: min
@@ -51336,38 +56239,56 @@
                   0.15    0.00    1.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.11    1.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17    1.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    1.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21    1.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    1.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43    2.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    2.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24    2.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12    1.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    1.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81    2.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21    2.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42    3.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    3.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15    3.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    3.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32    3.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    3.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28    4.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    4.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21    4.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    4.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16    4.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    2.61 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    2.77 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    2.77 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    2.91 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00    2.91 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.26    0.28    3.19 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.15                           mprj/u_mac_wrap/clknet_3_2_0_phy_rx_clk (net)
-                  0.27    0.01    3.20 ^ mprj/u_mac_wrap/clkbuf_leaf_52_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.20    3.40 ^ mprj/u_mac_wrap/clkbuf_leaf_52_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.03                           mprj/u_mac_wrap/clknet_leaf_52_phy_rx_clk (net)
-                  0.06    0.00    3.40 ^ mprj/u_mac_wrap/_08734_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.06    0.31    3.71 ^ mprj/u_mac_wrap/_08734_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[12][1] (net)
-                  0.06    0.00    3.71 ^ mprj/u_mac_wrap/_05546_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    3.82 ^ mprj/u_mac_wrap/_05546_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00327_ (net)
-                  0.04    0.00    3.82 ^ mprj/u_mac_wrap/_08734_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  3.82   data arrival time
+                  0.05    0.00    4.41 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15    4.67 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    4.67 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    4.82 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
+                  0.06    0.00    4.82 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.19    0.23    5.05 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_mac_wrap/clknet_3_2_0_phy_rx_clk (net)
+                  0.19    0.00    5.05 ^ mprj/u_mac_wrap/clkbuf_leaf_49_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    5.22 ^ mprj/u_mac_wrap/clkbuf_leaf_49_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.02                           mprj/u_mac_wrap/clknet_leaf_49_phy_rx_clk (net)
+                  0.05    0.00    5.23 ^ mprj/u_mac_wrap/_10005_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.05    0.30    5.52 ^ mprj/u_mac_wrap/_10005_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[9][3] (net)
+                  0.05    0.00    5.52 ^ mprj/u_mac_wrap/_08011_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    5.64 ^ mprj/u_mac_wrap/_08011_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_01379_ (net)
+                  0.04    0.00    5.64 ^ mprj/u_mac_wrap/_10005_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  5.64   data arrival time
 
                           0.00    0.00   clock mac_rx_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -51386,45 +56307,63 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.17    5.10 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    5.26 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00    5.26 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.26    0.30    5.56 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.15                           mprj/u_mac_wrap/clknet_3_2_0_phy_rx_clk (net)
-                  0.27    0.01    5.56 ^ mprj/u_mac_wrap/clkbuf_leaf_52_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.22    5.78 ^ mprj/u_mac_wrap/clkbuf_leaf_52_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.03                           mprj/u_mac_wrap/clknet_leaf_52_phy_rx_clk (net)
-                  0.06    0.00    5.78 ^ mprj/u_mac_wrap/_08734_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    5.88   clock uncertainty
-                         -2.38    3.50   clock reconvergence pessimism
-                         -0.03    3.47   library hold time
-                                  3.47   data required time
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    7.15 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    7.15 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.16    7.31 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
+                  0.06    0.00    7.31 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.19    0.25    7.56 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_mac_wrap/clknet_3_2_0_phy_rx_clk (net)
+                  0.19    0.00    7.57 ^ mprj/u_mac_wrap/clkbuf_leaf_49_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.18    7.75 ^ mprj/u_mac_wrap/clkbuf_leaf_49_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.02                           mprj/u_mac_wrap/clknet_leaf_49_phy_rx_clk (net)
+                  0.05    0.00    7.75 ^ mprj/u_mac_wrap/_10005_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.85   clock uncertainty
+                         -2.52    5.33   clock reconvergence pessimism
+                         -0.03    5.29   library hold time
+                                  5.29   data required time
 -----------------------------------------------------------------------------
-                                  3.47   data required time
-                                 -3.82   data arrival time
+                                  5.29   data required time
+                                 -5.64   data arrival time
 -----------------------------------------------------------------------------
                                   0.34   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_08590_
+Startpoint: mprj/u_mac_wrap/_08758_
             (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_08590_
+Endpoint: mprj/u_mac_wrap/_08758_
           (rising edge-triggered flip-flop clocked by mac_rx_clk)
 Path Group: mac_rx_clk
 Path Type: min
@@ -51448,38 +56387,1240 @@
                   0.15    0.00    1.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.11    1.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17    1.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    1.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21    1.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    1.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43    2.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    2.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24    2.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12    1.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    1.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81    2.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21    2.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42    3.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    3.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15    3.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    3.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32    3.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    3.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28    4.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    4.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21    4.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    4.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16    4.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    2.61 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    2.77 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    2.77 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    2.91 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00    2.91 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.25    0.27    3.19 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    4.41 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15    4.67 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    4.67 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    4.82 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
+                  0.06    0.00    4.82 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.19    0.23    5.05 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_mac_wrap/clknet_3_2_0_phy_rx_clk (net)
+                  0.19    0.00    5.05 ^ mprj/u_mac_wrap/clkbuf_leaf_37_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.18    5.23 ^ mprj/u_mac_wrap/clkbuf_leaf_37_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_mac_wrap/clknet_leaf_37_phy_rx_clk (net)
+                  0.05    0.00    5.23 ^ mprj/u_mac_wrap/_08758_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.30    5.54 ^ mprj/u_mac_wrap/_08758_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[23][5] (net)
+                  0.06    0.00    5.54 ^ mprj/u_mac_wrap/_05566_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.03    0.11    5.65 ^ mprj/u_mac_wrap/_05566_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00305_ (net)
+                  0.03    0.00    5.65 ^ mprj/u_mac_wrap/_08758_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  5.65   data arrival time
+
+                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
+     1   11.12                           mprj_io[12] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[12] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    7.15 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    7.15 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.16    7.31 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
+                  0.06    0.00    7.31 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.19    0.25    7.56 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_mac_wrap/clknet_3_2_0_phy_rx_clk (net)
+                  0.19    0.00    7.56 ^ mprj/u_mac_wrap/clkbuf_leaf_37_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.19    7.76 ^ mprj/u_mac_wrap/clkbuf_leaf_37_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_mac_wrap/clknet_leaf_37_phy_rx_clk (net)
+                  0.05    0.00    7.76 ^ mprj/u_mac_wrap/_08758_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.86   clock uncertainty
+                         -2.53    5.33   clock reconvergence pessimism
+                         -0.03    5.30   library hold time
+                                  5.30   data required time
+-----------------------------------------------------------------------------
+                                  5.30   data required time
+                                 -5.65   data arrival time
+-----------------------------------------------------------------------------
+                                  0.34   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08582_
+            (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Endpoint: mprj/u_mac_wrap/_08582_
+          (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Path Group: mac_rx_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ mprj_io[12] (inout)
+     1    6.12                           mprj_io[12] (net)
+                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[12] (net)
+                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00    1.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10    1.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00    1.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21    1.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00    1.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11    1.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12    1.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    1.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81    2.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21    2.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42    3.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    3.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15    3.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    3.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32    3.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    3.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28    4.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    4.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21    4.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    4.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16    4.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00    4.41 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15    4.67 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    4.67 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    4.82 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_2_0_0_phy_rx_clk (net)
+                  0.06    0.00    4.82 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.23    0.26    5.08 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.13                           mprj/u_mac_wrap/clknet_3_0_0_phy_rx_clk (net)
+                  0.23    0.00    5.08 ^ mprj/u_mac_wrap/clkbuf_leaf_51_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.18    5.27 ^ mprj/u_mac_wrap/clkbuf_leaf_51_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.03                           mprj/u_mac_wrap/clknet_leaf_51_phy_rx_clk (net)
+                  0.05    0.00    5.27 ^ mprj/u_mac_wrap/_08582_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.30    5.57 ^ mprj/u_mac_wrap/_08582_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[1][2] (net)
+                  0.06    0.00    5.57 ^ mprj/u_mac_wrap/_05042_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    5.68 ^ mprj/u_mac_wrap/_05042_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00136_ (net)
+                  0.04    0.00    5.68 ^ mprj/u_mac_wrap/_08582_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  5.68   data arrival time
+
+                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
+     1   11.12                           mprj_io[12] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[12] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    7.15 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    7.15 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.16    7.31 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_2_0_0_phy_rx_clk (net)
+                  0.06    0.00    7.31 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.23    0.28    7.59 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.13                           mprj/u_mac_wrap/clknet_3_0_0_phy_rx_clk (net)
+                  0.23    0.00    7.60 ^ mprj/u_mac_wrap/clkbuf_leaf_51_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.20    7.80 ^ mprj/u_mac_wrap/clkbuf_leaf_51_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.03                           mprj/u_mac_wrap/clknet_leaf_51_phy_rx_clk (net)
+                  0.05    0.00    7.80 ^ mprj/u_mac_wrap/_08582_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.90   clock uncertainty
+                         -2.53    5.37   clock reconvergence pessimism
+                         -0.03    5.34   library hold time
+                                  5.34   data required time
+-----------------------------------------------------------------------------
+                                  5.34   data required time
+                                 -5.68   data arrival time
+-----------------------------------------------------------------------------
+                                  0.34   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08544_
+            (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Endpoint: mprj/u_mac_wrap/_08544_
+          (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Path Group: mac_rx_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ mprj_io[12] (inout)
+     1    6.12                           mprj_io[12] (net)
+                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[12] (net)
+                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00    1.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10    1.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00    1.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21    1.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00    1.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11    1.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12    1.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    1.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81    2.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21    2.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42    3.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    3.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15    3.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    3.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32    3.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    3.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28    4.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    4.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21    4.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    4.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16    4.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00    4.41 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15    4.67 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    4.67 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    4.82 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
+                  0.06    0.00    4.82 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.19    0.23    5.05 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_mac_wrap/clknet_3_2_0_phy_rx_clk (net)
+                  0.19    0.00    5.05 ^ mprj/u_mac_wrap/clkbuf_leaf_49_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    5.22 ^ mprj/u_mac_wrap/clkbuf_leaf_49_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.02                           mprj/u_mac_wrap/clknet_leaf_49_phy_rx_clk (net)
+                  0.05    0.00    5.22 ^ mprj/u_mac_wrap/_08544_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.30    5.53 ^ mprj/u_mac_wrap/_08544_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[8][0] (net)
+                  0.06    0.00    5.53 ^ mprj/u_mac_wrap/_04988_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    5.64 ^ mprj/u_mac_wrap/_04988_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00098_ (net)
+                  0.04    0.00    5.64 ^ mprj/u_mac_wrap/_08544_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  5.64   data arrival time
+
+                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
+     1   11.12                           mprj_io[12] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[12] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    7.15 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    7.15 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.16    7.31 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
+                  0.06    0.00    7.31 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.19    0.25    7.56 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_mac_wrap/clknet_3_2_0_phy_rx_clk (net)
+                  0.19    0.00    7.57 ^ mprj/u_mac_wrap/clkbuf_leaf_49_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.18    7.75 ^ mprj/u_mac_wrap/clkbuf_leaf_49_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.02                           mprj/u_mac_wrap/clknet_leaf_49_phy_rx_clk (net)
+                  0.05    0.00    7.75 ^ mprj/u_mac_wrap/_08544_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.85   clock uncertainty
+                         -2.52    5.32   clock reconvergence pessimism
+                         -0.03    5.30   library hold time
+                                  5.30   data required time
+-----------------------------------------------------------------------------
+                                  5.30   data required time
+                                 -5.64   data arrival time
+-----------------------------------------------------------------------------
+                                  0.34   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08681_
+            (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Endpoint: mprj/u_mac_wrap/_08681_
+          (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Path Group: mac_rx_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ mprj_io[12] (inout)
+     1    6.12                           mprj_io[12] (net)
+                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[12] (net)
+                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00    1.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10    1.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00    1.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21    1.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00    1.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11    1.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12    1.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    1.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81    2.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21    2.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42    3.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    3.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15    3.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    3.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32    3.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    3.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28    4.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    4.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21    4.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    4.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16    4.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00    4.41 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15    4.67 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    4.67 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    4.82 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
+                  0.06    0.00    4.82 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.19    0.23    5.05 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_mac_wrap/clknet_3_2_0_phy_rx_clk (net)
+                  0.19    0.00    5.05 ^ mprj/u_mac_wrap/clkbuf_leaf_43_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.18    5.23 ^ mprj/u_mac_wrap/clkbuf_leaf_43_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_mac_wrap/clknet_leaf_43_phy_rx_clk (net)
+                  0.05    0.00    5.23 ^ mprj/u_mac_wrap/_08681_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.30    5.53 ^ mprj/u_mac_wrap/_08681_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[24][7] (net)
+                  0.06    0.00    5.53 ^ mprj/u_mac_wrap/_05227_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    5.64 ^ mprj/u_mac_wrap/_05227_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00228_ (net)
+                  0.04    0.00    5.64 ^ mprj/u_mac_wrap/_08681_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  5.64   data arrival time
+
+                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
+     1   11.12                           mprj_io[12] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[12] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    7.15 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    7.15 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.16    7.31 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
+                  0.06    0.00    7.31 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.19    0.25    7.56 ^ mprj/u_mac_wrap/clkbuf_3_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_mac_wrap/clknet_3_2_0_phy_rx_clk (net)
+                  0.19    0.00    7.56 ^ mprj/u_mac_wrap/clkbuf_leaf_43_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.19    7.75 ^ mprj/u_mac_wrap/clkbuf_leaf_43_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_mac_wrap/clknet_leaf_43_phy_rx_clk (net)
+                  0.05    0.00    7.75 ^ mprj/u_mac_wrap/_08681_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.85   clock uncertainty
+                         -2.53    5.33   clock reconvergence pessimism
+                         -0.03    5.30   library hold time
+                                  5.30   data required time
+-----------------------------------------------------------------------------
+                                  5.30   data required time
+                                 -5.64   data arrival time
+-----------------------------------------------------------------------------
+                                  0.34   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08789_
+            (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Endpoint: mprj/u_mac_wrap/_08789_
+          (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Path Group: mac_rx_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ mprj_io[12] (inout)
+     1    6.12                           mprj_io[12] (net)
+                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[12] (net)
+                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00    1.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10    1.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00    1.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21    1.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00    1.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11    1.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12    1.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    1.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81    2.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21    2.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42    3.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    3.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15    3.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    3.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32    3.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    3.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28    4.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    4.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21    4.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    4.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16    4.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00    4.41 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15    4.67 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    4.67 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    4.82 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_2_0_0_phy_rx_clk (net)
+                  0.06    0.00    4.82 ^ mprj/u_mac_wrap/clkbuf_3_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.20    0.24    5.06 ^ mprj/u_mac_wrap/clkbuf_3_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_mac_wrap/clknet_3_1_0_phy_rx_clk (net)
+                  0.20    0.00    5.06 ^ mprj/u_mac_wrap/clkbuf_leaf_46_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.18    5.25 ^ mprj/u_mac_wrap/clkbuf_leaf_46_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    11    0.04                           mprj/u_mac_wrap/clknet_leaf_46_phy_rx_clk (net)
+                  0.06    0.00    5.25 ^ mprj/u_mac_wrap/_08789_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.31    5.55 ^ mprj/u_mac_wrap/_08789_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[12][6] (net)
+                  0.06    0.00    5.55 ^ mprj/u_mac_wrap/_05606_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    5.66 ^ mprj/u_mac_wrap/_05606_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00332_ (net)
+                  0.04    0.00    5.66 ^ mprj/u_mac_wrap/_08789_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  5.66   data arrival time
+
+                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
+     1   11.12                           mprj_io[12] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[12] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    7.15 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    7.15 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.16    7.31 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_2_0_0_phy_rx_clk (net)
+                  0.06    0.00    7.31 ^ mprj/u_mac_wrap/clkbuf_3_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.20    0.26    7.57 ^ mprj/u_mac_wrap/clkbuf_3_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_mac_wrap/clknet_3_1_0_phy_rx_clk (net)
+                  0.20    0.00    7.57 ^ mprj/u_mac_wrap/clkbuf_leaf_46_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    7.77 ^ mprj/u_mac_wrap/clkbuf_leaf_46_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    11    0.04                           mprj/u_mac_wrap/clknet_leaf_46_phy_rx_clk (net)
+                  0.06    0.00    7.77 ^ mprj/u_mac_wrap/_08789_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.87   clock uncertainty
+                         -2.53    5.35   clock reconvergence pessimism
+                         -0.03    5.32   library hold time
+                                  5.32   data required time
+-----------------------------------------------------------------------------
+                                  5.32   data required time
+                                 -5.66   data arrival time
+-----------------------------------------------------------------------------
+                                  0.34   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08772_
+            (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Endpoint: mprj/u_mac_wrap/_08772_
+          (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Path Group: mac_rx_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ mprj_io[12] (inout)
+     1    6.12                           mprj_io[12] (net)
+                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[12] (net)
+                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00    1.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10    1.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00    1.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21    1.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00    1.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11    1.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12    1.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    1.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81    2.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21    2.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42    3.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    3.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15    3.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    3.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32    3.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    3.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28    4.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    4.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21    4.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    4.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16    4.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00    4.41 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15    4.67 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    4.67 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    4.82 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_2_0_0_phy_rx_clk (net)
+                  0.06    0.00    4.82 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.23    0.26    5.08 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.13                           mprj/u_mac_wrap/clknet_3_0_0_phy_rx_clk (net)
+                  0.23    0.00    5.08 ^ mprj/u_mac_wrap/clkbuf_leaf_56_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.19    5.27 ^ mprj/u_mac_wrap/clkbuf_leaf_56_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_mac_wrap/clknet_leaf_56_phy_rx_clk (net)
+                  0.05    0.00    5.27 ^ mprj/u_mac_wrap/_08772_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.30    5.58 ^ mprj/u_mac_wrap/_08772_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[5][6] (net)
+                  0.06    0.00    5.58 ^ mprj/u_mac_wrap/_05577_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    5.69 ^ mprj/u_mac_wrap/_05577_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00315_ (net)
+                  0.04    0.00    5.69 ^ mprj/u_mac_wrap/_08772_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  5.69   data arrival time
+
+                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
+     1   11.12                           mprj_io[12] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[12] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    7.15 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    7.15 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.16    7.31 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_2_0_0_phy_rx_clk (net)
+                  0.06    0.00    7.31 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.23    0.28    7.59 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.13                           mprj/u_mac_wrap/clknet_3_0_0_phy_rx_clk (net)
+                  0.23    0.00    7.60 ^ mprj/u_mac_wrap/clkbuf_leaf_56_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.20    7.80 ^ mprj/u_mac_wrap/clkbuf_leaf_56_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_mac_wrap/clknet_leaf_56_phy_rx_clk (net)
+                  0.05    0.00    7.80 ^ mprj/u_mac_wrap/_08772_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.90   clock uncertainty
+                         -2.53    5.37   clock reconvergence pessimism
+                         -0.03    5.34   library hold time
+                                  5.34   data required time
+-----------------------------------------------------------------------------
+                                  5.34   data required time
+                                 -5.69   data arrival time
+-----------------------------------------------------------------------------
+                                  0.34   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08577_
+            (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Endpoint: mprj/u_mac_wrap/_08577_
+          (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Path Group: mac_rx_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ mprj_io[12] (inout)
+     1    6.12                           mprj_io[12] (net)
+                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[12] (net)
+                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00    1.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10    1.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00    1.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21    1.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00    1.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11    1.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12    1.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    1.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81    2.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21    2.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42    3.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    3.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15    3.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    3.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32    3.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    3.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28    4.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    4.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21    4.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    4.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16    4.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00    4.41 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15    4.67 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    4.67 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    4.82 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_2_0_0_phy_rx_clk (net)
+                  0.06    0.00    4.82 ^ mprj/u_mac_wrap/clkbuf_3_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.20    0.24    5.06 ^ mprj/u_mac_wrap/clkbuf_3_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_mac_wrap/clknet_3_1_0_phy_rx_clk (net)
+                  0.20    0.00    5.06 ^ mprj/u_mac_wrap/clkbuf_leaf_61_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.18    5.25 ^ mprj/u_mac_wrap/clkbuf_leaf_61_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    11    0.04                           mprj/u_mac_wrap/clknet_leaf_61_phy_rx_clk (net)
+                  0.06    0.00    5.25 ^ mprj/u_mac_wrap/_08577_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.30    5.55 ^ mprj/u_mac_wrap/_08577_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[17][6] (net)
+                  0.06    0.00    5.55 ^ mprj/u_mac_wrap/_05035_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    5.66 ^ mprj/u_mac_wrap/_05035_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00131_ (net)
+                  0.04    0.00    5.66 ^ mprj/u_mac_wrap/_08577_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  5.66   data arrival time
+
+                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
+     1   11.12                           mprj_io[12] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[12] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    7.15 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    7.15 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.16    7.31 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_2_0_0_phy_rx_clk (net)
+                  0.06    0.00    7.31 ^ mprj/u_mac_wrap/clkbuf_3_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.20    0.26    7.57 ^ mprj/u_mac_wrap/clkbuf_3_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_mac_wrap/clknet_3_1_0_phy_rx_clk (net)
+                  0.20    0.00    7.57 ^ mprj/u_mac_wrap/clkbuf_leaf_61_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    7.77 ^ mprj/u_mac_wrap/clkbuf_leaf_61_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    11    0.04                           mprj/u_mac_wrap/clknet_leaf_61_phy_rx_clk (net)
+                  0.06    0.00    7.77 ^ mprj/u_mac_wrap/_08577_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.87   clock uncertainty
+                         -2.53    5.35   clock reconvergence pessimism
+                         -0.03    5.32   library hold time
+                                  5.32   data required time
+-----------------------------------------------------------------------------
+                                  5.32   data required time
+                                 -5.66   data arrival time
+-----------------------------------------------------------------------------
+                                  0.34   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08692_
+            (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Endpoint: mprj/u_mac_wrap/_08692_
+          (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Path Group: mac_rx_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ mprj_io[12] (inout)
+     1    6.12                           mprj_io[12] (net)
+                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[12] (net)
+                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00    1.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10    1.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00    1.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21    1.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00    1.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11    1.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12    1.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    1.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81    2.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21    2.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42    3.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    3.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15    3.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    3.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32    3.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    3.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28    4.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    4.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21    4.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    4.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16    4.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00    4.41 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15    4.67 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    4.67 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    4.82 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
+                  0.06    0.00    4.82 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.26    0.28    5.10 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
     22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
-                  0.25    0.00    3.19 ^ mprj/u_mac_wrap/clkbuf_leaf_39_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.19    3.37 ^ mprj/u_mac_wrap/clkbuf_leaf_39_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     7    0.02                           mprj/u_mac_wrap/clknet_leaf_39_phy_rx_clk (net)
-                  0.04    0.00    3.37 ^ mprj/u_mac_wrap/_08590_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.06    0.30    3.68 ^ mprj/u_mac_wrap/_08590_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[19][0] (net)
-                  0.06    0.00    3.68 ^ mprj/u_mac_wrap/_05105_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    3.79 ^ mprj/u_mac_wrap/_05105_/X (sky130_fd_sc_hd__mux2_1)
+                  0.26    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_leaf_41_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    5.28 ^ mprj/u_mac_wrap/clkbuf_leaf_41_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.01                           mprj/u_mac_wrap/clknet_leaf_41_phy_rx_clk (net)
+                  0.04    0.00    5.28 ^ mprj/u_mac_wrap/_08692_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.30    5.58 ^ mprj/u_mac_wrap/_08692_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[26][0] (net)
+                  0.06    0.00    5.58 ^ mprj/u_mac_wrap/_05240_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    5.69 ^ mprj/u_mac_wrap/_05240_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00239_ (net)
+                  0.04    0.00    5.69 ^ mprj/u_mac_wrap/_08692_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  5.69   data arrival time
+
+                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
+     1   11.12                           mprj_io[12] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[12] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    7.15 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    7.15 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.16    7.31 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
+                  0.06    0.00    7.31 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.26    0.30    7.61 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
+                  0.26    0.00    7.61 ^ mprj/u_mac_wrap/clkbuf_leaf_41_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.20    7.81 ^ mprj/u_mac_wrap/clkbuf_leaf_41_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.01                           mprj/u_mac_wrap/clknet_leaf_41_phy_rx_clk (net)
+                  0.04    0.00    7.81 ^ mprj/u_mac_wrap/_08692_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.91   clock uncertainty
+                         -2.53    5.38   clock reconvergence pessimism
+                         -0.03    5.35   library hold time
+                                  5.35   data required time
+-----------------------------------------------------------------------------
+                                  5.35   data required time
+                                 -5.69   data arrival time
+-----------------------------------------------------------------------------
+                                  0.34   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08640_
+            (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Endpoint: mprj/u_mac_wrap/_08640_
+          (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Path Group: mac_rx_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ mprj_io[12] (inout)
+     1    6.12                           mprj_io[12] (net)
+                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[12] (net)
+                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00    1.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10    1.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00    1.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21    1.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00    1.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11    1.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12    1.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    1.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81    2.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21    2.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42    3.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    3.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15    3.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    3.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32    3.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    3.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28    4.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    4.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21    4.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    4.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16    4.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00    4.41 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15    4.67 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    4.67 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    4.82 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_2_0_0_phy_rx_clk (net)
+                  0.06    0.00    4.82 ^ mprj/u_mac_wrap/clkbuf_3_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.20    0.24    5.06 ^ mprj/u_mac_wrap/clkbuf_3_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_mac_wrap/clknet_3_1_0_phy_rx_clk (net)
+                  0.20    0.00    5.06 ^ mprj/u_mac_wrap/clkbuf_leaf_61_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.18    5.25 ^ mprj/u_mac_wrap/clkbuf_leaf_61_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    11    0.04                           mprj/u_mac_wrap/clknet_leaf_61_phy_rx_clk (net)
+                  0.06    0.00    5.25 ^ mprj/u_mac_wrap/_08640_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.30    5.55 ^ mprj/u_mac_wrap/_08640_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[19][0] (net)
+                  0.06    0.00    5.55 ^ mprj/u_mac_wrap/_05126_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    5.66 ^ mprj/u_mac_wrap/_05126_/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mac_wrap/_00187_ (net)
-                  0.04    0.00    3.79 ^ mprj/u_mac_wrap/_08590_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  3.79   data arrival time
+                  0.04    0.00    5.66 ^ mprj/u_mac_wrap/_08640_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  5.66   data arrival time
 
                           0.00    0.00   clock mac_rx_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -51498,45 +57639,951 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.17    5.10 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    5.26 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00    5.26 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.25    0.29    5.55 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
-                  0.25    0.00    5.55 ^ mprj/u_mac_wrap/clkbuf_leaf_39_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.20    5.75 ^ mprj/u_mac_wrap/clkbuf_leaf_39_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     7    0.02                           mprj/u_mac_wrap/clknet_leaf_39_phy_rx_clk (net)
-                  0.04    0.00    5.75 ^ mprj/u_mac_wrap/_08590_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    5.85   clock uncertainty
-                         -2.38    3.47   clock reconvergence pessimism
-                         -0.03    3.44   library hold time
-                                  3.44   data required time
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    7.15 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    7.15 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.16    7.31 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_2_0_0_phy_rx_clk (net)
+                  0.06    0.00    7.31 ^ mprj/u_mac_wrap/clkbuf_3_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.20    0.26    7.57 ^ mprj/u_mac_wrap/clkbuf_3_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_mac_wrap/clknet_3_1_0_phy_rx_clk (net)
+                  0.20    0.00    7.57 ^ mprj/u_mac_wrap/clkbuf_leaf_61_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    7.77 ^ mprj/u_mac_wrap/clkbuf_leaf_61_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    11    0.04                           mprj/u_mac_wrap/clknet_leaf_61_phy_rx_clk (net)
+                  0.06    0.00    7.77 ^ mprj/u_mac_wrap/_08640_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.87   clock uncertainty
+                         -2.53    5.35   clock reconvergence pessimism
+                         -0.03    5.32   library hold time
+                                  5.32   data required time
 -----------------------------------------------------------------------------
-                                  3.44   data required time
-                                 -3.79   data arrival time
+                                  5.32   data required time
+                                 -5.66   data arrival time
 -----------------------------------------------------------------------------
                                   0.34   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_09402_
+Startpoint: mprj/u_mac_wrap/_08713_
+            (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Endpoint: mprj/u_mac_wrap/_08713_
+          (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Path Group: mac_rx_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ mprj_io[12] (inout)
+     1    6.12                           mprj_io[12] (net)
+                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[12] (net)
+                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00    1.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10    1.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00    1.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21    1.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00    1.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11    1.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12    1.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    1.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81    2.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21    2.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42    3.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    3.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15    3.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    3.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32    3.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    3.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28    4.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    4.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21    4.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    4.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16    4.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00    4.41 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15    4.67 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    4.67 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    4.82 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_2_0_0_phy_rx_clk (net)
+                  0.06    0.00    4.82 ^ mprj/u_mac_wrap/clkbuf_3_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.20    0.24    5.06 ^ mprj/u_mac_wrap/clkbuf_3_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_mac_wrap/clknet_3_1_0_phy_rx_clk (net)
+                  0.20    0.01    5.06 ^ mprj/u_mac_wrap/clkbuf_leaf_34_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.18    5.25 ^ mprj/u_mac_wrap/clkbuf_leaf_34_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    12    0.04                           mprj/u_mac_wrap/clknet_leaf_34_phy_rx_clk (net)
+                  0.06    0.00    5.25 ^ mprj/u_mac_wrap/_08713_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.31    5.55 ^ mprj/u_mac_wrap/_08713_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[28][3] (net)
+                  0.06    0.00    5.55 ^ mprj/u_mac_wrap/_05263_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    5.66 ^ mprj/u_mac_wrap/_05263_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00260_ (net)
+                  0.04    0.00    5.66 ^ mprj/u_mac_wrap/_08713_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  5.66   data arrival time
+
+                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
+     1   11.12                           mprj_io[12] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[12] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    7.15 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    7.15 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.16    7.31 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_2_0_0_phy_rx_clk (net)
+                  0.06    0.00    7.31 ^ mprj/u_mac_wrap/clkbuf_3_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.20    0.26    7.57 ^ mprj/u_mac_wrap/clkbuf_3_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_mac_wrap/clknet_3_1_0_phy_rx_clk (net)
+                  0.20    0.01    7.58 ^ mprj/u_mac_wrap/clkbuf_leaf_34_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    7.77 ^ mprj/u_mac_wrap/clkbuf_leaf_34_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    12    0.04                           mprj/u_mac_wrap/clknet_leaf_34_phy_rx_clk (net)
+                  0.06    0.00    7.78 ^ mprj/u_mac_wrap/_08713_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.88   clock uncertainty
+                         -2.53    5.35   clock reconvergence pessimism
+                         -0.03    5.32   library hold time
+                                  5.32   data required time
+-----------------------------------------------------------------------------
+                                  5.32   data required time
+                                 -5.66   data arrival time
+-----------------------------------------------------------------------------
+                                  0.34   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08731_
+            (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Endpoint: mprj/u_mac_wrap/_08731_
+          (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Path Group: mac_rx_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ mprj_io[12] (inout)
+     1    6.12                           mprj_io[12] (net)
+                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[12] (net)
+                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00    1.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10    1.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00    1.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21    1.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00    1.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11    1.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12    1.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    1.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81    2.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21    2.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42    3.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    3.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15    3.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    3.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32    3.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    3.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28    4.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    4.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21    4.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    4.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16    4.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00    4.41 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15    4.67 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    4.67 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    4.82 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_2_0_0_phy_rx_clk (net)
+                  0.06    0.00    4.82 ^ mprj/u_mac_wrap/clkbuf_3_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.20    0.24    5.06 ^ mprj/u_mac_wrap/clkbuf_3_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_mac_wrap/clknet_3_1_0_phy_rx_clk (net)
+                  0.20    0.00    5.06 ^ mprj/u_mac_wrap/clkbuf_leaf_33_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    5.23 ^ mprj/u_mac_wrap/clkbuf_leaf_33_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.02                           mprj/u_mac_wrap/clknet_leaf_33_phy_rx_clk (net)
+                  0.04    0.00    5.23 ^ mprj/u_mac_wrap/_08731_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.30    5.54 ^ mprj/u_mac_wrap/_08731_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[30][3] (net)
+                  0.06    0.00    5.54 ^ mprj/u_mac_wrap/_05283_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    5.65 ^ mprj/u_mac_wrap/_05283_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00278_ (net)
+                  0.04    0.00    5.65 ^ mprj/u_mac_wrap/_08731_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  5.65   data arrival time
+
+                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
+     1   11.12                           mprj_io[12] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[12] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    7.15 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    7.15 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.16    7.31 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_2_0_0_phy_rx_clk (net)
+                  0.06    0.00    7.31 ^ mprj/u_mac_wrap/clkbuf_3_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.20    0.26    7.57 ^ mprj/u_mac_wrap/clkbuf_3_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_mac_wrap/clknet_3_1_0_phy_rx_clk (net)
+                  0.20    0.00    7.58 ^ mprj/u_mac_wrap/clkbuf_leaf_33_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    7.76 ^ mprj/u_mac_wrap/clkbuf_leaf_33_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.02                           mprj/u_mac_wrap/clknet_leaf_33_phy_rx_clk (net)
+                  0.04    0.00    7.76 ^ mprj/u_mac_wrap/_08731_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.86   clock uncertainty
+                         -2.53    5.33   clock reconvergence pessimism
+                         -0.03    5.31   library hold time
+                                  5.31   data required time
+-----------------------------------------------------------------------------
+                                  5.31   data required time
+                                 -5.65   data arrival time
+-----------------------------------------------------------------------------
+                                  0.34   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08641_
+            (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Endpoint: mprj/u_mac_wrap/_08641_
+          (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Path Group: mac_rx_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ mprj_io[12] (inout)
+     1    6.12                           mprj_io[12] (net)
+                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[12] (net)
+                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00    1.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10    1.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00    1.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21    1.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00    1.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11    1.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12    1.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    1.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81    2.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21    2.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42    3.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    3.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15    3.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    3.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32    3.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    3.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28    4.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    4.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21    4.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    4.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16    4.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00    4.41 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15    4.67 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    4.67 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    4.82 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_2_0_0_phy_rx_clk (net)
+                  0.06    0.00    4.82 ^ mprj/u_mac_wrap/clkbuf_3_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.20    0.24    5.06 ^ mprj/u_mac_wrap/clkbuf_3_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_mac_wrap/clknet_3_1_0_phy_rx_clk (net)
+                  0.20    0.00    5.06 ^ mprj/u_mac_wrap/clkbuf_leaf_62_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.18    5.24 ^ mprj/u_mac_wrap/clkbuf_leaf_62_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    11    0.04                           mprj/u_mac_wrap/clknet_leaf_62_phy_rx_clk (net)
+                  0.06    0.00    5.24 ^ mprj/u_mac_wrap/_08641_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.31    5.55 ^ mprj/u_mac_wrap/_08641_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[19][1] (net)
+                  0.06    0.00    5.55 ^ mprj/u_mac_wrap/_05127_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    5.66 ^ mprj/u_mac_wrap/_05127_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00188_ (net)
+                  0.04    0.00    5.66 ^ mprj/u_mac_wrap/_08641_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  5.66   data arrival time
+
+                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
+     1   11.12                           mprj_io[12] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[12] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    7.15 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    7.15 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.16    7.31 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_2_0_0_phy_rx_clk (net)
+                  0.06    0.00    7.31 ^ mprj/u_mac_wrap/clkbuf_3_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.20    0.26    7.57 ^ mprj/u_mac_wrap/clkbuf_3_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_mac_wrap/clknet_3_1_0_phy_rx_clk (net)
+                  0.20    0.00    7.57 ^ mprj/u_mac_wrap/clkbuf_leaf_62_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    7.77 ^ mprj/u_mac_wrap/clkbuf_leaf_62_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    11    0.04                           mprj/u_mac_wrap/clknet_leaf_62_phy_rx_clk (net)
+                  0.06    0.00    7.77 ^ mprj/u_mac_wrap/_08641_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.87   clock uncertainty
+                         -2.53    5.34   clock reconvergence pessimism
+                         -0.03    5.32   library hold time
+                                  5.32   data required time
+-----------------------------------------------------------------------------
+                                  5.32   data required time
+                                 -5.66   data arrival time
+-----------------------------------------------------------------------------
+                                  0.34   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08601_
+            (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Endpoint: mprj/u_mac_wrap/_08601_
+          (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Path Group: mac_rx_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ mprj_io[12] (inout)
+     1    6.12                           mprj_io[12] (net)
+                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[12] (net)
+                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00    1.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10    1.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00    1.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21    1.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00    1.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11    1.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12    1.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    1.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81    2.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21    2.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42    3.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    3.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15    3.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    3.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32    3.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    3.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28    4.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    4.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21    4.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    4.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16    4.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00    4.41 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15    4.67 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    4.67 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    4.82 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_2_0_0_phy_rx_clk (net)
+                  0.06    0.00    4.82 ^ mprj/u_mac_wrap/clkbuf_3_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.20    0.24    5.06 ^ mprj/u_mac_wrap/clkbuf_3_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_mac_wrap/clknet_3_1_0_phy_rx_clk (net)
+                  0.20    0.00    5.06 ^ mprj/u_mac_wrap/clkbuf_leaf_63_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.18    5.24 ^ mprj/u_mac_wrap/clkbuf_leaf_63_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.03                           mprj/u_mac_wrap/clknet_leaf_63_phy_rx_clk (net)
+                  0.05    0.00    5.24 ^ mprj/u_mac_wrap/_08601_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.30    5.54 ^ mprj/u_mac_wrap/_08601_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[20][3] (net)
+                  0.06    0.00    5.54 ^ mprj/u_mac_wrap/_05064_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    5.65 ^ mprj/u_mac_wrap/_05064_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00155_ (net)
+                  0.04    0.00    5.65 ^ mprj/u_mac_wrap/_08601_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  5.65   data arrival time
+
+                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
+     1   11.12                           mprj_io[12] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[12] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    7.15 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    7.15 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.16    7.31 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_2_0_0_phy_rx_clk (net)
+                  0.06    0.00    7.31 ^ mprj/u_mac_wrap/clkbuf_3_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.20    0.26    7.57 ^ mprj/u_mac_wrap/clkbuf_3_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_mac_wrap/clknet_3_1_0_phy_rx_clk (net)
+                  0.20    0.00    7.57 ^ mprj/u_mac_wrap/clkbuf_leaf_63_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.19    7.76 ^ mprj/u_mac_wrap/clkbuf_leaf_63_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.03                           mprj/u_mac_wrap/clknet_leaf_63_phy_rx_clk (net)
+                  0.05    0.00    7.76 ^ mprj/u_mac_wrap/_08601_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.86   clock uncertainty
+                         -2.53    5.34   clock reconvergence pessimism
+                         -0.03    5.31   library hold time
+                                  5.31   data required time
+-----------------------------------------------------------------------------
+                                  5.31   data required time
+                                 -5.65   data arrival time
+-----------------------------------------------------------------------------
+                                  0.34   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08766_
+            (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Endpoint: mprj/u_mac_wrap/_08766_
+          (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Path Group: mac_rx_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ mprj_io[12] (inout)
+     1    6.12                           mprj_io[12] (net)
+                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[12] (net)
+                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00    1.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10    1.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00    1.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21    1.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00    1.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11    1.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12    1.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    1.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81    2.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21    2.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42    3.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    3.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15    3.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    3.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32    3.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    3.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28    4.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    4.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21    4.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    4.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16    4.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00    4.41 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15    4.67 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    4.67 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    4.82 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_2_0_0_phy_rx_clk (net)
+                  0.06    0.00    4.82 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.23    0.26    5.08 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.13                           mprj/u_mac_wrap/clknet_3_0_0_phy_rx_clk (net)
+                  0.23    0.00    5.08 ^ mprj/u_mac_wrap/clkbuf_leaf_55_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.27 ^ mprj/u_mac_wrap/clkbuf_leaf_55_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_mac_wrap/clknet_leaf_55_phy_rx_clk (net)
+                  0.06    0.00    5.27 ^ mprj/u_mac_wrap/_08766_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.31    5.58 ^ mprj/u_mac_wrap/_08766_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[5][0] (net)
+                  0.06    0.00    5.58 ^ mprj/u_mac_wrap/_05571_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    5.69 ^ mprj/u_mac_wrap/_05571_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00309_ (net)
+                  0.04    0.00    5.69 ^ mprj/u_mac_wrap/_08766_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  5.69   data arrival time
+
+                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
+     1   11.12                           mprj_io[12] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[12] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    7.15 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    7.15 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.16    7.31 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_2_0_0_phy_rx_clk (net)
+                  0.06    0.00    7.31 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.23    0.28    7.59 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.13                           mprj/u_mac_wrap/clknet_3_0_0_phy_rx_clk (net)
+                  0.23    0.00    7.59 ^ mprj/u_mac_wrap/clkbuf_leaf_55_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    7.80 ^ mprj/u_mac_wrap/clkbuf_leaf_55_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_mac_wrap/clknet_leaf_55_phy_rx_clk (net)
+                  0.06    0.00    7.80 ^ mprj/u_mac_wrap/_08766_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.90   clock uncertainty
+                         -2.53    5.37   clock reconvergence pessimism
+                         -0.03    5.34   library hold time
+                                  5.34   data required time
+-----------------------------------------------------------------------------
+                                  5.34   data required time
+                                 -5.69   data arrival time
+-----------------------------------------------------------------------------
+                                  0.34   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08587_
+            (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Endpoint: mprj/u_mac_wrap/_08587_
+          (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Path Group: mac_rx_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ mprj_io[12] (inout)
+     1    6.12                           mprj_io[12] (net)
+                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[12] (net)
+                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00    1.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10    1.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00    1.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21    1.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00    1.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11    1.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12    1.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    1.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81    2.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21    2.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42    3.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    3.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    3.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15    3.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    3.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32    3.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    3.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28    4.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    4.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21    4.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    4.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16    4.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00    4.41 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    4.52 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15    4.67 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    4.67 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    4.82 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_2_0_0_phy_rx_clk (net)
+                  0.06    0.00    4.82 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.23    0.26    5.08 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.13                           mprj/u_mac_wrap/clknet_3_0_0_phy_rx_clk (net)
+                  0.23    0.00    5.08 ^ mprj/u_mac_wrap/clkbuf_leaf_53_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.28 ^ mprj/u_mac_wrap/clkbuf_leaf_53_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    13    0.04                           mprj/u_mac_wrap/clknet_leaf_53_phy_rx_clk (net)
+                  0.06    0.00    5.28 ^ mprj/u_mac_wrap/_08587_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.31    5.59 ^ mprj/u_mac_wrap/_08587_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_rxfifo.mem[1][7] (net)
+                  0.06    0.00    5.59 ^ mprj/u_mac_wrap/_05047_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.03    0.11    5.69 ^ mprj/u_mac_wrap/_05047_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00141_ (net)
+                  0.03    0.00    5.69 ^ mprj/u_mac_wrap/_08587_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  5.69   data arrival time
+
+                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
+     1   11.12                           mprj_io[12] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[12] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    7.15 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00    7.15 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.16    7.31 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_2_0_0_phy_rx_clk (net)
+                  0.06    0.00    7.31 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.23    0.28    7.59 ^ mprj/u_mac_wrap/clkbuf_3_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.13                           mprj/u_mac_wrap/clknet_3_0_0_phy_rx_clk (net)
+                  0.23    0.00    7.60 ^ mprj/u_mac_wrap/clkbuf_leaf_53_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    7.81 ^ mprj/u_mac_wrap/clkbuf_leaf_53_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    13    0.04                           mprj/u_mac_wrap/clknet_leaf_53_phy_rx_clk (net)
+                  0.06    0.00    7.81 ^ mprj/u_mac_wrap/_08587_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.91   clock uncertainty
+                         -2.53    5.38   clock reconvergence pessimism
+                         -0.03    5.35   library hold time
+                                  5.35   data required time
+-----------------------------------------------------------------------------
+                                  5.35   data required time
+                                 -5.69   data arrival time
+-----------------------------------------------------------------------------
+                                  0.34   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08764_
             (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_09292_
+Endpoint: mprj/u_mac_wrap/_08763_
           (rising edge-triggered flip-flop clocked by mac_tx_clk)
 Path Group: mac_tx_clk
 Path Type: min
@@ -51560,29 +58607,47 @@
                   0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21    1.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00    1.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15    1.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    1.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37    2.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    2.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32    2.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    2.60 ^ mprj/u_mac_wrap/clkbuf_4_3_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.12    0.22    2.82 ^ mprj/u_mac_wrap/clkbuf_4_3_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    28    0.07                           mprj/u_mac_wrap/clknet_4_3_0_phy_tx_clk (net)
-                  0.12    0.00    2.82 ^ mprj/u_mac_wrap/_09402_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.06    0.34    3.16 ^ mprj/u_mac_wrap/_09402_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_fsm.U_dble_reg4.d_sync_out (net)
-                  0.06    0.00    3.16 ^ mprj/u_mac_wrap/_06595_/C1 (sky130_fd_sc_hd__a211o_1)
-                  0.04    0.09    3.25 ^ mprj/u_mac_wrap/_06595_/X (sky130_fd_sc_hd__a211o_1)
-     1    0.00                           mprj/u_mac_wrap/_00801_ (net)
-                  0.04    0.00    3.25 ^ mprj/u_mac_wrap/_09292_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  3.25   data arrival time
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15    1.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    1.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27    1.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    1.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43    2.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09    2.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34    2.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    2.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15    3.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00    3.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42    3.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    3.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34    3.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    3.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20    4.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    4.13 ^ mprj/u_mac_wrap/clkbuf_4_6_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.11    0.18    4.30 ^ mprj/u_mac_wrap/clkbuf_4_6_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.06                           mprj/u_mac_wrap/clknet_4_6_0_phy_tx_clk (net)
+                  0.11    0.00    4.31 ^ mprj/u_mac_wrap/_08764_/CLK (sky130_fd_sc_hd__dfrtp_4)
+                  0.11    0.45    4.76 ^ mprj/u_mac_wrap/_08764_/Q (sky130_fd_sc_hd__dfrtp_4)
+     3    0.03                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_fsm.set_pad_byte (net)
+                  0.11    0.00    4.76 ^ mprj/u_mac_wrap/_04576_/A1 (sky130_fd_sc_hd__a211o_2)
+                  0.13    0.20    4.96 ^ mprj/u_mac_wrap/_04576_/X (sky130_fd_sc_hd__a211o_2)
+     7    0.03                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_fsm.strt_fcs (net)
+                  0.13    0.00    4.97 ^ mprj/u_mac_wrap/_04578_/A1 (sky130_fd_sc_hd__o21a_1)
+                  0.05    0.14    5.11 ^ mprj/u_mac_wrap/_04578_/X (sky130_fd_sc_hd__o21a_1)
+     1    0.00                           mprj/u_mac_wrap/_00005_ (net)
+                  0.05    0.00    5.11 ^ mprj/u_mac_wrap/_08763_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  5.11   data arrival time
 
                           0.00    0.00   clock mac_tx_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -51601,36 +58666,1807 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.91 ^ mprj/u_mac_wrap/clkbuf_4_3_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.12    0.24    5.15 ^ mprj/u_mac_wrap/clkbuf_4_3_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    28    0.07                           mprj/u_mac_wrap/clknet_4_3_0_phy_tx_clk (net)
-                  0.12    0.00    5.16 ^ mprj/u_mac_wrap/_09292_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    5.26   clock uncertainty
-                         -2.33    2.92   clock reconvergence pessimism
-                         -0.02    2.90   library hold time
-                                  2.90   data required time
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.01 ^ mprj/u_mac_wrap/clkbuf_4_3_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.10    0.23    7.24 ^ mprj/u_mac_wrap/clkbuf_4_3_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    22    0.05                           mprj/u_mac_wrap/clknet_4_3_0_phy_tx_clk (net)
+                  0.10    0.00    7.24 ^ mprj/u_mac_wrap/_08763_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    7.34   clock uncertainty
+                         -2.44    4.91   clock reconvergence pessimism
+                         -0.03    4.88   library hold time
+                                  4.88   data required time
 -----------------------------------------------------------------------------
-                                  2.90   data required time
-                                 -3.25   data arrival time
+                                  4.88   data required time
+                                 -5.11   data arrival time
+-----------------------------------------------------------------------------
+                                  0.23   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09347_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj/u_mac_wrap/_09349_
+          (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Path Group: mac_tx_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1    6.12                           mprj_io[5] (net)
+                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[5] (net)
+                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    1.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10    1.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    1.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21    1.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15    1.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    1.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27    1.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    1.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43    2.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09    2.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34    2.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    2.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15    3.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00    3.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42    3.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    3.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34    3.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    3.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20    4.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    4.13 ^ mprj/u_mac_wrap/clkbuf_4_6_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.11    0.18    4.30 ^ mprj/u_mac_wrap/clkbuf_4_6_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.06                           mprj/u_mac_wrap/clknet_4_6_0_phy_tx_clk (net)
+                  0.11    0.00    4.31 ^ mprj/u_mac_wrap/_09347_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.14    0.40    4.70 ^ mprj/u_mac_wrap/_09347_/Q (sky130_fd_sc_hd__dfrtp_1)
+     5    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_fsm.tx_byte_cntr[4] (net)
+                  0.14    0.00    4.70 ^ mprj/u_mac_wrap/_06671_/B (sky130_fd_sc_hd__and3_1)
+                  0.11    0.21    4.91 ^ mprj/u_mac_wrap/_06671_/X (sky130_fd_sc_hd__and3_1)
+     3    0.01                           mprj/u_mac_wrap/_03156_ (net)
+                  0.11    0.00    4.91 ^ mprj/u_mac_wrap/_06674_/B (sky130_fd_sc_hd__and3_1)
+                  0.05    0.15    5.07 ^ mprj/u_mac_wrap/_06674_/X (sky130_fd_sc_hd__and3_1)
+     1    0.00                           mprj/u_mac_wrap/_03159_ (net)
+                  0.05    0.00    5.07 ^ mprj/u_mac_wrap/_06676_/B1 (sky130_fd_sc_hd__a21o_1)
+                  0.03    0.07    5.14 ^ mprj/u_mac_wrap/_06676_/X (sky130_fd_sc_hd__a21o_1)
+     1    0.00                           mprj/u_mac_wrap/_00808_ (net)
+                  0.03    0.00    5.14 ^ mprj/u_mac_wrap/_09349_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  5.14   data arrival time
+
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1   11.12                           mprj_io[5] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[5] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.01 ^ mprj/u_mac_wrap/clkbuf_4_3_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.10    0.23    7.24 ^ mprj/u_mac_wrap/clkbuf_4_3_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    22    0.05                           mprj/u_mac_wrap/clknet_4_3_0_phy_tx_clk (net)
+                  0.10    0.00    7.24 ^ mprj/u_mac_wrap/_09349_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    7.34   clock uncertainty
+                         -2.44    4.91   clock reconvergence pessimism
+                         -0.02    4.88   library hold time
+                                  4.88   data required time
+-----------------------------------------------------------------------------
+                                  4.88   data required time
+                                 -5.14   data arrival time
+-----------------------------------------------------------------------------
+                                  0.26   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09004_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj/u_mac_wrap/_08762_
+          (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Path Group: mac_tx_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1    6.12                           mprj_io[5] (net)
+                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[5] (net)
+                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    1.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10    1.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    1.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21    1.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15    1.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    1.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27    1.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    1.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43    2.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09    2.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34    2.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    2.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15    3.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00    3.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42    3.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    3.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34    3.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    3.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20    4.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    4.12 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.17    4.29 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    4.29 ^ mprj/u_mac_wrap/clkbuf_4_14_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.17    4.46 ^ mprj/u_mac_wrap/clkbuf_4_14_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     9    0.03                           mprj/u_mac_wrap/clknet_4_14_0_phy_tx_clk (net)
+                  0.07    0.00    4.46 ^ mprj/u_mac_wrap/_09004_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.34    4.81 ^ mprj/u_mac_wrap/_09004_/Q (sky130_fd_sc_hd__dfrtp_1)
+     3    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_deferral.curr_dfl_st[4] (net)
+                  0.08    0.00    4.81 ^ mprj/u_mac_wrap/_04592_/A (sky130_fd_sc_hd__or3b_1)
+                  0.07    0.13    4.94 ^ mprj/u_mac_wrap/_04592_/X (sky130_fd_sc_hd__or3b_1)
+     2    0.01                           mprj/u_mac_wrap/_01897_ (net)
+                  0.07    0.00    4.94 ^ mprj/u_mac_wrap/_04593_/B (sky130_fd_sc_hd__or2_1)
+                  0.04    0.10    5.03 ^ mprj/u_mac_wrap/_04593_/X (sky130_fd_sc_hd__or2_1)
+     1    0.00                           mprj/u_mac_wrap/_01898_ (net)
+                  0.04    0.00    5.03 ^ mprj/u_mac_wrap/_04594_/A2 (sky130_fd_sc_hd__a211o_1)
+                  0.04    0.11    5.14 ^ mprj/u_mac_wrap/_04594_/X (sky130_fd_sc_hd__a211o_1)
+     1    0.00                           mprj/u_mac_wrap/_00004_ (net)
+                  0.04    0.00    5.14 ^ mprj/u_mac_wrap/_08762_/D (sky130_fd_sc_hd__dfstp_1)
+                                  5.14   data arrival time
+
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1   11.12                           mprj_io[5] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[5] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.01 ^ mprj/u_mac_wrap/clkbuf_4_3_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.10    0.23    7.24 ^ mprj/u_mac_wrap/clkbuf_4_3_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    22    0.05                           mprj/u_mac_wrap/clknet_4_3_0_phy_tx_clk (net)
+                  0.10    0.00    7.24 ^ mprj/u_mac_wrap/_08762_/CLK (sky130_fd_sc_hd__dfstp_1)
+                          0.10    7.34   clock uncertainty
+                         -2.45    4.89   clock reconvergence pessimism
+                         -0.03    4.87   library hold time
+                                  4.87   data required time
+-----------------------------------------------------------------------------
+                                  4.87   data required time
+                                 -5.14   data arrival time
+-----------------------------------------------------------------------------
+                                  0.27   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09347_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj/u_mac_wrap/_09350_
+          (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Path Group: mac_tx_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1    6.12                           mprj_io[5] (net)
+                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[5] (net)
+                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    1.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10    1.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    1.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21    1.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15    1.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    1.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27    1.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    1.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43    2.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09    2.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34    2.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    2.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15    3.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00    3.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42    3.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    3.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34    3.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    3.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20    4.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    4.13 ^ mprj/u_mac_wrap/clkbuf_4_6_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.11    0.18    4.30 ^ mprj/u_mac_wrap/clkbuf_4_6_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.06                           mprj/u_mac_wrap/clknet_4_6_0_phy_tx_clk (net)
+                  0.11    0.00    4.31 ^ mprj/u_mac_wrap/_09347_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.14    0.40    4.70 ^ mprj/u_mac_wrap/_09347_/Q (sky130_fd_sc_hd__dfrtp_1)
+     5    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_fsm.tx_byte_cntr[4] (net)
+                  0.14    0.00    4.70 ^ mprj/u_mac_wrap/_06671_/B (sky130_fd_sc_hd__and3_1)
+                  0.11    0.21    4.91 ^ mprj/u_mac_wrap/_06671_/X (sky130_fd_sc_hd__and3_1)
+     3    0.01                           mprj/u_mac_wrap/_03156_ (net)
+                  0.11    0.00    4.91 ^ mprj/u_mac_wrap/_06677_/C (sky130_fd_sc_hd__and3_1)
+                  0.05    0.15    5.07 ^ mprj/u_mac_wrap/_06677_/X (sky130_fd_sc_hd__and3_1)
+     1    0.00                           mprj/u_mac_wrap/_03161_ (net)
+                  0.05    0.00    5.07 ^ mprj/u_mac_wrap/_06678_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    5.17 ^ mprj/u_mac_wrap/_06678_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00809_ (net)
+                  0.04    0.00    5.17 ^ mprj/u_mac_wrap/_09350_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  5.17   data arrival time
+
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1   11.12                           mprj_io[5] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[5] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.01 ^ mprj/u_mac_wrap/clkbuf_4_3_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.10    0.23    7.24 ^ mprj/u_mac_wrap/clkbuf_4_3_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    22    0.05                           mprj/u_mac_wrap/clknet_4_3_0_phy_tx_clk (net)
+                  0.10    0.00    7.24 ^ mprj/u_mac_wrap/_09350_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    7.34   clock uncertainty
+                         -2.44    4.91   clock reconvergence pessimism
+                         -0.03    4.88   library hold time
+                                  4.88   data required time
+-----------------------------------------------------------------------------
+                                  4.88   data required time
+                                 -5.17   data arrival time
+-----------------------------------------------------------------------------
+                                  0.29   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09399_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj/u_mac_wrap/_09401_
+          (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Path Group: mac_tx_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1    6.12                           mprj_io[5] (net)
+                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[5] (net)
+                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    1.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10    1.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    1.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21    1.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15    1.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    1.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27    1.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    1.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43    2.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09    2.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34    2.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    2.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15    3.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00    3.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42    3.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    3.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34    3.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    3.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20    4.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    4.13 ^ mprj/u_mac_wrap/clkbuf_4_6_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.11    0.18    4.30 ^ mprj/u_mac_wrap/clkbuf_4_6_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.06                           mprj/u_mac_wrap/clknet_4_6_0_phy_tx_clk (net)
+                  0.11    0.00    4.31 ^ mprj/u_mac_wrap/_09399_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.36    4.66 ^ mprj/u_mac_wrap/_09399_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_crc32.gen_crc (net)
+                  0.08    0.00    4.66 ^ mprj/u_mac_wrap/_06760_/B (sky130_fd_sc_hd__nand2b_2)
+                  0.13    0.14    4.80 v mprj/u_mac_wrap/_06760_/Y (sky130_fd_sc_hd__nand2b_2)
+     8    0.03                           mprj/u_mac_wrap/_03203_ (net)
+                  0.13    0.00    4.80 v mprj/u_mac_wrap/fanout240/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.11    0.21    5.01 v mprj/u_mac_wrap/fanout240/X (sky130_fd_sc_hd__clkbuf_2)
+     6    0.03                           mprj/u_mac_wrap/net240 (net)
+                  0.11    0.00    5.01 v mprj/u_mac_wrap/_06766_/B1 (sky130_fd_sc_hd__o22a_1)
+                  0.04    0.20    5.20 v mprj/u_mac_wrap/_06766_/X (sky130_fd_sc_hd__o22a_1)
+     1    0.00                           mprj/u_mac_wrap/_00851_ (net)
+                  0.04    0.00    5.20 v mprj/u_mac_wrap/_09401_/D (sky130_fd_sc_hd__dfstp_2)
+                                  5.20   data arrival time
+
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1   11.12                           mprj_io[5] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[5] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.01 ^ mprj/u_mac_wrap/clkbuf_4_4_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.21    7.22 ^ mprj/u_mac_wrap/clkbuf_4_4_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.03                           mprj/u_mac_wrap/clknet_4_4_0_phy_tx_clk (net)
+                  0.07    0.00    7.22 ^ mprj/u_mac_wrap/_09401_/CLK (sky130_fd_sc_hd__dfstp_2)
+                          0.10    7.32   clock uncertainty
+                         -2.44    4.88   clock reconvergence pessimism
+                          0.00    4.88   library hold time
+                                  4.88   data required time
+-----------------------------------------------------------------------------
+                                  4.88   data required time
+                                 -5.20   data arrival time
+-----------------------------------------------------------------------------
+                                  0.32   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09452_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj/u_mac_wrap/_09342_
+          (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Path Group: mac_tx_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1    6.12                           mprj_io[5] (net)
+                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[5] (net)
+                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    1.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10    1.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    1.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21    1.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15    1.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    1.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27    1.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    1.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43    2.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09    2.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34    2.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    2.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15    3.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00    3.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42    3.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    3.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34    3.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    3.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20    4.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    4.12 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.17    4.29 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    4.29 ^ mprj/u_mac_wrap/clkbuf_4_14_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.17    4.46 ^ mprj/u_mac_wrap/clkbuf_4_14_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     9    0.03                           mprj/u_mac_wrap/clknet_4_14_0_phy_tx_clk (net)
+                  0.07    0.00    4.46 ^ mprj/u_mac_wrap/_09452_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.05    0.31    4.78 ^ mprj/u_mac_wrap/_09452_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_fsm.U_dble_reg4.d_sync_out (net)
+                  0.05    0.00    4.78 ^ mprj/u_mac_wrap/_06653_/C1 (sky130_fd_sc_hd__a211o_1)
+                  0.04    0.08    4.86 ^ mprj/u_mac_wrap/_06653_/X (sky130_fd_sc_hd__a211o_1)
+     1    0.00                           mprj/u_mac_wrap/_00801_ (net)
+                  0.04    0.00    4.86 ^ mprj/u_mac_wrap/_09342_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  4.86   data arrival time
+
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1   11.12                           mprj_io[5] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[5] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/clkbuf_4_14_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.18    6.93 ^ mprj/u_mac_wrap/clkbuf_4_14_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     9    0.03                           mprj/u_mac_wrap/clknet_4_14_0_phy_tx_clk (net)
+                  0.07    0.00    6.93 ^ mprj/u_mac_wrap/_09342_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    7.03   clock uncertainty
+                         -2.47    4.56   clock reconvergence pessimism
+                         -0.03    4.53   library hold time
+                                  4.53   data required time
+-----------------------------------------------------------------------------
+                                  4.53   data required time
+                                 -4.86   data arrival time
+-----------------------------------------------------------------------------
+                                  0.32   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09326_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj/u_mac_wrap/_08891_
+          (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Path Group: mac_tx_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1    6.12                           mprj_io[5] (net)
+                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[5] (net)
+                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    1.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10    1.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    1.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21    1.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15    1.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    1.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27    1.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    1.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43    2.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09    2.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34    2.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    2.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15    3.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00    3.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42    3.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    3.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34    3.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    3.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20    4.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    4.12 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.17    4.29 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    4.29 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.24    4.53 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    4.54 ^ mprj/u_mac_wrap/clkbuf_4_3_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.10    0.22    4.76 ^ mprj/u_mac_wrap/clkbuf_4_3_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    22    0.05                           mprj/u_mac_wrap/clknet_4_3_0_phy_tx_clk (net)
+                  0.10    0.00    4.76 ^ mprj/u_mac_wrap/_09326_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.06    0.34    5.09 ^ mprj/u_mac_wrap/_09326_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_fsm.tx_sts_vld (net)
+                  0.06    0.00    5.09 ^ mprj/u_mac_wrap/_05703_/B (sky130_fd_sc_hd__xor2_1)
+                  0.04    0.06    5.15 v mprj/u_mac_wrap/_05703_/X (sky130_fd_sc_hd__xor2_1)
+     1    0.00                           mprj/u_mac_wrap/_00422_ (net)
+                  0.04    0.00    5.15 v mprj/u_mac_wrap/_08891_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  5.15   data arrival time
+
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1   11.12                           mprj_io[5] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[5] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.01 ^ mprj/u_mac_wrap/clkbuf_4_3_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.10    0.23    7.24 ^ mprj/u_mac_wrap/clkbuf_4_3_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    22    0.05                           mprj/u_mac_wrap/clknet_4_3_0_phy_tx_clk (net)
+                  0.10    0.00    7.24 ^ mprj/u_mac_wrap/_08891_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    7.34   clock uncertainty
+                         -2.49    4.86   clock reconvergence pessimism
+                         -0.03    4.83   library hold time
+                                  4.83   data required time
+-----------------------------------------------------------------------------
+                                  4.83   data required time
+                                 -5.15   data arrival time
+-----------------------------------------------------------------------------
+                                  0.33   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09874_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj/u_mac_wrap/_09446_
+          (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Path Group: mac_tx_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1    6.12                           mprj_io[5] (net)
+                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[5] (net)
+                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    1.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10    1.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    1.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21    1.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15    1.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    1.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27    1.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    1.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43    2.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09    2.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34    2.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    2.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15    3.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00    3.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42    3.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    3.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34    3.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    3.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20    4.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    4.12 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.17    4.29 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    4.29 ^ mprj/u_mac_wrap/clkbuf_4_15_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.17    4.47 ^ mprj/u_mac_wrap/clkbuf_4_15_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     9    0.03                           mprj/u_mac_wrap/clknet_4_15_0_phy_tx_clk (net)
+                  0.07    0.00    4.47 ^ mprj/u_mac_wrap/_09874_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.04    0.35    4.82 v mprj/u_mac_wrap/_09874_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_fsm.mi2tx_byte_ack (net)
+                  0.04    0.00    4.82 v mprj/u_mac_wrap/fanout418/A (sky130_fd_sc_hd__buf_2)
+                  0.09    0.17    4.99 v mprj/u_mac_wrap/fanout418/X (sky130_fd_sc_hd__buf_2)
+    10    0.03                           mprj/u_mac_wrap/net418 (net)
+                  0.09    0.00    4.99 v mprj/u_mac_wrap/_04571_/C (sky130_fd_sc_hd__nand4_1)
+                  0.11    0.15    5.14 ^ mprj/u_mac_wrap/_04571_/Y (sky130_fd_sc_hd__nand4_1)
+     2    0.01                           mprj/u_mac_wrap/_01880_ (net)
+                  0.11    0.00    5.14 ^ mprj/u_mac_wrap/_07123_/B1 (sky130_fd_sc_hd__o21ai_1)
+                  0.03    0.07    5.21 v mprj/u_mac_wrap/_07123_/Y (sky130_fd_sc_hd__o21ai_1)
+     1    0.00                           mprj/u_mac_wrap/_00894_ (net)
+                  0.03    0.00    5.21 v mprj/u_mac_wrap/_09446_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  5.21   data arrival time
+
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1   11.12                           mprj_io[5] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[5] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.01 ^ mprj/u_mac_wrap/clkbuf_4_3_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.10    0.23    7.24 ^ mprj/u_mac_wrap/clkbuf_4_3_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    22    0.05                           mprj/u_mac_wrap/clknet_4_3_0_phy_tx_clk (net)
+                  0.10    0.00    7.24 ^ mprj/u_mac_wrap/_09446_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    7.34   clock uncertainty
+                         -2.45    4.89   clock reconvergence pessimism
+                         -0.02    4.87   library hold time
+                                  4.87   data required time
+-----------------------------------------------------------------------------
+                                  4.87   data required time
+                                 -5.21   data arrival time
+-----------------------------------------------------------------------------
+                                  0.34   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09399_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj/u_mac_wrap/_09400_
+          (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Path Group: mac_tx_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1    6.12                           mprj_io[5] (net)
+                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[5] (net)
+                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    1.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10    1.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    1.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21    1.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15    1.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    1.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27    1.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    1.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43    2.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09    2.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34    2.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    2.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15    3.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00    3.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42    3.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    3.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34    3.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    3.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20    4.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    4.13 ^ mprj/u_mac_wrap/clkbuf_4_6_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.11    0.18    4.30 ^ mprj/u_mac_wrap/clkbuf_4_6_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.06                           mprj/u_mac_wrap/clknet_4_6_0_phy_tx_clk (net)
+                  0.11    0.00    4.31 ^ mprj/u_mac_wrap/_09399_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.36    4.66 ^ mprj/u_mac_wrap/_09399_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_crc32.gen_crc (net)
+                  0.08    0.00    4.66 ^ mprj/u_mac_wrap/_06760_/B (sky130_fd_sc_hd__nand2b_2)
+                  0.13    0.14    4.80 v mprj/u_mac_wrap/_06760_/Y (sky130_fd_sc_hd__nand2b_2)
+     8    0.03                           mprj/u_mac_wrap/_03203_ (net)
+                  0.13    0.00    4.80 v mprj/u_mac_wrap/fanout239/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.11    0.24    5.04 v mprj/u_mac_wrap/fanout239/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.05                           mprj/u_mac_wrap/net239 (net)
+                  0.11    0.00    5.04 v mprj/u_mac_wrap/_06761_/B2 (sky130_fd_sc_hd__o22a_1)
+                  0.04    0.18    5.22 v mprj/u_mac_wrap/_06761_/X (sky130_fd_sc_hd__o22a_1)
+     1    0.00                           mprj/u_mac_wrap/_00850_ (net)
+                  0.04    0.00    5.22 v mprj/u_mac_wrap/_09400_/D (sky130_fd_sc_hd__dfstp_2)
+                                  5.22   data arrival time
+
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1   11.12                           mprj_io[5] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[5] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.01 ^ mprj/u_mac_wrap/clkbuf_4_4_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.21    7.22 ^ mprj/u_mac_wrap/clkbuf_4_4_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.03                           mprj/u_mac_wrap/clknet_4_4_0_phy_tx_clk (net)
+                  0.07    0.00    7.22 ^ mprj/u_mac_wrap/_09400_/CLK (sky130_fd_sc_hd__dfstp_2)
+                          0.10    7.32   clock uncertainty
+                         -2.44    4.88   clock reconvergence pessimism
+                          0.00    4.88   library hold time
+                                  4.88   data required time
+-----------------------------------------------------------------------------
+                                  4.88   data required time
+                                 -5.22   data arrival time
+-----------------------------------------------------------------------------
+                                  0.34   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09874_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj/u_mac_wrap/_09326_
+          (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Path Group: mac_tx_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1    6.12                           mprj_io[5] (net)
+                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[5] (net)
+                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    1.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10    1.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    1.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21    1.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15    1.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    1.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27    1.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    1.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43    2.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09    2.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34    2.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    2.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15    3.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00    3.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42    3.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    3.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34    3.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    3.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20    4.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    4.12 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.17    4.29 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    4.29 ^ mprj/u_mac_wrap/clkbuf_4_15_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.17    4.47 ^ mprj/u_mac_wrap/clkbuf_4_15_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     9    0.03                           mprj/u_mac_wrap/clknet_4_15_0_phy_tx_clk (net)
+                  0.07    0.00    4.47 ^ mprj/u_mac_wrap/_09874_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.05    0.32    4.78 ^ mprj/u_mac_wrap/_09874_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_fsm.mi2tx_byte_ack (net)
+                  0.05    0.00    4.78 ^ mprj/u_mac_wrap/fanout418/A (sky130_fd_sc_hd__buf_2)
+                  0.17    0.19    4.98 ^ mprj/u_mac_wrap/fanout418/X (sky130_fd_sc_hd__buf_2)
+    10    0.03                           mprj/u_mac_wrap/net418 (net)
+                  0.17    0.00    4.98 ^ mprj/u_mac_wrap/_04542_/B2 (sky130_fd_sc_hd__a32o_2)
+                  0.13    0.22    5.19 ^ mprj/u_mac_wrap/_04542_/X (sky130_fd_sc_hd__a32o_2)
+     5    0.02                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_fsm.e_tx_sts_vld (net)
+                  0.13    0.00    5.19 ^ mprj/u_mac_wrap/_09326_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  5.19   data arrival time
+
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1   11.12                           mprj_io[5] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[5] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.01 ^ mprj/u_mac_wrap/clkbuf_4_3_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.10    0.23    7.24 ^ mprj/u_mac_wrap/clkbuf_4_3_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    22    0.05                           mprj/u_mac_wrap/clknet_4_3_0_phy_tx_clk (net)
+                  0.10    0.00    7.24 ^ mprj/u_mac_wrap/_09326_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    7.34   clock uncertainty
+                         -2.45    4.89   clock reconvergence pessimism
+                         -0.04    4.85   library hold time
+                                  4.85   data required time
+-----------------------------------------------------------------------------
+                                  4.85   data required time
+                                 -5.19   data arrival time
 -----------------------------------------------------------------------------
                                   0.35   slack (MET)
 
 
+Startpoint: mprj/u_mac_wrap/_09882_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj/u_mac_wrap/_09882_
+          (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Path Group: mac_tx_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1    6.12                           mprj_io[5] (net)
+                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[5] (net)
+                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    1.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10    1.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    1.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21    1.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15    1.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    1.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27    1.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    1.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43    2.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09    2.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34    2.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    2.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15    3.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00    3.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42    3.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    3.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34    3.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    3.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20    4.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    4.12 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.17    4.29 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    4.29 ^ mprj/u_mac_wrap/clkbuf_4_15_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.17    4.47 ^ mprj/u_mac_wrap/clkbuf_4_15_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     9    0.03                           mprj/u_mac_wrap/clknet_4_15_0_phy_tx_clk (net)
+                  0.07    0.00    4.47 ^ mprj/u_mac_wrap/_09882_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.34    4.81 ^ mprj/u_mac_wrap/_09882_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_mii_intf.tx_preamble_cnt_val[2] (net)
+                  0.08    0.00    4.81 ^ mprj/u_mac_wrap/_07870_/A (sky130_fd_sc_hd__xor2_1)
+                  0.04    0.07    4.88 v mprj/u_mac_wrap/_07870_/X (sky130_fd_sc_hd__xor2_1)
+     1    0.00                           mprj/u_mac_wrap/_01274_ (net)
+                  0.04    0.00    4.88 v mprj/u_mac_wrap/_09882_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  4.88   data arrival time
+
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1   11.12                           mprj_io[5] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[5] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/clkbuf_4_15_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.18    6.93 ^ mprj/u_mac_wrap/clkbuf_4_15_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     9    0.03                           mprj/u_mac_wrap/clknet_4_15_0_phy_tx_clk (net)
+                  0.07    0.00    6.93 ^ mprj/u_mac_wrap/_09882_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    7.03   clock uncertainty
+                         -2.47    4.57   clock reconvergence pessimism
+                         -0.04    4.53   library hold time
+                                  4.53   data required time
+-----------------------------------------------------------------------------
+                                  4.53   data required time
+                                 -4.88   data arrival time
+-----------------------------------------------------------------------------
+                                  0.35   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09004_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj/u_mac_wrap/_09005_
+          (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Path Group: mac_tx_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1    6.12                           mprj_io[5] (net)
+                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[5] (net)
+                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    1.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10    1.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    1.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21    1.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15    1.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    1.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27    1.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    1.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43    2.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09    2.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34    2.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    2.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15    3.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00    3.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42    3.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    3.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34    3.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    3.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20    4.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    4.12 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.17    4.29 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    4.29 ^ mprj/u_mac_wrap/clkbuf_4_14_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.17    4.46 ^ mprj/u_mac_wrap/clkbuf_4_14_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     9    0.03                           mprj/u_mac_wrap/clknet_4_14_0_phy_tx_clk (net)
+                  0.07    0.00    4.46 ^ mprj/u_mac_wrap/_09004_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.34    4.81 ^ mprj/u_mac_wrap/_09004_/Q (sky130_fd_sc_hd__dfrtp_1)
+     3    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_deferral.curr_dfl_st[4] (net)
+                  0.08    0.00    4.81 ^ mprj/u_mac_wrap/_04766_/A1 (sky130_fd_sc_hd__o21ai_1)
+                  0.03    0.07    4.88 v mprj/u_mac_wrap/_04766_/Y (sky130_fd_sc_hd__o21ai_1)
+     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_deferral.nxt_dfl_st[5] (net)
+                  0.03    0.00    4.88 v mprj/u_mac_wrap/_09005_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  4.88   data arrival time
+
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1   11.12                           mprj_io[5] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[5] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/clkbuf_4_14_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.18    6.93 ^ mprj/u_mac_wrap/clkbuf_4_14_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     9    0.03                           mprj/u_mac_wrap/clknet_4_14_0_phy_tx_clk (net)
+                  0.07    0.00    6.93 ^ mprj/u_mac_wrap/_09005_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    7.03   clock uncertainty
+                         -2.47    4.56   clock reconvergence pessimism
+                         -0.04    4.53   library hold time
+                                  4.53   data required time
+-----------------------------------------------------------------------------
+                                  4.53   data required time
+                                 -4.88   data arrival time
+-----------------------------------------------------------------------------
+                                  0.35   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09415_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj/u_mac_wrap/_09407_
+          (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Path Group: mac_tx_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1    6.12                           mprj_io[5] (net)
+                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[5] (net)
+                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    1.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10    1.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    1.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21    1.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15    1.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    1.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27    1.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    1.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43    2.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09    2.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34    2.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    2.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15    3.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00    3.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42    3.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    3.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34    3.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    3.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20    4.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    4.13 ^ mprj/u_mac_wrap/clkbuf_4_12_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    4.28 ^ mprj/u_mac_wrap/clkbuf_4_12_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     9    0.04                           mprj/u_mac_wrap/clknet_4_12_0_phy_tx_clk (net)
+                  0.08    0.00    4.28 ^ mprj/u_mac_wrap/_09415_/CLK (sky130_fd_sc_hd__dfstp_1)
+                  0.07    0.38    4.67 v mprj/u_mac_wrap/_09415_/Q (sky130_fd_sc_hd__dfstp_1)
+     4    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_crc32.current_crc[15] (net)
+                  0.07    0.00    4.67 v mprj/u_mac_wrap/_06799_/A (sky130_fd_sc_hd__nor2_1)
+                  0.09    0.11    4.78 ^ mprj/u_mac_wrap/_06799_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.00                           mprj/u_mac_wrap/_03235_ (net)
+                  0.09    0.00    4.78 ^ mprj/u_mac_wrap/_06800_/A3 (sky130_fd_sc_hd__o32a_1)
+                  0.05    0.14    4.91 ^ mprj/u_mac_wrap/_06800_/X (sky130_fd_sc_hd__o32a_1)
+     1    0.00                           mprj/u_mac_wrap/_00857_ (net)
+                  0.05    0.00    4.91 ^ mprj/u_mac_wrap/_09407_/D (sky130_fd_sc_hd__dfstp_2)
+                                  4.91   data arrival time
+
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1   11.12                           mprj_io[5] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[5] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/clkbuf_4_15_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.18    6.93 ^ mprj/u_mac_wrap/clkbuf_4_15_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     9    0.03                           mprj/u_mac_wrap/clknet_4_15_0_phy_tx_clk (net)
+                  0.07    0.00    6.93 ^ mprj/u_mac_wrap/_09407_/CLK (sky130_fd_sc_hd__dfstp_2)
+                          0.10    7.03   clock uncertainty
+                         -2.44    4.59   clock reconvergence pessimism
+                         -0.03    4.56   library hold time
+                                  4.56   data required time
+-----------------------------------------------------------------------------
+                                  4.56   data required time
+                                 -4.91   data arrival time
+-----------------------------------------------------------------------------
+                                  0.35   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09399_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj/u_mac_wrap/_09416_
+          (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Path Group: mac_tx_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1    6.12                           mprj_io[5] (net)
+                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[5] (net)
+                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    1.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10    1.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    1.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21    1.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15    1.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    1.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27    1.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    1.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43    2.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09    2.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34    2.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    2.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15    3.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00    3.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42    3.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    3.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34    3.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    3.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20    4.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    4.13 ^ mprj/u_mac_wrap/clkbuf_4_6_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.11    0.18    4.30 ^ mprj/u_mac_wrap/clkbuf_4_6_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.06                           mprj/u_mac_wrap/clknet_4_6_0_phy_tx_clk (net)
+                  0.11    0.00    4.31 ^ mprj/u_mac_wrap/_09399_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.36    4.66 ^ mprj/u_mac_wrap/_09399_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_crc32.gen_crc (net)
+                  0.08    0.00    4.66 ^ mprj/u_mac_wrap/_06760_/B (sky130_fd_sc_hd__nand2b_2)
+                  0.13    0.14    4.80 v mprj/u_mac_wrap/_06760_/Y (sky130_fd_sc_hd__nand2b_2)
+     8    0.03                           mprj/u_mac_wrap/_03203_ (net)
+                  0.13    0.00    4.80 v mprj/u_mac_wrap/fanout239/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.11    0.24    5.04 v mprj/u_mac_wrap/fanout239/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.05                           mprj/u_mac_wrap/net239 (net)
+                  0.11    0.00    5.04 v mprj/u_mac_wrap/_06835_/B1 (sky130_fd_sc_hd__o22a_1)
+                  0.04    0.19    5.23 v mprj/u_mac_wrap/_06835_/X (sky130_fd_sc_hd__o22a_1)
+     1    0.00                           mprj/u_mac_wrap/_00866_ (net)
+                  0.04    0.00    5.23 v mprj/u_mac_wrap/_09416_/D (sky130_fd_sc_hd__dfstp_1)
+                                  5.23   data arrival time
+
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1   11.12                           mprj_io[5] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[5] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.01 ^ mprj/u_mac_wrap/clkbuf_4_5_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.20    7.22 ^ mprj/u_mac_wrap/clkbuf_4_5_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.03                           mprj/u_mac_wrap/clknet_4_5_0_phy_tx_clk (net)
+                  0.07    0.00    7.22 ^ mprj/u_mac_wrap/_09416_/CLK (sky130_fd_sc_hd__dfstp_1)
+                          0.10    7.32   clock uncertainty
+                         -2.44    4.88   clock reconvergence pessimism
+                         -0.01    4.87   library hold time
+                                  4.87   data required time
+-----------------------------------------------------------------------------
+                                  4.87   data required time
+                                 -5.23   data arrival time
+-----------------------------------------------------------------------------
+                                  0.36   slack (MET)
+
+
 Startpoint: mprj/u_mac_wrap/_08954_
             (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_08955_
+Endpoint: mprj/u_mac_wrap/_08954_
           (rising edge-triggered flip-flop clocked by mac_tx_clk)
 Path Group: mac_tx_clk
 Path Type: min
@@ -51654,311 +60490,50 @@
                   0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21    1.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00    1.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15    1.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    1.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37    2.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    2.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32    2.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.00    2.59 ^ mprj/u_mac_wrap/clkbuf_4_14_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.18    2.78 ^ mprj/u_mac_wrap/clkbuf_4_14_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     9    0.03                           mprj/u_mac_wrap/clknet_4_14_0_phy_tx_clk (net)
-                  0.07    0.00    2.78 ^ mprj/u_mac_wrap/_08954_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.09    0.35    3.13 ^ mprj/u_mac_wrap/_08954_/Q (sky130_fd_sc_hd__dfrtp_1)
-     3    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_deferral.curr_dfl_st[4] (net)
-                  0.09    0.00    3.13 ^ mprj/u_mac_wrap/_04745_/A1 (sky130_fd_sc_hd__o21ai_1)
-                  0.03    0.07    3.20 v mprj/u_mac_wrap/_04745_/Y (sky130_fd_sc_hd__o21ai_1)
-     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_deferral.nxt_dfl_st[5] (net)
-                  0.03    0.00    3.20 v mprj/u_mac_wrap/_08955_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  3.20   data arrival time
-
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1   11.12                           mprj_io[5] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[5] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.00    4.91 ^ mprj/u_mac_wrap/clkbuf_4_14_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.20    5.11 ^ mprj/u_mac_wrap/clkbuf_4_14_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     9    0.03                           mprj/u_mac_wrap/clknet_4_14_0_phy_tx_clk (net)
-                  0.07    0.00    5.11 ^ mprj/u_mac_wrap/_08955_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    5.21   clock uncertainty
-                         -2.33    2.88   clock reconvergence pessimism
-                         -0.04    2.84   library hold time
-                                  2.84   data required time
------------------------------------------------------------------------------
-                                  2.84   data required time
-                                 -3.20   data arrival time
------------------------------------------------------------------------------
-                                  0.35   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_09276_
-            (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_08841_
-          (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Path Group: mac_tx_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1    6.12                           mprj_io[5] (net)
-                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[5] (net)
-                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    1.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10    1.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    1.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21    1.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21    1.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00    1.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15    1.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    1.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37    2.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    2.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32    2.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    2.60 ^ mprj/u_mac_wrap/clkbuf_4_3_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.12    0.22    2.82 ^ mprj/u_mac_wrap/clkbuf_4_3_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    28    0.07                           mprj/u_mac_wrap/clknet_4_3_0_phy_tx_clk (net)
-                  0.12    0.00    2.82 ^ mprj/u_mac_wrap/_09276_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.06    0.35    3.17 ^ mprj/u_mac_wrap/_09276_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_fsm.tx_sts_vld (net)
-                  0.06    0.00    3.17 ^ mprj/u_mac_wrap/_05648_/B (sky130_fd_sc_hd__xor2_1)
-                  0.03    0.06    3.22 v mprj/u_mac_wrap/_05648_/X (sky130_fd_sc_hd__xor2_1)
-     1    0.00                           mprj/u_mac_wrap/_00422_ (net)
-                  0.03    0.00    3.22 v mprj/u_mac_wrap/_08841_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  3.22   data arrival time
-
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1   11.12                           mprj_io[5] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[5] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.91 ^ mprj/u_mac_wrap/clkbuf_4_2_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.20    5.12 ^ mprj/u_mac_wrap/clkbuf_4_2_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    12    0.04                           mprj/u_mac_wrap/clknet_4_2_0_phy_tx_clk (net)
-                  0.08    0.00    5.12 ^ mprj/u_mac_wrap/_08841_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    5.22   clock uncertainty
-                         -2.32    2.90   clock reconvergence pessimism
-                         -0.03    2.87   library hold time
-                                  2.87   data required time
------------------------------------------------------------------------------
-                                  2.87   data required time
-                                 -3.22   data arrival time
------------------------------------------------------------------------------
-                                  0.36   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_08712_
-            (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_09396_
-          (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Path Group: mac_tx_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1    6.12                           mprj_io[5] (net)
-                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[5] (net)
-                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    1.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10    1.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    1.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21    1.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21    1.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00    1.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15    1.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    1.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37    2.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    2.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32    2.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.00    2.60 ^ mprj/u_mac_wrap/clkbuf_4_12_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.17    2.77 ^ mprj/u_mac_wrap/clkbuf_4_12_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     7    0.02                           mprj/u_mac_wrap/clknet_4_12_0_phy_tx_clk (net)
-                  0.06    0.00    2.77 ^ mprj/u_mac_wrap/_08712_/CLK (sky130_fd_sc_hd__dfstp_1)
-                  0.06    0.37    3.14 v mprj/u_mac_wrap/_08712_/Q (sky130_fd_sc_hd__dfstp_1)
-     3    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_fsm.clr_fifo_undrn (net)
-                  0.06    0.00    3.14 v mprj/u_mac_wrap/_07062_/A1 (sky130_fd_sc_hd__o21ai_1)
-                  0.08    0.12    3.26 ^ mprj/u_mac_wrap/_07062_/Y (sky130_fd_sc_hd__o21ai_1)
-     1    0.00                           mprj/u_mac_wrap/_00894_ (net)
-                  0.08    0.00    3.26 ^ mprj/u_mac_wrap/_09396_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  3.26   data arrival time
-
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1   11.12                           mprj_io[5] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[5] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.91 ^ mprj/u_mac_wrap/clkbuf_4_3_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.12    0.24    5.15 ^ mprj/u_mac_wrap/clkbuf_4_3_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    28    0.07                           mprj/u_mac_wrap/clknet_4_3_0_phy_tx_clk (net)
-                  0.12    0.00    5.16 ^ mprj/u_mac_wrap/_09396_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    5.26   clock uncertainty
-                         -2.32    2.94   clock reconvergence pessimism
-                         -0.03    2.91   library hold time
-                                  2.91   data required time
------------------------------------------------------------------------------
-                                  2.91   data required time
-                                 -3.26   data arrival time
------------------------------------------------------------------------------
-                                  0.36   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_08904_
-            (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_08904_
-          (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Path Group: mac_tx_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1    6.12                           mprj_io[5] (net)
-                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[5] (net)
-                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    1.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10    1.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    1.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21    1.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21    1.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00    1.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15    1.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    1.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37    2.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    2.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32    2.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    2.60 ^ mprj/u_mac_wrap/clkbuf_4_0_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.10    0.21    2.81 ^ mprj/u_mac_wrap/clkbuf_4_0_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15    1.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    1.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27    1.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    1.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43    2.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09    2.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34    2.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    2.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15    3.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00    3.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42    3.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    3.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34    3.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    3.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20    4.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    4.12 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.17    4.29 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    4.29 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.24    4.53 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    4.54 ^ mprj/u_mac_wrap/clkbuf_4_0_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.10    0.21    4.76 ^ mprj/u_mac_wrap/clkbuf_4_0_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
     20    0.05                           mprj/u_mac_wrap/clknet_4_0_0_phy_tx_clk (net)
-                  0.10    0.00    2.81 ^ mprj/u_mac_wrap/_08904_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.07    0.34    3.15 ^ mprj/u_mac_wrap/_08904_/Q (sky130_fd_sc_hd__dfrtp_1)
+                  0.10    0.00    4.76 ^ mprj/u_mac_wrap/_08954_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.35    5.10 ^ mprj/u_mac_wrap/_08954_/Q (sky130_fd_sc_hd__dfrtp_1)
      2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.grey_rd_ptr[5] (net)
-                  0.07    0.00    3.15 ^ mprj/u_mac_wrap/_05737_/A1 (sky130_fd_sc_hd__a21o_1)
-                  0.04    0.10    3.25 ^ mprj/u_mac_wrap/_05737_/X (sky130_fd_sc_hd__a21o_1)
+                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/_05793_/A1 (sky130_fd_sc_hd__a21o_1)
+                  0.03    0.10    5.21 ^ mprj/u_mac_wrap/_05793_/X (sky130_fd_sc_hd__a21o_1)
      1    0.00                           mprj/u_mac_wrap/_00461_ (net)
-                  0.04    0.00    3.25 ^ mprj/u_mac_wrap/_08904_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  3.25   data arrival time
+                  0.03    0.00    5.21 ^ mprj/u_mac_wrap/_08954_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  5.21   data arrival time
 
                           0.00    0.00   clock mac_tx_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -51977,36 +60552,57 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.92 ^ mprj/u_mac_wrap/clkbuf_4_0_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.10    0.22    5.14 ^ mprj/u_mac_wrap/clkbuf_4_0_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.02 ^ mprj/u_mac_wrap/clkbuf_4_0_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.10    0.23    7.24 ^ mprj/u_mac_wrap/clkbuf_4_0_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
     20    0.05                           mprj/u_mac_wrap/clknet_4_0_0_phy_tx_clk (net)
-                  0.10    0.00    5.14 ^ mprj/u_mac_wrap/_08904_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    5.24   clock uncertainty
-                         -2.33    2.91   clock reconvergence pessimism
-                         -0.03    2.88   library hold time
-                                  2.88   data required time
+                  0.10    0.00    7.24 ^ mprj/u_mac_wrap/_08954_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    7.34   clock uncertainty
+                         -2.49    4.86   clock reconvergence pessimism
+                         -0.03    4.83   library hold time
+                                  4.83   data required time
 -----------------------------------------------------------------------------
-                                  2.88   data required time
-                                 -3.25   data arrival time
+                                  4.83   data required time
+                                 -5.21   data arrival time
 -----------------------------------------------------------------------------
                                   0.37   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_09303_
+Startpoint: mprj/u_mac_wrap/_09351_
             (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_09303_
+Endpoint: mprj/u_mac_wrap/_09351_
           (rising edge-triggered flip-flop clocked by mac_tx_clk)
 Path Group: mac_tx_clk
 Path Type: min
@@ -52030,1157 +60626,50 @@
                   0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21    1.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00    1.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15    1.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    1.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37    2.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    2.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32    2.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    2.60 ^ mprj/u_mac_wrap/clkbuf_4_2_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.19    2.79 ^ mprj/u_mac_wrap/clkbuf_4_2_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    12    0.04                           mprj/u_mac_wrap/clknet_4_2_0_phy_tx_clk (net)
-                  0.08    0.00    2.79 ^ mprj/u_mac_wrap/_09303_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.08    0.34    3.13 ^ mprj/u_mac_wrap/_09303_/Q (sky130_fd_sc_hd__dfrtp_1)
-     3    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_fsm.tx_byte_cntr[10] (net)
-                  0.08    0.00    3.13 ^ mprj/u_mac_wrap/_06630_/A1 (sky130_fd_sc_hd__a21o_1)
-                  0.04    0.11    3.24 ^ mprj/u_mac_wrap/_06630_/X (sky130_fd_sc_hd__a21o_1)
-     1    0.00                           mprj/u_mac_wrap/_00812_ (net)
-                  0.04    0.00    3.24 ^ mprj/u_mac_wrap/_09303_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  3.24   data arrival time
-
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1   11.12                           mprj_io[5] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[5] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.91 ^ mprj/u_mac_wrap/clkbuf_4_2_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.20    5.12 ^ mprj/u_mac_wrap/clkbuf_4_2_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    12    0.04                           mprj/u_mac_wrap/clknet_4_2_0_phy_tx_clk (net)
-                  0.08    0.00    5.12 ^ mprj/u_mac_wrap/_09303_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    5.22   clock uncertainty
-                         -2.33    2.89   clock reconvergence pessimism
-                         -0.03    2.86   library hold time
-                                  2.86   data required time
------------------------------------------------------------------------------
-                                  2.86   data required time
-                                 -3.24   data arrival time
------------------------------------------------------------------------------
-                                  0.38   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_09305_
-            (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_09305_
-          (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Path Group: mac_tx_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1    6.12                           mprj_io[5] (net)
-                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[5] (net)
-                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    1.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10    1.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    1.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21    1.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21    1.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00    1.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15    1.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    1.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37    2.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    2.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32    2.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    2.60 ^ mprj/u_mac_wrap/clkbuf_4_2_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.19    2.79 ^ mprj/u_mac_wrap/clkbuf_4_2_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    12    0.04                           mprj/u_mac_wrap/clknet_4_2_0_phy_tx_clk (net)
-                  0.08    0.00    2.79 ^ mprj/u_mac_wrap/_09305_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.08    0.35    3.13 ^ mprj/u_mac_wrap/_09305_/Q (sky130_fd_sc_hd__dfrtp_1)
-     3    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_fsm.tx_byte_cntr[12] (net)
-                  0.08    0.00    3.13 ^ mprj/u_mac_wrap/_06637_/A1 (sky130_fd_sc_hd__a21o_1)
-                  0.04    0.11    3.24 ^ mprj/u_mac_wrap/_06637_/X (sky130_fd_sc_hd__a21o_1)
-     1    0.00                           mprj/u_mac_wrap/_00814_ (net)
-                  0.04    0.00    3.24 ^ mprj/u_mac_wrap/_09305_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  3.24   data arrival time
-
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1   11.12                           mprj_io[5] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[5] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.91 ^ mprj/u_mac_wrap/clkbuf_4_2_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.20    5.12 ^ mprj/u_mac_wrap/clkbuf_4_2_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    12    0.04                           mprj/u_mac_wrap/clknet_4_2_0_phy_tx_clk (net)
-                  0.08    0.00    5.12 ^ mprj/u_mac_wrap/_09305_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    5.22   clock uncertainty
-                         -2.33    2.89   clock reconvergence pessimism
-                         -0.03    2.86   library hold time
-                                  2.86   data required time
------------------------------------------------------------------------------
-                                  2.86   data required time
-                                 -3.24   data arrival time
------------------------------------------------------------------------------
-                                  0.38   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_09308_
-            (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_09308_
-          (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Path Group: mac_tx_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1    6.12                           mprj_io[5] (net)
-                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[5] (net)
-                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    1.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10    1.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    1.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21    1.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21    1.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00    1.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15    1.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    1.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37    2.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    2.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32    2.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    2.60 ^ mprj/u_mac_wrap/clkbuf_4_0_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.10    0.21    2.81 ^ mprj/u_mac_wrap/clkbuf_4_0_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    20    0.05                           mprj/u_mac_wrap/clknet_4_0_0_phy_tx_clk (net)
-                  0.10    0.00    2.81 ^ mprj/u_mac_wrap/_09308_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.09    0.36    3.16 ^ mprj/u_mac_wrap/_09308_/Q (sky130_fd_sc_hd__dfrtp_1)
-     3    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_fsm.tx_byte_cntr[15] (net)
-                  0.09    0.00    3.16 ^ mprj/u_mac_wrap/_06645_/A1 (sky130_fd_sc_hd__a21bo_1)
-                  0.03    0.10    3.27 ^ mprj/u_mac_wrap/_06645_/X (sky130_fd_sc_hd__a21bo_1)
-     1    0.00                           mprj/u_mac_wrap/_00817_ (net)
-                  0.03    0.00    3.27 ^ mprj/u_mac_wrap/_09308_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  3.27   data arrival time
-
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1   11.12                           mprj_io[5] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[5] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.92 ^ mprj/u_mac_wrap/clkbuf_4_0_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.10    0.22    5.14 ^ mprj/u_mac_wrap/clkbuf_4_0_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    20    0.05                           mprj/u_mac_wrap/clknet_4_0_0_phy_tx_clk (net)
-                  0.10    0.00    5.14 ^ mprj/u_mac_wrap/_09308_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    5.24   clock uncertainty
-                         -2.33    2.91   clock reconvergence pessimism
-                         -0.02    2.88   library hold time
-                                  2.88   data required time
------------------------------------------------------------------------------
-                                  2.88   data required time
-                                 -3.27   data arrival time
------------------------------------------------------------------------------
-                                  0.39   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_08903_
-            (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_08903_
-          (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Path Group: mac_tx_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1    6.12                           mprj_io[5] (net)
-                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[5] (net)
-                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    1.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10    1.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    1.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21    1.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21    1.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00    1.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15    1.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    1.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37    2.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    2.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32    2.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    2.60 ^ mprj/u_mac_wrap/clkbuf_4_0_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.10    0.21    2.81 ^ mprj/u_mac_wrap/clkbuf_4_0_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    20    0.05                           mprj/u_mac_wrap/clknet_4_0_0_phy_tx_clk (net)
-                  0.10    0.00    2.81 ^ mprj/u_mac_wrap/_08903_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.07    0.34    3.15 ^ mprj/u_mac_wrap/_08903_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.grey_rd_ptr[4] (net)
-                  0.07    0.00    3.15 ^ mprj/u_mac_wrap/_05733_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    3.27 ^ mprj/u_mac_wrap/_05733_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00460_ (net)
-                  0.04    0.00    3.27 ^ mprj/u_mac_wrap/_08903_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  3.27   data arrival time
-
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1   11.12                           mprj_io[5] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[5] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.92 ^ mprj/u_mac_wrap/clkbuf_4_0_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.10    0.22    5.14 ^ mprj/u_mac_wrap/clkbuf_4_0_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    20    0.05                           mprj/u_mac_wrap/clknet_4_0_0_phy_tx_clk (net)
-                  0.10    0.00    5.14 ^ mprj/u_mac_wrap/_08903_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    5.24   clock uncertainty
-                         -2.33    2.91   clock reconvergence pessimism
-                         -0.03    2.88   library hold time
-                                  2.88   data required time
------------------------------------------------------------------------------
-                                  2.88   data required time
-                                 -3.27   data arrival time
------------------------------------------------------------------------------
-                                  0.39   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_08901_
-            (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_08901_
-          (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Path Group: mac_tx_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1    6.12                           mprj_io[5] (net)
-                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[5] (net)
-                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    1.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10    1.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    1.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21    1.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21    1.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00    1.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15    1.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    1.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37    2.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    2.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32    2.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    2.60 ^ mprj/u_mac_wrap/clkbuf_4_0_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.10    0.21    2.81 ^ mprj/u_mac_wrap/clkbuf_4_0_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    20    0.05                           mprj/u_mac_wrap/clknet_4_0_0_phy_tx_clk (net)
-                  0.10    0.00    2.81 ^ mprj/u_mac_wrap/_08901_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.06    0.34    3.14 ^ mprj/u_mac_wrap/_08901_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.grey_rd_ptr[2] (net)
-                  0.06    0.00    3.14 ^ mprj/u_mac_wrap/_05726_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    3.27 ^ mprj/u_mac_wrap/_05726_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00458_ (net)
-                  0.04    0.00    3.27 ^ mprj/u_mac_wrap/_08901_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  3.27   data arrival time
-
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1   11.12                           mprj_io[5] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[5] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.92 ^ mprj/u_mac_wrap/clkbuf_4_0_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.10    0.22    5.14 ^ mprj/u_mac_wrap/clkbuf_4_0_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    20    0.05                           mprj/u_mac_wrap/clknet_4_0_0_phy_tx_clk (net)
-                  0.10    0.00    5.14 ^ mprj/u_mac_wrap/_08901_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    5.24   clock uncertainty
-                         -2.33    2.91   clock reconvergence pessimism
-                         -0.03    2.88   library hold time
-                                  2.88   data required time
------------------------------------------------------------------------------
-                                  2.88   data required time
-                                 -3.27   data arrival time
------------------------------------------------------------------------------
-                                  0.39   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_09832_
-            (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_09832_
-          (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Path Group: mac_tx_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1    6.12                           mprj_io[5] (net)
-                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[5] (net)
-                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    1.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10    1.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    1.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21    1.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21    1.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00    1.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15    1.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    1.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37    2.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    2.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32    2.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.00    2.59 ^ mprj/u_mac_wrap/clkbuf_4_15_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.18    2.78 ^ mprj/u_mac_wrap/clkbuf_4_15_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    10    0.03                           mprj/u_mac_wrap/clknet_4_15_0_phy_tx_clk (net)
-                  0.07    0.00    2.78 ^ mprj/u_mac_wrap/_09832_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.12    0.38    3.16 ^ mprj/u_mac_wrap/_09832_/Q (sky130_fd_sc_hd__dfrtp_1)
-     3    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_mii_intf.tx_preamble_cnt_val[2] (net)
-                  0.12    0.00    3.16 ^ mprj/u_mac_wrap/_07808_/A (sky130_fd_sc_hd__xor2_1)
-                  0.03    0.08    3.23 v mprj/u_mac_wrap/_07808_/X (sky130_fd_sc_hd__xor2_1)
-     1    0.00                           mprj/u_mac_wrap/_01274_ (net)
-                  0.03    0.00    3.23 v mprj/u_mac_wrap/_09832_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  3.23   data arrival time
-
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1   11.12                           mprj_io[5] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[5] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.00    4.91 ^ mprj/u_mac_wrap/clkbuf_4_15_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.20    5.11 ^ mprj/u_mac_wrap/clkbuf_4_15_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    10    0.03                           mprj/u_mac_wrap/clknet_4_15_0_phy_tx_clk (net)
-                  0.07    0.00    5.11 ^ mprj/u_mac_wrap/_09832_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    5.21   clock uncertainty
-                         -2.33    2.88   clock reconvergence pessimism
-                         -0.04    2.84   library hold time
-                                  2.84   data required time
------------------------------------------------------------------------------
-                                  2.84   data required time
-                                 -3.23   data arrival time
------------------------------------------------------------------------------
-                                  0.39   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_08902_
-            (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_08902_
-          (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Path Group: mac_tx_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1    6.12                           mprj_io[5] (net)
-                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[5] (net)
-                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    1.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10    1.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    1.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21    1.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21    1.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00    1.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15    1.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    1.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37    2.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    2.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32    2.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    2.60 ^ mprj/u_mac_wrap/clkbuf_4_0_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.10    0.21    2.81 ^ mprj/u_mac_wrap/clkbuf_4_0_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    20    0.05                           mprj/u_mac_wrap/clknet_4_0_0_phy_tx_clk (net)
-                  0.10    0.00    2.81 ^ mprj/u_mac_wrap/_08902_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.06    0.34    3.15 ^ mprj/u_mac_wrap/_08902_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.grey_rd_ptr[3] (net)
-                  0.06    0.00    3.15 ^ mprj/u_mac_wrap/_05729_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    3.27 ^ mprj/u_mac_wrap/_05729_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00459_ (net)
-                  0.04    0.00    3.27 ^ mprj/u_mac_wrap/_08902_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  3.27   data arrival time
-
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1   11.12                           mprj_io[5] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[5] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.92 ^ mprj/u_mac_wrap/clkbuf_4_0_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.10    0.22    5.14 ^ mprj/u_mac_wrap/clkbuf_4_0_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    20    0.05                           mprj/u_mac_wrap/clknet_4_0_0_phy_tx_clk (net)
-                  0.10    0.00    5.14 ^ mprj/u_mac_wrap/_08902_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    5.24   clock uncertainty
-                         -2.33    2.91   clock reconvergence pessimism
-                         -0.03    2.88   library hold time
-                                  2.88   data required time
------------------------------------------------------------------------------
-                                  2.88   data required time
-                                 -3.27   data arrival time
------------------------------------------------------------------------------
-                                  0.39   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_08900_
-            (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_08900_
-          (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Path Group: mac_tx_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1    6.12                           mprj_io[5] (net)
-                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[5] (net)
-                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    1.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10    1.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    1.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21    1.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21    1.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00    1.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15    1.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    1.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37    2.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    2.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32    2.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    2.60 ^ mprj/u_mac_wrap/clkbuf_4_0_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.10    0.21    2.81 ^ mprj/u_mac_wrap/clkbuf_4_0_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    20    0.05                           mprj/u_mac_wrap/clknet_4_0_0_phy_tx_clk (net)
-                  0.10    0.00    2.81 ^ mprj/u_mac_wrap/_08900_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.07    0.34    3.15 ^ mprj/u_mac_wrap/_08900_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.grey_rd_ptr[1] (net)
-                  0.07    0.00    3.15 ^ mprj/u_mac_wrap/_05722_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    3.27 ^ mprj/u_mac_wrap/_05722_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00457_ (net)
-                  0.04    0.00    3.27 ^ mprj/u_mac_wrap/_08900_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  3.27   data arrival time
-
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1   11.12                           mprj_io[5] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[5] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.92 ^ mprj/u_mac_wrap/clkbuf_4_0_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.10    0.22    5.14 ^ mprj/u_mac_wrap/clkbuf_4_0_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    20    0.05                           mprj/u_mac_wrap/clknet_4_0_0_phy_tx_clk (net)
-                  0.10    0.00    5.14 ^ mprj/u_mac_wrap/_08900_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    5.24   clock uncertainty
-                         -2.33    2.91   clock reconvergence pessimism
-                         -0.03    2.88   library hold time
-                                  2.88   data required time
------------------------------------------------------------------------------
-                                  2.88   data required time
-                                 -3.27   data arrival time
------------------------------------------------------------------------------
-                                  0.39   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_08899_
-            (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_08899_
-          (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Path Group: mac_tx_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1    6.12                           mprj_io[5] (net)
-                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[5] (net)
-                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    1.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10    1.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    1.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21    1.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21    1.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00    1.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15    1.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    1.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37    2.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    2.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32    2.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    2.60 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.20    2.80 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    13    0.04                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
-                  0.09    0.00    2.80 ^ mprj/u_mac_wrap/_08899_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.07    0.34    3.14 ^ mprj/u_mac_wrap/_08899_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.grey_rd_ptr[0] (net)
-                  0.07    0.00    3.14 ^ mprj/u_mac_wrap/_05719_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    3.26 ^ mprj/u_mac_wrap/_05719_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00456_ (net)
-                  0.05    0.00    3.26 ^ mprj/u_mac_wrap/_08899_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  3.26   data arrival time
-
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1   11.12                           mprj_io[5] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[5] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.92 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.21    5.13 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    13    0.04                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
-                  0.09    0.00    5.13 ^ mprj/u_mac_wrap/_08899_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    5.23   clock uncertainty
-                         -2.33    2.90   clock reconvergence pessimism
-                         -0.03    2.87   library hold time
-                                  2.87   data required time
------------------------------------------------------------------------------
-                                  2.87   data required time
-                                 -3.26   data arrival time
------------------------------------------------------------------------------
-                                  0.40   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_09294_
-            (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_09294_
-          (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Path Group: mac_tx_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1    6.12                           mprj_io[5] (net)
-                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[5] (net)
-                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    1.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10    1.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    1.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21    1.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21    1.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00    1.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15    1.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    1.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37    2.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    2.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32    2.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.00    2.60 ^ mprj/u_mac_wrap/clkbuf_4_6_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.10    0.21    2.81 ^ mprj/u_mac_wrap/clkbuf_4_6_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    20    0.05                           mprj/u_mac_wrap/clknet_4_6_0_phy_tx_clk (net)
-                  0.10    0.00    2.81 ^ mprj/u_mac_wrap/_09294_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.10    0.37    3.18 ^ mprj/u_mac_wrap/_09294_/Q (sky130_fd_sc_hd__dfrtp_1)
-     4    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_fsm.tx_byte_cntr[1] (net)
-                  0.10    0.00    3.18 ^ mprj/u_mac_wrap/_06599_/A1 (sky130_fd_sc_hd__a21o_1)
-                  0.03    0.11    3.29 ^ mprj/u_mac_wrap/_06599_/X (sky130_fd_sc_hd__a21o_1)
-     1    0.00                           mprj/u_mac_wrap/_00803_ (net)
-                  0.03    0.00    3.29 ^ mprj/u_mac_wrap/_09294_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  3.29   data arrival time
-
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1   11.12                           mprj_io[5] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[5] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.00    4.91 ^ mprj/u_mac_wrap/clkbuf_4_6_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.10    0.23    5.14 ^ mprj/u_mac_wrap/clkbuf_4_6_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    20    0.05                           mprj/u_mac_wrap/clknet_4_6_0_phy_tx_clk (net)
-                  0.10    0.00    5.14 ^ mprj/u_mac_wrap/_09294_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    5.24   clock uncertainty
-                         -2.33    2.91   clock reconvergence pessimism
-                         -0.02    2.88   library hold time
-                                  2.88   data required time
------------------------------------------------------------------------------
-                                  2.88   data required time
-                                 -3.29   data arrival time
------------------------------------------------------------------------------
-                                  0.41   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_09307_
-            (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_09307_
-          (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Path Group: mac_tx_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1    6.12                           mprj_io[5] (net)
-                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[5] (net)
-                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    1.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10    1.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    1.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21    1.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21    1.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00    1.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15    1.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    1.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37    2.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    2.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32    2.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    2.60 ^ mprj/u_mac_wrap/clkbuf_4_0_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.10    0.21    2.81 ^ mprj/u_mac_wrap/clkbuf_4_0_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    20    0.05                           mprj/u_mac_wrap/clknet_4_0_0_phy_tx_clk (net)
-                  0.10    0.00    2.81 ^ mprj/u_mac_wrap/_09307_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.11    0.38    3.18 ^ mprj/u_mac_wrap/_09307_/Q (sky130_fd_sc_hd__dfrtp_1)
-     3    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_fsm.tx_byte_cntr[14] (net)
-                  0.11    0.00    3.18 ^ mprj/u_mac_wrap/_06643_/A1 (sky130_fd_sc_hd__a21o_1)
-                  0.04    0.12    3.30 ^ mprj/u_mac_wrap/_06643_/X (sky130_fd_sc_hd__a21o_1)
-     1    0.00                           mprj/u_mac_wrap/_00816_ (net)
-                  0.04    0.00    3.30 ^ mprj/u_mac_wrap/_09307_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  3.30   data arrival time
-
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1   11.12                           mprj_io[5] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[5] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.92 ^ mprj/u_mac_wrap/clkbuf_4_0_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.10    0.22    5.14 ^ mprj/u_mac_wrap/clkbuf_4_0_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    20    0.05                           mprj/u_mac_wrap/clknet_4_0_0_phy_tx_clk (net)
-                  0.10    0.00    5.14 ^ mprj/u_mac_wrap/_09307_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    5.24   clock uncertainty
-                         -2.33    2.91   clock reconvergence pessimism
-                         -0.03    2.88   library hold time
-                                  2.88   data required time
------------------------------------------------------------------------------
-                                  2.88   data required time
-                                 -3.30   data arrival time
------------------------------------------------------------------------------
-                                  0.42   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_09392_
-            (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_09397_
-          (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Path Group: mac_tx_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1    6.12                           mprj_io[5] (net)
-                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[5] (net)
-                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    1.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10    1.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    1.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21    1.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21    1.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00    1.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15    1.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    1.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37    2.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    2.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32    2.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.00    2.60 ^ mprj/u_mac_wrap/clkbuf_4_6_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.10    0.21    2.81 ^ mprj/u_mac_wrap/clkbuf_4_6_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    20    0.05                           mprj/u_mac_wrap/clknet_4_6_0_phy_tx_clk (net)
-                  0.10    0.00    2.81 ^ mprj/u_mac_wrap/_09392_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.09    0.36    3.17 ^ mprj/u_mac_wrap/_09392_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_fsm.strt_fcs_reg (net)
-                  0.09    0.00    3.17 ^ mprj/u_mac_wrap/_04682_/A2 (sky130_fd_sc_hd__o31a_1)
-                  0.05    0.13    3.30 ^ mprj/u_mac_wrap/_04682_/X (sky130_fd_sc_hd__o31a_1)
-     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_fsm.nxt_fcs_st (net)
-                  0.05    0.00    3.30 ^ mprj/u_mac_wrap/_09397_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  3.30   data arrival time
-
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1   11.12                           mprj_io[5] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[5] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.00    4.91 ^ mprj/u_mac_wrap/clkbuf_4_6_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.10    0.23    5.14 ^ mprj/u_mac_wrap/clkbuf_4_6_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    20    0.05                           mprj/u_mac_wrap/clknet_4_6_0_phy_tx_clk (net)
-                  0.10    0.00    5.14 ^ mprj/u_mac_wrap/_09397_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    5.24   clock uncertainty
-                         -2.33    2.91   clock reconvergence pessimism
-                         -0.03    2.88   library hold time
-                                  2.88   data required time
------------------------------------------------------------------------------
-                                  2.88   data required time
-                                 -3.30   data arrival time
------------------------------------------------------------------------------
-                                  0.42   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_09301_
-            (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_09301_
-          (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Path Group: mac_tx_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1    6.12                           mprj_io[5] (net)
-                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[5] (net)
-                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    1.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10    1.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    1.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21    1.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21    1.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00    1.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15    1.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    1.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37    2.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    2.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32    2.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    2.60 ^ mprj/u_mac_wrap/clkbuf_4_3_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.12    0.22    2.82 ^ mprj/u_mac_wrap/clkbuf_4_3_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    28    0.07                           mprj/u_mac_wrap/clknet_4_3_0_phy_tx_clk (net)
-                  0.12    0.00    2.82 ^ mprj/u_mac_wrap/_09301_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.09    0.37    3.19 ^ mprj/u_mac_wrap/_09301_/Q (sky130_fd_sc_hd__dfrtp_1)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15    1.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    1.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27    1.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    1.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43    2.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09    2.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34    2.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    2.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15    3.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00    3.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42    3.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    3.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34    3.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    3.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20    4.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    4.12 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.17    4.29 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    4.29 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.24    4.53 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.00    4.54 ^ mprj/u_mac_wrap/clkbuf_4_2_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.19    4.73 ^ mprj/u_mac_wrap/clkbuf_4_2_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.03                           mprj/u_mac_wrap/clknet_4_2_0_phy_tx_clk (net)
+                  0.06    0.00    4.73 ^ mprj/u_mac_wrap/_09351_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.34    5.07 ^ mprj/u_mac_wrap/_09351_/Q (sky130_fd_sc_hd__dfrtp_1)
      3    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_fsm.tx_byte_cntr[8] (net)
-                  0.09    0.00    3.19 ^ mprj/u_mac_wrap/_06623_/A1 (sky130_fd_sc_hd__a22o_1)
-                  0.04    0.13    3.32 ^ mprj/u_mac_wrap/_06623_/X (sky130_fd_sc_hd__a22o_1)
+                  0.08    0.00    5.07 ^ mprj/u_mac_wrap/_06683_/A1 (sky130_fd_sc_hd__a21o_1)
+                  0.03    0.10    5.17 ^ mprj/u_mac_wrap/_06683_/X (sky130_fd_sc_hd__a21o_1)
      1    0.00                           mprj/u_mac_wrap/_00810_ (net)
-                  0.04    0.00    3.32 ^ mprj/u_mac_wrap/_09301_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  3.32   data arrival time
+                  0.03    0.00    5.17 ^ mprj/u_mac_wrap/_09351_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  5.17   data arrival time
 
                           0.00    0.00   clock mac_tx_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -53199,36 +60688,57 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.91 ^ mprj/u_mac_wrap/clkbuf_4_3_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.12    0.24    5.15 ^ mprj/u_mac_wrap/clkbuf_4_3_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    28    0.07                           mprj/u_mac_wrap/clknet_4_3_0_phy_tx_clk (net)
-                  0.12    0.00    5.15 ^ mprj/u_mac_wrap/_09301_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    5.25   clock uncertainty
-                         -2.33    2.92   clock reconvergence pessimism
-                         -0.02    2.90   library hold time
-                                  2.90   data required time
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.01 ^ mprj/u_mac_wrap/clkbuf_4_2_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.20    7.21 ^ mprj/u_mac_wrap/clkbuf_4_2_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.03                           mprj/u_mac_wrap/clknet_4_2_0_phy_tx_clk (net)
+                  0.06    0.00    7.21 ^ mprj/u_mac_wrap/_09351_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    7.31   clock uncertainty
+                         -2.49    4.83   clock reconvergence pessimism
+                         -0.03    4.80   library hold time
+                                  4.80   data required time
 -----------------------------------------------------------------------------
-                                  2.90   data required time
-                                 -3.32   data arrival time
+                                  4.80   data required time
+                                 -5.17   data arrival time
 -----------------------------------------------------------------------------
-                                  0.42   slack (MET)
+                                  0.38   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_09383_
+Startpoint: mprj/u_mac_wrap/_09874_
             (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_09383_
+Endpoint: mprj/u_mac_wrap/_09440_
           (rising edge-triggered flip-flop clocked by mac_tx_clk)
 Path Group: mac_tx_clk
 Path Type: min
@@ -53252,29 +60762,53 @@
                   0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21    1.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00    1.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15    1.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    1.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37    2.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    2.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32    2.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    2.60 ^ mprj/u_mac_wrap/clkbuf_4_5_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.18    2.78 ^ mprj/u_mac_wrap/clkbuf_4_5_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     8    0.03                           mprj/u_mac_wrap/clknet_4_5_0_phy_tx_clk (net)
-                  0.06    0.00    2.78 ^ mprj/u_mac_wrap/_09383_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.12    0.37    3.15 ^ mprj/u_mac_wrap/_09383_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_fsm.tx_fsm_dt_reg[1] (net)
-                  0.12    0.00    3.15 ^ mprj/u_mac_wrap/_06886_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    3.28 ^ mprj/u_mac_wrap/_06886_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00883_ (net)
-                  0.04    0.00    3.28 ^ mprj/u_mac_wrap/_09383_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  3.28   data arrival time
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15    1.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    1.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27    1.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    1.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43    2.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09    2.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34    2.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    2.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15    3.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00    3.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42    3.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    3.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34    3.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    3.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20    4.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    4.12 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.17    4.29 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    4.29 ^ mprj/u_mac_wrap/clkbuf_4_15_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.17    4.47 ^ mprj/u_mac_wrap/clkbuf_4_15_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     9    0.03                           mprj/u_mac_wrap/clknet_4_15_0_phy_tx_clk (net)
+                  0.07    0.00    4.47 ^ mprj/u_mac_wrap/_09874_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.05    0.32    4.78 ^ mprj/u_mac_wrap/_09874_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_fsm.mi2tx_byte_ack (net)
+                  0.05    0.00    4.78 ^ mprj/u_mac_wrap/fanout418/A (sky130_fd_sc_hd__buf_2)
+                  0.17    0.19    4.98 ^ mprj/u_mac_wrap/fanout418/X (sky130_fd_sc_hd__buf_2)
+    10    0.03                           mprj/u_mac_wrap/net418 (net)
+                  0.17    0.00    4.98 ^ mprj/u_mac_wrap/_04542_/B2 (sky130_fd_sc_hd__a32o_2)
+                  0.13    0.22    5.19 ^ mprj/u_mac_wrap/_04542_/X (sky130_fd_sc_hd__a32o_2)
+     5    0.02                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_fsm.e_tx_sts_vld (net)
+                  0.13    0.00    5.19 ^ mprj/u_mac_wrap/_07116_/A (sky130_fd_sc_hd__nor2_1)
+                  0.02    0.06    5.25 v mprj/u_mac_wrap/_07116_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.00                           mprj/u_mac_wrap/_00890_ (net)
+                  0.02    0.00    5.25 v mprj/u_mac_wrap/_09440_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  5.25   data arrival time
 
                           0.00    0.00   clock mac_tx_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -53293,36 +60827,57 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.92 ^ mprj/u_mac_wrap/clkbuf_4_5_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.19    5.11 ^ mprj/u_mac_wrap/clkbuf_4_5_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     8    0.03                           mprj/u_mac_wrap/clknet_4_5_0_phy_tx_clk (net)
-                  0.06    0.00    5.11 ^ mprj/u_mac_wrap/_09383_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    5.21   clock uncertainty
-                         -2.33    2.88   clock reconvergence pessimism
-                         -0.03    2.85   library hold time
-                                  2.85   data required time
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.01 ^ mprj/u_mac_wrap/clkbuf_4_3_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.10    0.23    7.24 ^ mprj/u_mac_wrap/clkbuf_4_3_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    22    0.05                           mprj/u_mac_wrap/clknet_4_3_0_phy_tx_clk (net)
+                  0.10    0.00    7.24 ^ mprj/u_mac_wrap/_09440_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    7.34   clock uncertainty
+                         -2.45    4.89   clock reconvergence pessimism
+                         -0.02    4.87   library hold time
+                                  4.87   data required time
 -----------------------------------------------------------------------------
-                                  2.85   data required time
-                                 -3.28   data arrival time
+                                  4.87   data required time
+                                 -5.25   data arrival time
 -----------------------------------------------------------------------------
-                                  0.44   slack (MET)
+                                  0.38   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_09365_
+Startpoint: mprj/u_mac_wrap/_08951_
             (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_09365_
+Endpoint: mprj/u_mac_wrap/_08951_
           (rising edge-triggered flip-flop clocked by mac_tx_clk)
 Path Group: mac_tx_clk
 Path Type: min
@@ -53346,29 +60901,50 @@
                   0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21    1.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00    1.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15    1.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    1.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37    2.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    2.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32    2.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.00    2.60 ^ mprj/u_mac_wrap/clkbuf_4_13_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.18    2.78 ^ mprj/u_mac_wrap/clkbuf_4_13_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     7    0.03                           mprj/u_mac_wrap/clknet_4_13_0_phy_tx_clk (net)
-                  0.06    0.00    2.78 ^ mprj/u_mac_wrap/_09365_/CLK (sky130_fd_sc_hd__dfstp_1)
-                  0.07    0.38    3.15 v mprj/u_mac_wrap/_09365_/Q (sky130_fd_sc_hd__dfstp_1)
-     4    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_crc32.current_crc[15] (net)
-                  0.07    0.00    3.15 v mprj/u_mac_wrap/_06773_/B2 (sky130_fd_sc_hd__o32a_1)
-                  0.04    0.15    3.30 v mprj/u_mac_wrap/_06773_/X (sky130_fd_sc_hd__o32a_1)
-     1    0.00                           mprj/u_mac_wrap/_00865_ (net)
-                  0.04    0.00    3.30 v mprj/u_mac_wrap/_09365_/D (sky130_fd_sc_hd__dfstp_1)
-                                  3.30   data arrival time
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15    1.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    1.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27    1.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    1.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43    2.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09    2.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34    2.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    2.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15    3.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00    3.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42    3.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    3.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34    3.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    3.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20    4.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    4.12 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.17    4.29 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    4.29 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.24    4.53 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    4.54 ^ mprj/u_mac_wrap/clkbuf_4_0_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.10    0.21    4.76 ^ mprj/u_mac_wrap/clkbuf_4_0_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.05                           mprj/u_mac_wrap/clknet_4_0_0_phy_tx_clk (net)
+                  0.10    0.00    4.76 ^ mprj/u_mac_wrap/_08951_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.07    0.34    5.10 ^ mprj/u_mac_wrap/_08951_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.grey_rd_ptr[2] (net)
+                  0.07    0.00    5.10 ^ mprj/u_mac_wrap/_05782_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    5.22 ^ mprj/u_mac_wrap/_05782_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00458_ (net)
+                  0.04    0.00    5.22 ^ mprj/u_mac_wrap/_08951_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  5.22   data arrival time
 
                           0.00    0.00   clock mac_tx_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -53387,36 +60963,57 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.00    4.91 ^ mprj/u_mac_wrap/clkbuf_4_13_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.19    5.11 ^ mprj/u_mac_wrap/clkbuf_4_13_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     7    0.03                           mprj/u_mac_wrap/clknet_4_13_0_phy_tx_clk (net)
-                  0.06    0.00    5.11 ^ mprj/u_mac_wrap/_09365_/CLK (sky130_fd_sc_hd__dfstp_1)
-                          0.10    5.21   clock uncertainty
-                         -2.33    2.88   clock reconvergence pessimism
-                         -0.01    2.87   library hold time
-                                  2.87   data required time
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.02 ^ mprj/u_mac_wrap/clkbuf_4_0_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.10    0.23    7.24 ^ mprj/u_mac_wrap/clkbuf_4_0_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.05                           mprj/u_mac_wrap/clknet_4_0_0_phy_tx_clk (net)
+                  0.10    0.00    7.25 ^ mprj/u_mac_wrap/_08951_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    7.35   clock uncertainty
+                         -2.49    4.86   clock reconvergence pessimism
+                         -0.03    4.83   library hold time
+                                  4.83   data required time
 -----------------------------------------------------------------------------
-                                  2.87   data required time
-                                 -3.30   data arrival time
+                                  4.83   data required time
+                                 -5.22   data arrival time
 -----------------------------------------------------------------------------
-                                  0.44   slack (MET)
+                                  0.39   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_09306_
+Startpoint: mprj/u_mac_wrap/_08952_
             (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_09306_
+Endpoint: mprj/u_mac_wrap/_08952_
           (rising edge-triggered flip-flop clocked by mac_tx_clk)
 Path Group: mac_tx_clk
 Path Type: min
@@ -53440,29 +61037,50 @@
                   0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21    1.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00    1.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15    1.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    1.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37    2.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    2.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32    2.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    2.60 ^ mprj/u_mac_wrap/clkbuf_4_2_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.19    2.79 ^ mprj/u_mac_wrap/clkbuf_4_2_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    12    0.04                           mprj/u_mac_wrap/clknet_4_2_0_phy_tx_clk (net)
-                  0.08    0.00    2.79 ^ mprj/u_mac_wrap/_09306_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.12    0.37    3.16 ^ mprj/u_mac_wrap/_09306_/Q (sky130_fd_sc_hd__dfrtp_1)
-     4    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_fsm.tx_byte_cntr[13] (net)
-                  0.12    0.00    3.16 ^ mprj/u_mac_wrap/_06639_/S (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.14    3.29 ^ mprj/u_mac_wrap/_06639_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00815_ (net)
-                  0.04    0.00    3.29 ^ mprj/u_mac_wrap/_09306_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  3.29   data arrival time
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15    1.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    1.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27    1.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    1.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43    2.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09    2.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34    2.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    2.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15    3.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00    3.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42    3.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    3.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34    3.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    3.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20    4.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    4.12 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.17    4.29 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    4.29 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.24    4.53 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    4.54 ^ mprj/u_mac_wrap/clkbuf_4_0_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.10    0.21    4.76 ^ mprj/u_mac_wrap/clkbuf_4_0_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.05                           mprj/u_mac_wrap/clknet_4_0_0_phy_tx_clk (net)
+                  0.10    0.00    4.76 ^ mprj/u_mac_wrap/_08952_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.07    0.34    5.10 ^ mprj/u_mac_wrap/_08952_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.grey_rd_ptr[3] (net)
+                  0.07    0.00    5.10 ^ mprj/u_mac_wrap/_05785_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    5.22 ^ mprj/u_mac_wrap/_05785_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00459_ (net)
+                  0.04    0.00    5.22 ^ mprj/u_mac_wrap/_08952_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  5.22   data arrival time
 
                           0.00    0.00   clock mac_tx_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -53481,36 +61099,57 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.91 ^ mprj/u_mac_wrap/clkbuf_4_2_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.20    5.12 ^ mprj/u_mac_wrap/clkbuf_4_2_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    12    0.04                           mprj/u_mac_wrap/clknet_4_2_0_phy_tx_clk (net)
-                  0.08    0.00    5.12 ^ mprj/u_mac_wrap/_09306_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    5.22   clock uncertainty
-                         -2.33    2.89   clock reconvergence pessimism
-                         -0.03    2.86   library hold time
-                                  2.86   data required time
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.02 ^ mprj/u_mac_wrap/clkbuf_4_0_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.10    0.23    7.24 ^ mprj/u_mac_wrap/clkbuf_4_0_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.05                           mprj/u_mac_wrap/clknet_4_0_0_phy_tx_clk (net)
+                  0.10    0.00    7.25 ^ mprj/u_mac_wrap/_08952_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    7.35   clock uncertainty
+                         -2.49    4.86   clock reconvergence pessimism
+                         -0.03    4.83   library hold time
+                                  4.83   data required time
 -----------------------------------------------------------------------------
-                                  2.86   data required time
-                                 -3.29   data arrival time
+                                  4.83   data required time
+                                 -5.22   data arrival time
 -----------------------------------------------------------------------------
-                                  0.44   slack (MET)
+                                  0.39   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_09374_
+Startpoint: mprj/u_mac_wrap/_08950_
             (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_09374_
+Endpoint: mprj/u_mac_wrap/_08950_
           (rising edge-triggered flip-flop clocked by mac_tx_clk)
 Path Group: mac_tx_clk
 Path Type: min
@@ -53534,29 +61173,50 @@
                   0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21    1.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00    1.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15    1.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    1.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37    2.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    2.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32    2.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.00    2.60 ^ mprj/u_mac_wrap/clkbuf_4_7_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.19    2.78 ^ mprj/u_mac_wrap/clkbuf_4_7_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     9    0.03                           mprj/u_mac_wrap/clknet_4_7_0_phy_tx_clk (net)
-                  0.07    0.00    2.78 ^ mprj/u_mac_wrap/_09374_/CLK (sky130_fd_sc_hd__dfstp_1)
-                  0.07    0.38    3.16 v mprj/u_mac_wrap/_09374_/Q (sky130_fd_sc_hd__dfstp_1)
-     3    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_crc32.current_crc[24] (net)
-                  0.07    0.00    3.16 v mprj/u_mac_wrap/_06819_/B2 (sky130_fd_sc_hd__o32a_1)
-                  0.04    0.15    3.31 v mprj/u_mac_wrap/_06819_/X (sky130_fd_sc_hd__o32a_1)
-     1    0.00                           mprj/u_mac_wrap/_00874_ (net)
-                  0.04    0.00    3.31 v mprj/u_mac_wrap/_09374_/D (sky130_fd_sc_hd__dfstp_1)
-                                  3.31   data arrival time
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15    1.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    1.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27    1.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    1.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43    2.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09    2.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34    2.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    2.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15    3.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00    3.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42    3.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    3.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34    3.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    3.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20    4.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    4.12 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.17    4.29 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    4.29 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.24    4.53 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    4.54 ^ mprj/u_mac_wrap/clkbuf_4_0_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.10    0.21    4.76 ^ mprj/u_mac_wrap/clkbuf_4_0_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.05                           mprj/u_mac_wrap/clknet_4_0_0_phy_tx_clk (net)
+                  0.10    0.00    4.76 ^ mprj/u_mac_wrap/_08950_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.07    0.34    5.10 ^ mprj/u_mac_wrap/_08950_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.grey_rd_ptr[1] (net)
+                  0.07    0.00    5.10 ^ mprj/u_mac_wrap/_05777_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    5.22 ^ mprj/u_mac_wrap/_05777_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00457_ (net)
+                  0.04    0.00    5.22 ^ mprj/u_mac_wrap/_08950_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  5.22   data arrival time
 
                           0.00    0.00   clock mac_tx_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -53575,36 +61235,57 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.91 ^ mprj/u_mac_wrap/clkbuf_4_7_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.20    5.11 ^ mprj/u_mac_wrap/clkbuf_4_7_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     9    0.03                           mprj/u_mac_wrap/clknet_4_7_0_phy_tx_clk (net)
-                  0.07    0.00    5.11 ^ mprj/u_mac_wrap/_09374_/CLK (sky130_fd_sc_hd__dfstp_1)
-                          0.10    5.21   clock uncertainty
-                         -2.33    2.88   clock reconvergence pessimism
-                         -0.01    2.88   library hold time
-                                  2.88   data required time
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.02 ^ mprj/u_mac_wrap/clkbuf_4_0_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.10    0.23    7.24 ^ mprj/u_mac_wrap/clkbuf_4_0_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.05                           mprj/u_mac_wrap/clknet_4_0_0_phy_tx_clk (net)
+                  0.10    0.00    7.25 ^ mprj/u_mac_wrap/_08950_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    7.35   clock uncertainty
+                         -2.49    4.86   clock reconvergence pessimism
+                         -0.03    4.83   library hold time
+                                  4.83   data required time
 -----------------------------------------------------------------------------
-                                  2.88   data required time
-                                 -3.31   data arrival time
+                                  4.83   data required time
+                                 -5.22   data arrival time
 -----------------------------------------------------------------------------
-                                  0.44   slack (MET)
+                                  0.39   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_09375_
+Startpoint: mprj/u_mac_wrap/_09874_
             (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_09375_
+Endpoint: mprj/u_mac_wrap/_08765_
           (rising edge-triggered flip-flop clocked by mac_tx_clk)
 Path Group: mac_tx_clk
 Path Type: min
@@ -53628,29 +61309,53 @@
                   0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21    1.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00    1.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15    1.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    1.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37    2.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    2.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32    2.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    2.60 ^ mprj/u_mac_wrap/clkbuf_4_4_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.18    2.78 ^ mprj/u_mac_wrap/clkbuf_4_4_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     9    0.03                           mprj/u_mac_wrap/clknet_4_4_0_phy_tx_clk (net)
-                  0.07    0.00    2.78 ^ mprj/u_mac_wrap/_09375_/CLK (sky130_fd_sc_hd__dfstp_1)
-                  0.06    0.37    3.16 v mprj/u_mac_wrap/_09375_/Q (sky130_fd_sc_hd__dfstp_1)
-     3    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_crc32.current_crc[25] (net)
-                  0.06    0.00    3.16 v mprj/u_mac_wrap/_06823_/B2 (sky130_fd_sc_hd__o32a_1)
-                  0.04    0.15    3.31 v mprj/u_mac_wrap/_06823_/X (sky130_fd_sc_hd__o32a_1)
-     1    0.00                           mprj/u_mac_wrap/_00875_ (net)
-                  0.04    0.00    3.31 v mprj/u_mac_wrap/_09375_/D (sky130_fd_sc_hd__dfstp_1)
-                                  3.31   data arrival time
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15    1.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    1.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27    1.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    1.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43    2.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09    2.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34    2.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    2.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15    3.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00    3.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42    3.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    3.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34    3.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    3.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20    4.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    4.12 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.17    4.29 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    4.29 ^ mprj/u_mac_wrap/clkbuf_4_15_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.17    4.47 ^ mprj/u_mac_wrap/clkbuf_4_15_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     9    0.03                           mprj/u_mac_wrap/clknet_4_15_0_phy_tx_clk (net)
+                  0.07    0.00    4.47 ^ mprj/u_mac_wrap/_09874_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.04    0.35    4.82 v mprj/u_mac_wrap/_09874_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_fsm.mi2tx_byte_ack (net)
+                  0.04    0.00    4.82 v mprj/u_mac_wrap/fanout418/A (sky130_fd_sc_hd__buf_2)
+                  0.09    0.17    4.99 v mprj/u_mac_wrap/fanout418/X (sky130_fd_sc_hd__buf_2)
+    10    0.03                           mprj/u_mac_wrap/net418 (net)
+                  0.09    0.00    4.99 v mprj/u_mac_wrap/_04619_/B1 (sky130_fd_sc_hd__o21ai_1)
+                  0.09    0.09    5.08 ^ mprj/u_mac_wrap/_04619_/Y (sky130_fd_sc_hd__o21ai_1)
+     1    0.00                           mprj/u_mac_wrap/_01918_ (net)
+                  0.09    0.00    5.08 ^ mprj/u_mac_wrap/_04620_/A3 (sky130_fd_sc_hd__a31o_1)
+                  0.05    0.14    5.22 ^ mprj/u_mac_wrap/_04620_/X (sky130_fd_sc_hd__a31o_1)
+     1    0.00                           mprj/u_mac_wrap/_00007_ (net)
+                  0.05    0.00    5.22 ^ mprj/u_mac_wrap/_08765_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  5.22   data arrival time
 
                           0.00    0.00   clock mac_tx_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -53669,36 +61374,57 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.92 ^ mprj/u_mac_wrap/clkbuf_4_4_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.20    5.11 ^ mprj/u_mac_wrap/clkbuf_4_4_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     9    0.03                           mprj/u_mac_wrap/clknet_4_4_0_phy_tx_clk (net)
-                  0.07    0.00    5.11 ^ mprj/u_mac_wrap/_09375_/CLK (sky130_fd_sc_hd__dfstp_1)
-                          0.10    5.21   clock uncertainty
-                         -2.33    2.88   clock reconvergence pessimism
-                         -0.01    2.87   library hold time
-                                  2.87   data required time
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.01 ^ mprj/u_mac_wrap/clkbuf_4_2_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.20    7.21 ^ mprj/u_mac_wrap/clkbuf_4_2_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.03                           mprj/u_mac_wrap/clknet_4_2_0_phy_tx_clk (net)
+                  0.06    0.00    7.21 ^ mprj/u_mac_wrap/_08765_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    7.31   clock uncertainty
+                         -2.45    4.86   clock reconvergence pessimism
+                         -0.03    4.83   library hold time
+                                  4.83   data required time
 -----------------------------------------------------------------------------
-                                  2.87   data required time
-                                 -3.31   data arrival time
+                                  4.83   data required time
+                                 -5.22   data arrival time
 -----------------------------------------------------------------------------
-                                  0.44   slack (MET)
+                                  0.39   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_09364_
+Startpoint: mprj/u_mac_wrap/_09442_
             (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_09364_
+Endpoint: mprj/u_mac_wrap/_09447_
           (rising edge-triggered flip-flop clocked by mac_tx_clk)
 Path Group: mac_tx_clk
 Path Type: min
@@ -53722,29 +61448,44 @@
                   0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21    1.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00    1.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15    1.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    1.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37    2.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    2.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32    2.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.00    2.60 ^ mprj/u_mac_wrap/clkbuf_4_7_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.19    2.78 ^ mprj/u_mac_wrap/clkbuf_4_7_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     9    0.03                           mprj/u_mac_wrap/clknet_4_7_0_phy_tx_clk (net)
-                  0.07    0.00    2.78 ^ mprj/u_mac_wrap/_09364_/CLK (sky130_fd_sc_hd__dfstp_1)
-                  0.07    0.38    3.17 v mprj/u_mac_wrap/_09364_/Q (sky130_fd_sc_hd__dfstp_1)
-     3    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_crc32.current_crc[14] (net)
-                  0.07    0.00    3.17 v mprj/u_mac_wrap/_06766_/B2 (sky130_fd_sc_hd__o32a_1)
-                  0.04    0.15    3.32 v mprj/u_mac_wrap/_06766_/X (sky130_fd_sc_hd__o32a_1)
-     1    0.00                           mprj/u_mac_wrap/_00864_ (net)
-                  0.04    0.00    3.32 v mprj/u_mac_wrap/_09364_/D (sky130_fd_sc_hd__dfstp_1)
-                                  3.32   data arrival time
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15    1.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    1.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27    1.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    1.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43    2.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09    2.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34    2.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    2.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15    3.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00    3.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42    3.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    3.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34    3.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    3.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20    4.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    4.13 ^ mprj/u_mac_wrap/clkbuf_4_12_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    4.28 ^ mprj/u_mac_wrap/clkbuf_4_12_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     9    0.04                           mprj/u_mac_wrap/clknet_4_12_0_phy_tx_clk (net)
+                  0.08    0.00    4.28 ^ mprj/u_mac_wrap/_09442_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.06    0.33    4.61 ^ mprj/u_mac_wrap/_09442_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_fsm.strt_fcs_reg (net)
+                  0.06    0.00    4.61 ^ mprj/u_mac_wrap/_04704_/A2 (sky130_fd_sc_hd__o31a_1)
+                  0.05    0.13    4.74 ^ mprj/u_mac_wrap/_04704_/X (sky130_fd_sc_hd__o31a_1)
+     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_fsm.nxt_fcs_st (net)
+                  0.05    0.00    4.74 ^ mprj/u_mac_wrap/_09447_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  4.74   data arrival time
 
                           0.00    0.00   clock mac_tx_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -53763,31 +61504,318 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.91 ^ mprj/u_mac_wrap/clkbuf_4_7_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.20    5.11 ^ mprj/u_mac_wrap/clkbuf_4_7_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     9    0.03                           mprj/u_mac_wrap/clknet_4_7_0_phy_tx_clk (net)
-                  0.07    0.00    5.11 ^ mprj/u_mac_wrap/_09364_/CLK (sky130_fd_sc_hd__dfstp_1)
-                          0.10    5.21   clock uncertainty
-                         -2.33    2.88   clock reconvergence pessimism
-                         -0.01    2.88   library hold time
-                                  2.88   data required time
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.57 ^ mprj/u_mac_wrap/clkbuf_4_12_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.17    6.73 ^ mprj/u_mac_wrap/clkbuf_4_12_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     9    0.04                           mprj/u_mac_wrap/clknet_4_12_0_phy_tx_clk (net)
+                  0.08    0.00    6.74 ^ mprj/u_mac_wrap/_09447_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    6.84   clock uncertainty
+                         -2.45    4.38   clock reconvergence pessimism
+                         -0.03    4.35   library hold time
+                                  4.35   data required time
 -----------------------------------------------------------------------------
-                                  2.88   data required time
-                                 -3.32   data arrival time
+                                  4.35   data required time
+                                 -4.74   data arrival time
 -----------------------------------------------------------------------------
-                                  0.44   slack (MET)
+                                  0.39   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08949_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj/u_mac_wrap/_08949_
+          (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Path Group: mac_tx_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1    6.12                           mprj_io[5] (net)
+                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[5] (net)
+                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    1.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10    1.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    1.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21    1.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15    1.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    1.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27    1.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    1.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43    2.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09    2.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34    2.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    2.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15    3.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00    3.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42    3.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    3.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34    3.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    3.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20    4.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    4.12 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.17    4.29 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    4.29 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.24    4.53 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    4.54 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.24    4.79 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.08                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
+                  0.14    0.00    4.79 ^ mprj/u_mac_wrap/_08949_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.07    0.36    5.14 ^ mprj/u_mac_wrap/_08949_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.grey_rd_ptr[0] (net)
+                  0.07    0.00    5.14 ^ mprj/u_mac_wrap/_05774_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    5.26 ^ mprj/u_mac_wrap/_05774_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00456_ (net)
+                  0.04    0.00    5.26 ^ mprj/u_mac_wrap/_08949_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  5.26   data arrival time
+
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1   11.12                           mprj_io[5] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[5] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.01 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.26    7.28 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.08                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
+                  0.14    0.00    7.28 ^ mprj/u_mac_wrap/_08949_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    7.38   clock uncertainty
+                         -2.49    4.89   clock reconvergence pessimism
+                         -0.02    4.87   library hold time
+                                  4.87   data required time
+-----------------------------------------------------------------------------
+                                  4.87   data required time
+                                 -5.26   data arrival time
+-----------------------------------------------------------------------------
+                                  0.39   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08953_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj/u_mac_wrap/_08953_
+          (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Path Group: mac_tx_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1    6.12                           mprj_io[5] (net)
+                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[5] (net)
+                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    1.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10    1.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    1.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21    1.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15    1.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    1.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27    1.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    1.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43    2.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09    2.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34    2.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    2.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15    3.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00    3.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42    3.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    3.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34    3.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    3.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20    4.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    4.12 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.17    4.29 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    4.29 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.24    4.53 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    4.54 ^ mprj/u_mac_wrap/clkbuf_4_0_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.10    0.21    4.76 ^ mprj/u_mac_wrap/clkbuf_4_0_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.05                           mprj/u_mac_wrap/clknet_4_0_0_phy_tx_clk (net)
+                  0.10    0.00    4.76 ^ mprj/u_mac_wrap/_08953_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.07    0.34    5.10 ^ mprj/u_mac_wrap/_08953_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.grey_rd_ptr[4] (net)
+                  0.07    0.00    5.10 ^ mprj/u_mac_wrap/_05789_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    5.22 ^ mprj/u_mac_wrap/_05789_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00460_ (net)
+                  0.05    0.00    5.22 ^ mprj/u_mac_wrap/_08953_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  5.22   data arrival time
+
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1   11.12                           mprj_io[5] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[5] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.02 ^ mprj/u_mac_wrap/clkbuf_4_0_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.10    0.23    7.24 ^ mprj/u_mac_wrap/clkbuf_4_0_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.05                           mprj/u_mac_wrap/clknet_4_0_0_phy_tx_clk (net)
+                  0.10    0.00    7.25 ^ mprj/u_mac_wrap/_08953_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    7.35   clock uncertainty
+                         -2.49    4.86   clock reconvergence pessimism
+                         -0.03    4.83   library hold time
+                                  4.83   data required time
+-----------------------------------------------------------------------------
+                                  4.83   data required time
+                                 -5.22   data arrival time
+-----------------------------------------------------------------------------
+                                  0.39   slack (MET)
 
 
 Startpoint: mprj/u_mac_wrap/_09358_
@@ -53816,29 +61844,50 @@
                   0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21    1.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00    1.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15    1.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    1.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37    2.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    2.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32    2.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.00    2.60 ^ mprj/u_mac_wrap/clkbuf_4_7_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.19    2.78 ^ mprj/u_mac_wrap/clkbuf_4_7_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     9    0.03                           mprj/u_mac_wrap/clknet_4_7_0_phy_tx_clk (net)
-                  0.07    0.00    2.78 ^ mprj/u_mac_wrap/_09358_/CLK (sky130_fd_sc_hd__dfstp_1)
-                  0.07    0.38    3.16 v mprj/u_mac_wrap/_09358_/Q (sky130_fd_sc_hd__dfstp_1)
-     3    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_crc32.current_crc[8] (net)
-                  0.07    0.00    3.16 v mprj/u_mac_wrap/_06747_/B2 (sky130_fd_sc_hd__o32a_1)
-                  0.04    0.15    3.32 v mprj/u_mac_wrap/_06747_/X (sky130_fd_sc_hd__o32a_1)
-     1    0.00                           mprj/u_mac_wrap/_00858_ (net)
-                  0.04    0.00    3.32 v mprj/u_mac_wrap/_09358_/D (sky130_fd_sc_hd__dfstp_1)
-                                  3.32   data arrival time
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15    1.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    1.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27    1.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    1.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43    2.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09    2.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34    2.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    2.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15    3.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00    3.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42    3.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    3.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34    3.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    3.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20    4.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    4.12 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.17    4.29 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    4.29 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.24    4.53 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    4.54 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.24    4.79 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.08                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
+                  0.14    0.00    4.79 ^ mprj/u_mac_wrap/_09358_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.07    0.36    5.15 ^ mprj/u_mac_wrap/_09358_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_fsm.tx_byte_cntr[15] (net)
+                  0.07    0.00    5.15 ^ mprj/u_mac_wrap/_06705_/S (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    5.27 ^ mprj/u_mac_wrap/_06705_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00817_ (net)
+                  0.04    0.00    5.27 ^ mprj/u_mac_wrap/_09358_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  5.27   data arrival time
 
                           0.00    0.00   clock mac_tx_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -53857,36 +61906,57 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.91 ^ mprj/u_mac_wrap/clkbuf_4_7_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.20    5.11 ^ mprj/u_mac_wrap/clkbuf_4_7_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     9    0.03                           mprj/u_mac_wrap/clknet_4_7_0_phy_tx_clk (net)
-                  0.07    0.00    5.11 ^ mprj/u_mac_wrap/_09358_/CLK (sky130_fd_sc_hd__dfstp_1)
-                          0.10    5.21   clock uncertainty
-                         -2.33    2.88   clock reconvergence pessimism
-                         -0.01    2.88   library hold time
-                                  2.88   data required time
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.01 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.26    7.28 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.08                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
+                  0.14    0.00    7.28 ^ mprj/u_mac_wrap/_09358_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    7.38   clock uncertainty
+                         -2.49    4.89   clock reconvergence pessimism
+                         -0.02    4.87   library hold time
+                                  4.87   data required time
 -----------------------------------------------------------------------------
-                                  2.88   data required time
-                                 -3.32   data arrival time
+                                  4.87   data required time
+                                 -5.27   data arrival time
 -----------------------------------------------------------------------------
-                                  0.44   slack (MET)
+                                  0.40   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_09293_
+Startpoint: mprj/u_mac_wrap/_09432_
             (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_09293_
+Endpoint: mprj/u_mac_wrap/_09432_
           (rising edge-triggered flip-flop clocked by mac_tx_clk)
 Path Group: mac_tx_clk
 Path Type: min
@@ -53910,217 +61980,50 @@
                   0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21    1.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00    1.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15    1.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    1.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37    2.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    2.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32    2.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    2.60 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.20    2.80 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    13    0.04                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
-                  0.09    0.00    2.80 ^ mprj/u_mac_wrap/_09293_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.11    0.37    3.17 ^ mprj/u_mac_wrap/_09293_/Q (sky130_fd_sc_hd__dfrtp_1)
-     4    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_fsm.tx_byte_cntr[0] (net)
-                  0.11    0.00    3.17 ^ mprj/u_mac_wrap/_06596_/S (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.14    3.31 ^ mprj/u_mac_wrap/_06596_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00802_ (net)
-                  0.04    0.00    3.31 ^ mprj/u_mac_wrap/_09293_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  3.31   data arrival time
-
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1   11.12                           mprj_io[5] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[5] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.92 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.21    5.13 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    13    0.04                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
-                  0.09    0.00    5.13 ^ mprj/u_mac_wrap/_09293_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    5.23   clock uncertainty
-                         -2.33    2.90   clock reconvergence pessimism
-                         -0.03    2.87   library hold time
-                                  2.87   data required time
------------------------------------------------------------------------------
-                                  2.87   data required time
-                                 -3.31   data arrival time
------------------------------------------------------------------------------
-                                  0.44   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_09372_
-            (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_09372_
-          (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Path Group: mac_tx_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1    6.12                           mprj_io[5] (net)
-                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[5] (net)
-                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    1.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10    1.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    1.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21    1.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21    1.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00    1.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15    1.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    1.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37    2.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    2.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32    2.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.00    2.60 ^ mprj/u_mac_wrap/clkbuf_4_7_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.19    2.78 ^ mprj/u_mac_wrap/clkbuf_4_7_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     9    0.03                           mprj/u_mac_wrap/clknet_4_7_0_phy_tx_clk (net)
-                  0.07    0.00    2.78 ^ mprj/u_mac_wrap/_09372_/CLK (sky130_fd_sc_hd__dfstp_1)
-                  0.07    0.38    3.17 v mprj/u_mac_wrap/_09372_/Q (sky130_fd_sc_hd__dfstp_1)
-     3    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_crc32.current_crc[22] (net)
-                  0.07    0.00    3.17 v mprj/u_mac_wrap/_06811_/B2 (sky130_fd_sc_hd__o32a_1)
-                  0.04    0.15    3.32 v mprj/u_mac_wrap/_06811_/X (sky130_fd_sc_hd__o32a_1)
-     1    0.00                           mprj/u_mac_wrap/_00872_ (net)
-                  0.04    0.00    3.32 v mprj/u_mac_wrap/_09372_/D (sky130_fd_sc_hd__dfstp_1)
-                                  3.32   data arrival time
-
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1   11.12                           mprj_io[5] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[5] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.91 ^ mprj/u_mac_wrap/clkbuf_4_7_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.20    5.11 ^ mprj/u_mac_wrap/clkbuf_4_7_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     9    0.03                           mprj/u_mac_wrap/clknet_4_7_0_phy_tx_clk (net)
-                  0.07    0.00    5.12 ^ mprj/u_mac_wrap/_09372_/CLK (sky130_fd_sc_hd__dfstp_1)
-                          0.10    5.22   clock uncertainty
-                         -2.33    2.88   clock reconvergence pessimism
-                         -0.01    2.88   library hold time
-                                  2.88   data required time
------------------------------------------------------------------------------
-                                  2.88   data required time
-                                 -3.32   data arrival time
------------------------------------------------------------------------------
-                                  0.44   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_09382_
-            (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_09382_
-          (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Path Group: mac_tx_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1    6.12                           mprj_io[5] (net)
-                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[5] (net)
-                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    1.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10    1.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    1.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21    1.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21    1.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00    1.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15    1.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    1.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37    2.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    2.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32    2.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    2.60 ^ mprj/u_mac_wrap/clkbuf_4_5_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.18    2.78 ^ mprj/u_mac_wrap/clkbuf_4_5_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     8    0.03                           mprj/u_mac_wrap/clknet_4_5_0_phy_tx_clk (net)
-                  0.06    0.00    2.78 ^ mprj/u_mac_wrap/_09382_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.13    0.38    3.15 ^ mprj/u_mac_wrap/_09382_/Q (sky130_fd_sc_hd__dfrtp_1)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15    1.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    1.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27    1.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    1.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43    2.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09    2.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34    2.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    2.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15    3.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00    3.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42    3.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    3.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34    3.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    3.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20    4.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    4.12 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.17    4.29 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    4.29 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.24    4.53 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    4.54 ^ mprj/u_mac_wrap/clkbuf_4_4_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.19    4.73 ^ mprj/u_mac_wrap/clkbuf_4_4_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.03                           mprj/u_mac_wrap/clknet_4_4_0_phy_tx_clk (net)
+                  0.07    0.00    4.73 ^ mprj/u_mac_wrap/_09432_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.35    5.08 ^ mprj/u_mac_wrap/_09432_/Q (sky130_fd_sc_hd__dfrtp_1)
      2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_fsm.tx_fsm_dt_reg[0] (net)
-                  0.13    0.00    3.15 ^ mprj/u_mac_wrap/_06862_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    3.29 ^ mprj/u_mac_wrap/_06862_/X (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.00    5.08 ^ mprj/u_mac_wrap/_06923_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    5.21 ^ mprj/u_mac_wrap/_06923_/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mac_wrap/_00882_ (net)
-                  0.04    0.00    3.29 ^ mprj/u_mac_wrap/_09382_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  3.29   data arrival time
+                  0.04    0.00    5.21 ^ mprj/u_mac_wrap/_09432_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  5.21   data arrival time
 
                           0.00    0.00   clock mac_tx_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -54139,36 +62042,57 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.92 ^ mprj/u_mac_wrap/clkbuf_4_5_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.19    5.11 ^ mprj/u_mac_wrap/clkbuf_4_5_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     8    0.03                           mprj/u_mac_wrap/clknet_4_5_0_phy_tx_clk (net)
-                  0.06    0.00    5.11 ^ mprj/u_mac_wrap/_09382_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    5.21   clock uncertainty
-                         -2.33    2.88   clock reconvergence pessimism
-                         -0.03    2.85   library hold time
-                                  2.85   data required time
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.01 ^ mprj/u_mac_wrap/clkbuf_4_4_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.21    7.22 ^ mprj/u_mac_wrap/clkbuf_4_4_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.03                           mprj/u_mac_wrap/clknet_4_4_0_phy_tx_clk (net)
+                  0.07    0.00    7.22 ^ mprj/u_mac_wrap/_09432_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    7.32   clock uncertainty
+                         -2.49    4.83   clock reconvergence pessimism
+                         -0.03    4.80   library hold time
+                                  4.80   data required time
 -----------------------------------------------------------------------------
-                                  2.85   data required time
-                                 -3.29   data arrival time
+                                  4.80   data required time
+                                 -5.21   data arrival time
 -----------------------------------------------------------------------------
-                                  0.44   slack (MET)
+                                  0.40   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_09362_
+Startpoint: mprj/u_mac_wrap/_09344_
             (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_09362_
+Endpoint: mprj/u_mac_wrap/_09344_
           (rising edge-triggered flip-flop clocked by mac_tx_clk)
 Path Group: mac_tx_clk
 Path Type: min
@@ -54192,29 +62116,50 @@
                   0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21    1.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00    1.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15    1.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    1.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37    2.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    2.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32    2.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.00    2.59 ^ mprj/u_mac_wrap/clkbuf_4_15_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.18    2.78 ^ mprj/u_mac_wrap/clkbuf_4_15_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    10    0.03                           mprj/u_mac_wrap/clknet_4_15_0_phy_tx_clk (net)
-                  0.07    0.00    2.78 ^ mprj/u_mac_wrap/_09362_/CLK (sky130_fd_sc_hd__dfstp_1)
-                  0.07    0.38    3.16 v mprj/u_mac_wrap/_09362_/Q (sky130_fd_sc_hd__dfstp_1)
-     3    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_crc32.current_crc[12] (net)
-                  0.07    0.00    3.16 v mprj/u_mac_wrap/_06757_/B2 (sky130_fd_sc_hd__o32a_1)
-                  0.04    0.15    3.32 v mprj/u_mac_wrap/_06757_/X (sky130_fd_sc_hd__o32a_1)
-     1    0.00                           mprj/u_mac_wrap/_00862_ (net)
-                  0.04    0.00    3.32 v mprj/u_mac_wrap/_09362_/D (sky130_fd_sc_hd__dfstp_1)
-                                  3.32   data arrival time
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15    1.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    1.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27    1.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    1.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43    2.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09    2.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34    2.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    2.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15    3.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00    3.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42    3.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    3.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34    3.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    3.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20    4.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    4.12 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.17    4.29 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    4.29 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.24    4.53 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    4.54 ^ mprj/u_mac_wrap/clkbuf_4_4_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.19    4.73 ^ mprj/u_mac_wrap/clkbuf_4_4_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.03                           mprj/u_mac_wrap/clknet_4_4_0_phy_tx_clk (net)
+                  0.07    0.00    4.73 ^ mprj/u_mac_wrap/_09344_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.11    0.37    5.10 ^ mprj/u_mac_wrap/_09344_/Q (sky130_fd_sc_hd__dfrtp_1)
+     4    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_fsm.tx_byte_cntr[1] (net)
+                  0.11    0.00    5.10 ^ mprj/u_mac_wrap/_06657_/A1 (sky130_fd_sc_hd__a21o_1)
+                  0.03    0.11    5.21 ^ mprj/u_mac_wrap/_06657_/X (sky130_fd_sc_hd__a21o_1)
+     1    0.00                           mprj/u_mac_wrap/_00803_ (net)
+                  0.03    0.00    5.21 ^ mprj/u_mac_wrap/_09344_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  5.21   data arrival time
 
                           0.00    0.00   clock mac_tx_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -54233,31 +62178,182 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.00    4.91 ^ mprj/u_mac_wrap/clkbuf_4_15_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.20    5.11 ^ mprj/u_mac_wrap/clkbuf_4_15_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    10    0.03                           mprj/u_mac_wrap/clknet_4_15_0_phy_tx_clk (net)
-                  0.07    0.00    5.11 ^ mprj/u_mac_wrap/_09362_/CLK (sky130_fd_sc_hd__dfstp_1)
-                          0.10    5.21   clock uncertainty
-                         -2.33    2.88   clock reconvergence pessimism
-                         -0.01    2.87   library hold time
-                                  2.87   data required time
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.01 ^ mprj/u_mac_wrap/clkbuf_4_4_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.21    7.22 ^ mprj/u_mac_wrap/clkbuf_4_4_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.03                           mprj/u_mac_wrap/clknet_4_4_0_phy_tx_clk (net)
+                  0.07    0.00    7.22 ^ mprj/u_mac_wrap/_09344_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    7.32   clock uncertainty
+                         -2.49    4.83   clock reconvergence pessimism
+                         -0.03    4.81   library hold time
+                                  4.81   data required time
 -----------------------------------------------------------------------------
-                                  2.87   data required time
-                                 -3.32   data arrival time
+                                  4.81   data required time
+                                 -5.21   data arrival time
 -----------------------------------------------------------------------------
-                                  0.44   slack (MET)
+                                  0.41   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09880_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj/u_mac_wrap/_09880_
+          (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Path Group: mac_tx_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1    6.12                           mprj_io[5] (net)
+                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[5] (net)
+                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    1.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10    1.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    1.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21    1.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15    1.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    1.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27    1.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    1.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43    2.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09    2.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34    2.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    2.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15    3.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00    3.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42    3.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    3.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34    3.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    3.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20    4.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    4.12 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.17    4.29 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    4.29 ^ mprj/u_mac_wrap/clkbuf_4_15_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.17    4.47 ^ mprj/u_mac_wrap/clkbuf_4_15_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     9    0.03                           mprj/u_mac_wrap/clknet_4_15_0_phy_tx_clk (net)
+                  0.07    0.00    4.47 ^ mprj/u_mac_wrap/_09880_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.15    0.39    4.86 ^ mprj/u_mac_wrap/_09880_/Q (sky130_fd_sc_hd__dfrtp_1)
+     4    0.02                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_mii_intf.tx_preamble_cnt_val[0] (net)
+                  0.15    0.00    4.86 ^ mprj/u_mac_wrap/_07866_/A (sky130_fd_sc_hd__xor2_1)
+                  0.04    0.08    4.94 v mprj/u_mac_wrap/_07866_/X (sky130_fd_sc_hd__xor2_1)
+     1    0.00                           mprj/u_mac_wrap/_01272_ (net)
+                  0.04    0.00    4.94 v mprj/u_mac_wrap/_09880_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  4.94   data arrival time
+
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1   11.12                           mprj_io[5] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[5] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/clkbuf_4_15_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.18    6.93 ^ mprj/u_mac_wrap/clkbuf_4_15_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     9    0.03                           mprj/u_mac_wrap/clknet_4_15_0_phy_tx_clk (net)
+                  0.07    0.00    6.93 ^ mprj/u_mac_wrap/_09880_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    7.03   clock uncertainty
+                         -2.47    4.57   clock reconvergence pessimism
+                         -0.04    4.53   library hold time
+                                  4.53   data required time
+-----------------------------------------------------------------------------
+                                  4.53   data required time
+                                 -4.94   data arrival time
+-----------------------------------------------------------------------------
+                                  0.41   slack (MET)
 
 
 Startpoint: mprj/u_mac_wrap/_09355_
@@ -54286,29 +62382,50 @@
                   0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21    1.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00    1.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15    1.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    1.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37    2.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    2.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32    2.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.00    2.60 ^ mprj/u_mac_wrap/clkbuf_4_13_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.18    2.78 ^ mprj/u_mac_wrap/clkbuf_4_13_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     7    0.03                           mprj/u_mac_wrap/clknet_4_13_0_phy_tx_clk (net)
-                  0.06    0.00    2.78 ^ mprj/u_mac_wrap/_09355_/CLK (sky130_fd_sc_hd__dfstp_2)
-                  0.07    0.39    3.17 v mprj/u_mac_wrap/_09355_/Q (sky130_fd_sc_hd__dfstp_2)
-     3    0.02                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_crc32.current_crc[5] (net)
-                  0.07    0.00    3.17 v mprj/u_mac_wrap/_06732_/B2 (sky130_fd_sc_hd__o32a_1)
-                  0.04    0.15    3.32 v mprj/u_mac_wrap/_06732_/X (sky130_fd_sc_hd__o32a_1)
-     1    0.00                           mprj/u_mac_wrap/_00855_ (net)
-                  0.04    0.00    3.32 v mprj/u_mac_wrap/_09355_/D (sky130_fd_sc_hd__dfstp_2)
-                                  3.32   data arrival time
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15    1.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    1.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27    1.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    1.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43    2.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09    2.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34    2.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    2.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15    3.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00    3.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42    3.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    3.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34    3.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    3.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20    4.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    4.12 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.17    4.29 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    4.29 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.24    4.53 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    4.54 ^ mprj/u_mac_wrap/clkbuf_4_3_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.10    0.22    4.76 ^ mprj/u_mac_wrap/clkbuf_4_3_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    22    0.05                           mprj/u_mac_wrap/clknet_4_3_0_phy_tx_clk (net)
+                  0.10    0.00    4.76 ^ mprj/u_mac_wrap/_09355_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.12    0.38    5.14 ^ mprj/u_mac_wrap/_09355_/Q (sky130_fd_sc_hd__dfrtp_1)
+     3    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_fsm.tx_byte_cntr[12] (net)
+                  0.12    0.00    5.14 ^ mprj/u_mac_wrap/_06697_/A1 (sky130_fd_sc_hd__a21o_1)
+                  0.03    0.11    5.25 ^ mprj/u_mac_wrap/_06697_/X (sky130_fd_sc_hd__a21o_1)
+     1    0.00                           mprj/u_mac_wrap/_00814_ (net)
+                  0.03    0.00    5.25 ^ mprj/u_mac_wrap/_09355_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  5.25   data arrival time
 
                           0.00    0.00   clock mac_tx_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -54327,36 +62444,57 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.00    4.91 ^ mprj/u_mac_wrap/clkbuf_4_13_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.19    5.11 ^ mprj/u_mac_wrap/clkbuf_4_13_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     7    0.03                           mprj/u_mac_wrap/clknet_4_13_0_phy_tx_clk (net)
-                  0.06    0.00    5.11 ^ mprj/u_mac_wrap/_09355_/CLK (sky130_fd_sc_hd__dfstp_2)
-                          0.10    5.21   clock uncertainty
-                         -2.33    2.88   clock reconvergence pessimism
-                          0.00    2.87   library hold time
-                                  2.87   data required time
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.01 ^ mprj/u_mac_wrap/clkbuf_4_3_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.10    0.23    7.24 ^ mprj/u_mac_wrap/clkbuf_4_3_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    22    0.05                           mprj/u_mac_wrap/clknet_4_3_0_phy_tx_clk (net)
+                  0.10    0.00    7.24 ^ mprj/u_mac_wrap/_09355_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    7.34   clock uncertainty
+                         -2.49    4.86   clock reconvergence pessimism
+                         -0.02    4.83   library hold time
+                                  4.83   data required time
 -----------------------------------------------------------------------------
-                                  2.87   data required time
-                                 -3.32   data arrival time
+                                  4.83   data required time
+                                 -5.25   data arrival time
 -----------------------------------------------------------------------------
-                                  0.44   slack (MET)
+                                  0.42   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_09830_
+Startpoint: mprj/u_mac_wrap/_09435_
             (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_09830_
+Endpoint: mprj/u_mac_wrap/_09435_
           (rising edge-triggered flip-flop clocked by mac_tx_clk)
 Path Group: mac_tx_clk
 Path Type: min
@@ -54380,1633 +62518,50 @@
                   0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21    1.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00    1.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15    1.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    1.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37    2.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    2.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32    2.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.00    2.59 ^ mprj/u_mac_wrap/clkbuf_4_15_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.18    2.78 ^ mprj/u_mac_wrap/clkbuf_4_15_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    10    0.03                           mprj/u_mac_wrap/clknet_4_15_0_phy_tx_clk (net)
-                  0.07    0.00    2.78 ^ mprj/u_mac_wrap/_09830_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.19    0.42    3.20 ^ mprj/u_mac_wrap/_09830_/Q (sky130_fd_sc_hd__dfrtp_1)
-     5    0.02                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_mii_intf.tx_preamble_cnt_val[0] (net)
-                  0.19    0.00    3.20 ^ mprj/u_mac_wrap/_07803_/A (sky130_fd_sc_hd__xnor2_1)
-                  0.03    0.09    3.29 v mprj/u_mac_wrap/_07803_/Y (sky130_fd_sc_hd__xnor2_1)
-     1    0.00                           mprj/u_mac_wrap/_01272_ (net)
-                  0.03    0.00    3.29 v mprj/u_mac_wrap/_09830_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  3.29   data arrival time
-
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1   11.12                           mprj_io[5] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[5] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.00    4.91 ^ mprj/u_mac_wrap/clkbuf_4_15_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.20    5.11 ^ mprj/u_mac_wrap/clkbuf_4_15_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    10    0.03                           mprj/u_mac_wrap/clknet_4_15_0_phy_tx_clk (net)
-                  0.07    0.00    5.11 ^ mprj/u_mac_wrap/_09830_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    5.21   clock uncertainty
-                         -2.33    2.88   clock reconvergence pessimism
-                         -0.04    2.85   library hold time
-                                  2.85   data required time
------------------------------------------------------------------------------
-                                  2.85   data required time
-                                 -3.29   data arrival time
------------------------------------------------------------------------------
-                                  0.44   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_09302_
-            (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_09302_
-          (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Path Group: mac_tx_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1    6.12                           mprj_io[5] (net)
-                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[5] (net)
-                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    1.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10    1.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    1.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21    1.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21    1.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00    1.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15    1.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    1.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37    2.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    2.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32    2.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    2.60 ^ mprj/u_mac_wrap/clkbuf_4_2_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.19    2.79 ^ mprj/u_mac_wrap/clkbuf_4_2_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    12    0.04                           mprj/u_mac_wrap/clknet_4_2_0_phy_tx_clk (net)
-                  0.08    0.00    2.79 ^ mprj/u_mac_wrap/_09302_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.12    0.37    3.16 ^ mprj/u_mac_wrap/_09302_/Q (sky130_fd_sc_hd__dfrtp_1)
-     4    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_fsm.tx_byte_cntr[9] (net)
-                  0.12    0.00    3.16 ^ mprj/u_mac_wrap/_06625_/S (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.14    3.30 ^ mprj/u_mac_wrap/_06625_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00811_ (net)
-                  0.04    0.00    3.30 ^ mprj/u_mac_wrap/_09302_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  3.30   data arrival time
-
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1   11.12                           mprj_io[5] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[5] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.91 ^ mprj/u_mac_wrap/clkbuf_4_2_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.20    5.12 ^ mprj/u_mac_wrap/clkbuf_4_2_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    12    0.04                           mprj/u_mac_wrap/clknet_4_2_0_phy_tx_clk (net)
-                  0.08    0.00    5.12 ^ mprj/u_mac_wrap/_09302_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    5.22   clock uncertainty
-                         -2.33    2.89   clock reconvergence pessimism
-                         -0.03    2.86   library hold time
-                                  2.86   data required time
------------------------------------------------------------------------------
-                                  2.86   data required time
-                                 -3.30   data arrival time
------------------------------------------------------------------------------
-                                  0.44   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_08922_
-            (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_08922_
-          (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Path Group: mac_tx_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1    6.12                           mprj_io[5] (net)
-                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[5] (net)
-                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    1.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10    1.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    1.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21    1.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21    1.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00    1.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15    1.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    1.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37    2.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    2.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32    2.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    2.60 ^ mprj/u_mac_wrap/clkbuf_4_0_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.10    0.21    2.81 ^ mprj/u_mac_wrap/clkbuf_4_0_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    20    0.05                           mprj/u_mac_wrap/clknet_4_0_0_phy_tx_clk (net)
-                  0.10    0.00    2.81 ^ mprj/u_mac_wrap/_08922_/CLK (sky130_fd_sc_hd__dfrtp_2)
-                  0.11    0.41    3.21 ^ mprj/u_mac_wrap/_08922_/Q (sky130_fd_sc_hd__dfrtp_2)
-     4    0.02                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.rd_ptr[5] (net)
-                  0.11    0.00    3.21 ^ mprj/u_mac_wrap/_05747_/A1 (sky130_fd_sc_hd__a21o_1)
-                  0.03    0.11    3.32 ^ mprj/u_mac_wrap/_05747_/X (sky130_fd_sc_hd__a21o_1)
-     1    0.00                           mprj/u_mac_wrap/_00467_ (net)
-                  0.03    0.00    3.33 ^ mprj/u_mac_wrap/_08922_/D (sky130_fd_sc_hd__dfrtp_2)
-                                  3.33   data arrival time
-
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1   11.12                           mprj_io[5] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[5] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.92 ^ mprj/u_mac_wrap/clkbuf_4_0_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.10    0.22    5.14 ^ mprj/u_mac_wrap/clkbuf_4_0_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    20    0.05                           mprj/u_mac_wrap/clknet_4_0_0_phy_tx_clk (net)
-                  0.10    0.00    5.14 ^ mprj/u_mac_wrap/_08922_/CLK (sky130_fd_sc_hd__dfrtp_2)
-                          0.10    5.24   clock uncertainty
-                         -2.33    2.91   clock reconvergence pessimism
-                         -0.02    2.88   library hold time
-                                  2.88   data required time
------------------------------------------------------------------------------
-                                  2.88   data required time
-                                 -3.33   data arrival time
------------------------------------------------------------------------------
-                                  0.44   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_09378_
-            (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_09378_
-          (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Path Group: mac_tx_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1    6.12                           mprj_io[5] (net)
-                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[5] (net)
-                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    1.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10    1.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    1.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21    1.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21    1.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00    1.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15    1.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    1.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37    2.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    2.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32    2.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.00    2.59 ^ mprj/u_mac_wrap/clkbuf_4_15_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.18    2.78 ^ mprj/u_mac_wrap/clkbuf_4_15_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    10    0.03                           mprj/u_mac_wrap/clknet_4_15_0_phy_tx_clk (net)
-                  0.07    0.00    2.78 ^ mprj/u_mac_wrap/_09378_/CLK (sky130_fd_sc_hd__dfstp_1)
-                  0.07    0.38    3.16 v mprj/u_mac_wrap/_09378_/Q (sky130_fd_sc_hd__dfstp_1)
-     3    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_crc32.current_crc[28] (net)
-                  0.07    0.00    3.16 v mprj/u_mac_wrap/_06832_/B2 (sky130_fd_sc_hd__o32a_1)
-                  0.04    0.16    3.32 v mprj/u_mac_wrap/_06832_/X (sky130_fd_sc_hd__o32a_1)
-     1    0.00                           mprj/u_mac_wrap/_00878_ (net)
-                  0.04    0.00    3.32 v mprj/u_mac_wrap/_09378_/D (sky130_fd_sc_hd__dfstp_1)
-                                  3.32   data arrival time
-
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1   11.12                           mprj_io[5] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[5] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.00    4.91 ^ mprj/u_mac_wrap/clkbuf_4_15_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.20    5.11 ^ mprj/u_mac_wrap/clkbuf_4_15_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    10    0.03                           mprj/u_mac_wrap/clknet_4_15_0_phy_tx_clk (net)
-                  0.07    0.00    5.11 ^ mprj/u_mac_wrap/_09378_/CLK (sky130_fd_sc_hd__dfstp_1)
-                          0.10    5.21   clock uncertainty
-                         -2.33    2.88   clock reconvergence pessimism
-                         -0.01    2.87   library hold time
-                                  2.87   data required time
------------------------------------------------------------------------------
-                                  2.87   data required time
-                                 -3.32   data arrival time
------------------------------------------------------------------------------
-                                  0.44   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_09388_
-            (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_09388_
-          (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Path Group: mac_tx_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1    6.12                           mprj_io[5] (net)
-                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[5] (net)
-                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    1.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10    1.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    1.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21    1.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21    1.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00    1.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15    1.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    1.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37    2.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    2.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32    2.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    2.60 ^ mprj/u_mac_wrap/clkbuf_4_5_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.18    2.78 ^ mprj/u_mac_wrap/clkbuf_4_5_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     8    0.03                           mprj/u_mac_wrap/clknet_4_5_0_phy_tx_clk (net)
-                  0.06    0.00    2.78 ^ mprj/u_mac_wrap/_09388_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.12    0.37    3.15 ^ mprj/u_mac_wrap/_09388_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_fsm.tx_fsm_dt_reg[6] (net)
-                  0.12    0.00    3.15 ^ mprj/u_mac_wrap/_07006_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.14    3.29 ^ mprj/u_mac_wrap/_07006_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00888_ (net)
-                  0.04    0.00    3.29 ^ mprj/u_mac_wrap/_09388_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  3.29   data arrival time
-
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1   11.12                           mprj_io[5] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[5] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.92 ^ mprj/u_mac_wrap/clkbuf_4_5_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.19    5.11 ^ mprj/u_mac_wrap/clkbuf_4_5_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     8    0.03                           mprj/u_mac_wrap/clknet_4_5_0_phy_tx_clk (net)
-                  0.06    0.00    5.11 ^ mprj/u_mac_wrap/_09388_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    5.21   clock uncertainty
-                         -2.33    2.88   clock reconvergence pessimism
-                         -0.03    2.85   library hold time
-                                  2.85   data required time
------------------------------------------------------------------------------
-                                  2.85   data required time
-                                 -3.29   data arrival time
------------------------------------------------------------------------------
-                                  0.44   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_09363_
-            (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_09363_
-          (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Path Group: mac_tx_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1    6.12                           mprj_io[5] (net)
-                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[5] (net)
-                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    1.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10    1.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    1.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21    1.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21    1.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00    1.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15    1.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    1.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37    2.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    2.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32    2.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.00    2.60 ^ mprj/u_mac_wrap/clkbuf_4_6_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.10    0.21    2.81 ^ mprj/u_mac_wrap/clkbuf_4_6_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    20    0.05                           mprj/u_mac_wrap/clknet_4_6_0_phy_tx_clk (net)
-                  0.10    0.00    2.81 ^ mprj/u_mac_wrap/_09363_/CLK (sky130_fd_sc_hd__dfstp_1)
-                  0.07    0.39    3.20 v mprj/u_mac_wrap/_09363_/Q (sky130_fd_sc_hd__dfstp_1)
-     3    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_crc32.current_crc[13] (net)
-                  0.07    0.00    3.20 v mprj/u_mac_wrap/_06761_/B2 (sky130_fd_sc_hd__o32a_1)
-                  0.04    0.15    3.35 v mprj/u_mac_wrap/_06761_/X (sky130_fd_sc_hd__o32a_1)
-     1    0.00                           mprj/u_mac_wrap/_00863_ (net)
-                  0.04    0.00    3.35 v mprj/u_mac_wrap/_09363_/D (sky130_fd_sc_hd__dfstp_1)
-                                  3.35   data arrival time
-
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1   11.12                           mprj_io[5] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[5] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.00    4.91 ^ mprj/u_mac_wrap/clkbuf_4_6_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.10    0.23    5.14 ^ mprj/u_mac_wrap/clkbuf_4_6_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    20    0.05                           mprj/u_mac_wrap/clknet_4_6_0_phy_tx_clk (net)
-                  0.10    0.00    5.14 ^ mprj/u_mac_wrap/_09363_/CLK (sky130_fd_sc_hd__dfstp_1)
-                          0.10    5.24   clock uncertainty
-                         -2.33    2.91   clock reconvergence pessimism
-                          0.00    2.91   library hold time
-                                  2.91   data required time
------------------------------------------------------------------------------
-                                  2.91   data required time
-                                 -3.35   data arrival time
------------------------------------------------------------------------------
-                                  0.45   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_09371_
-            (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_09371_
-          (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Path Group: mac_tx_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1    6.12                           mprj_io[5] (net)
-                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[5] (net)
-                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    1.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10    1.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    1.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21    1.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21    1.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00    1.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15    1.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    1.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37    2.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    2.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32    2.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.00    2.60 ^ mprj/u_mac_wrap/clkbuf_4_12_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.17    2.77 ^ mprj/u_mac_wrap/clkbuf_4_12_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     7    0.02                           mprj/u_mac_wrap/clknet_4_12_0_phy_tx_clk (net)
-                  0.06    0.00    2.77 ^ mprj/u_mac_wrap/_09371_/CLK (sky130_fd_sc_hd__dfstp_1)
-                  0.08    0.38    3.15 v mprj/u_mac_wrap/_09371_/Q (sky130_fd_sc_hd__dfstp_1)
-     3    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_crc32.current_crc[21] (net)
-                  0.08    0.00    3.15 v mprj/u_mac_wrap/_06807_/B2 (sky130_fd_sc_hd__o32a_1)
-                  0.04    0.15    3.31 v mprj/u_mac_wrap/_06807_/X (sky130_fd_sc_hd__o32a_1)
-     1    0.00                           mprj/u_mac_wrap/_00871_ (net)
-                  0.04    0.00    3.31 v mprj/u_mac_wrap/_09371_/D (sky130_fd_sc_hd__dfstp_1)
-                                  3.31   data arrival time
-
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1   11.12                           mprj_io[5] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[5] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.00    4.91 ^ mprj/u_mac_wrap/clkbuf_4_12_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.19    5.10 ^ mprj/u_mac_wrap/clkbuf_4_12_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     7    0.02                           mprj/u_mac_wrap/clknet_4_12_0_phy_tx_clk (net)
-                  0.06    0.00    5.10 ^ mprj/u_mac_wrap/_09371_/CLK (sky130_fd_sc_hd__dfstp_1)
-                          0.10    5.20   clock uncertainty
-                         -2.33    2.87   clock reconvergence pessimism
-                         -0.01    2.86   library hold time
-                                  2.86   data required time
------------------------------------------------------------------------------
-                                  2.86   data required time
-                                 -3.31   data arrival time
------------------------------------------------------------------------------
-                                  0.45   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_09396_
-            (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_09795_
-          (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Path Group: mac_tx_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1    6.12                           mprj_io[5] (net)
-                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[5] (net)
-                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    1.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10    1.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    1.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21    1.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21    1.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00    1.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15    1.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    1.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37    2.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    2.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32    2.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    2.60 ^ mprj/u_mac_wrap/clkbuf_4_3_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.12    0.22    2.82 ^ mprj/u_mac_wrap/clkbuf_4_3_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    28    0.07                           mprj/u_mac_wrap/clknet_4_3_0_phy_tx_clk (net)
-                  0.12    0.00    2.82 ^ mprj/u_mac_wrap/_09396_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.06    0.35    3.17 ^ mprj/u_mac_wrap/_09396_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_fsm.fifo_undrn (net)
-                  0.06    0.00    3.17 ^ mprj/u_mac_wrap/_04270_/A (sky130_fd_sc_hd__inv_2)
-                  0.02    0.04    3.20 v mprj/u_mac_wrap/_04270_/Y (sky130_fd_sc_hd__inv_2)
-     2    0.01                           mprj/u_mac_wrap/_01621_ (net)
-                  0.02    0.00    3.20 v mprj/u_mac_wrap/_07789_/A (sky130_fd_sc_hd__nand2_1)
-                  0.04    0.05    3.25 ^ mprj/u_mac_wrap/_07789_/Y (sky130_fd_sc_hd__nand2_1)
-     1    0.00                           mprj/u_mac_wrap/_03840_ (net)
-                  0.04    0.00    3.25 ^ mprj/u_mac_wrap/_07790_/B2 (sky130_fd_sc_hd__o22a_1)
-                  0.04    0.10    3.35 ^ mprj/u_mac_wrap/_07790_/X (sky130_fd_sc_hd__o22a_1)
-     1    0.00                           mprj/u_mac_wrap/_01267_ (net)
-                  0.04    0.00    3.35 ^ mprj/u_mac_wrap/_09795_/D (sky130_fd_sc_hd__dfrtp_2)
-                                  3.35   data arrival time
-
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1   11.12                           mprj_io[5] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[5] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.91 ^ mprj/u_mac_wrap/clkbuf_4_3_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.12    0.24    5.15 ^ mprj/u_mac_wrap/clkbuf_4_3_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    28    0.07                           mprj/u_mac_wrap/clknet_4_3_0_phy_tx_clk (net)
-                  0.12    0.00    5.16 ^ mprj/u_mac_wrap/_09795_/CLK (sky130_fd_sc_hd__dfrtp_2)
-                          0.10    5.26   clock uncertainty
-                         -2.33    2.92   clock reconvergence pessimism
-                         -0.02    2.90   library hold time
-                                  2.90   data required time
------------------------------------------------------------------------------
-                                  2.90   data required time
-                                 -3.35   data arrival time
------------------------------------------------------------------------------
-                                  0.45   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_09353_
-            (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_09353_
-          (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Path Group: mac_tx_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1    6.12                           mprj_io[5] (net)
-                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[5] (net)
-                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    1.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10    1.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    1.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21    1.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21    1.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00    1.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15    1.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    1.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37    2.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    2.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32    2.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    2.60 ^ mprj/u_mac_wrap/clkbuf_4_4_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.18    2.78 ^ mprj/u_mac_wrap/clkbuf_4_4_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     9    0.03                           mprj/u_mac_wrap/clknet_4_4_0_phy_tx_clk (net)
-                  0.07    0.00    2.78 ^ mprj/u_mac_wrap/_09353_/CLK (sky130_fd_sc_hd__dfstp_2)
-                  0.07    0.39    3.18 v mprj/u_mac_wrap/_09353_/Q (sky130_fd_sc_hd__dfstp_2)
-     3    0.03                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_crc32.current_crc[3] (net)
-                  0.07    0.00    3.18 v mprj/u_mac_wrap/_06720_/B2 (sky130_fd_sc_hd__o32a_1)
-                  0.04    0.15    3.33 v mprj/u_mac_wrap/_06720_/X (sky130_fd_sc_hd__o32a_1)
-     1    0.00                           mprj/u_mac_wrap/_00853_ (net)
-                  0.04    0.00    3.33 v mprj/u_mac_wrap/_09353_/D (sky130_fd_sc_hd__dfstp_2)
-                                  3.33   data arrival time
-
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1   11.12                           mprj_io[5] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[5] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.92 ^ mprj/u_mac_wrap/clkbuf_4_4_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.20    5.11 ^ mprj/u_mac_wrap/clkbuf_4_4_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     9    0.03                           mprj/u_mac_wrap/clknet_4_4_0_phy_tx_clk (net)
-                  0.07    0.00    5.11 ^ mprj/u_mac_wrap/_09353_/CLK (sky130_fd_sc_hd__dfstp_2)
-                          0.10    5.21   clock uncertainty
-                         -2.33    2.88   clock reconvergence pessimism
-                          0.00    2.88   library hold time
-                                  2.88   data required time
------------------------------------------------------------------------------
-                                  2.88   data required time
-                                 -3.33   data arrival time
------------------------------------------------------------------------------
-                                  0.45   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_09298_
-            (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_09298_
-          (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Path Group: mac_tx_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1    6.12                           mprj_io[5] (net)
-                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[5] (net)
-                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    1.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10    1.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    1.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21    1.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21    1.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00    1.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15    1.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    1.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37    2.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    2.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32    2.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    2.60 ^ mprj/u_mac_wrap/clkbuf_4_3_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.12    0.22    2.82 ^ mprj/u_mac_wrap/clkbuf_4_3_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    28    0.07                           mprj/u_mac_wrap/clknet_4_3_0_phy_tx_clk (net)
-                  0.12    0.00    2.82 ^ mprj/u_mac_wrap/_09298_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.12    0.39    3.21 ^ mprj/u_mac_wrap/_09298_/Q (sky130_fd_sc_hd__dfrtp_1)
-     4    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_fsm.tx_byte_cntr[5] (net)
-                  0.12    0.00    3.21 ^ mprj/u_mac_wrap/_06612_/S (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.14    3.35 ^ mprj/u_mac_wrap/_06612_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00807_ (net)
-                  0.04    0.00    3.35 ^ mprj/u_mac_wrap/_09298_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  3.35   data arrival time
-
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1   11.12                           mprj_io[5] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[5] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.91 ^ mprj/u_mac_wrap/clkbuf_4_3_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.12    0.24    5.15 ^ mprj/u_mac_wrap/clkbuf_4_3_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    28    0.07                           mprj/u_mac_wrap/clknet_4_3_0_phy_tx_clk (net)
-                  0.12    0.00    5.16 ^ mprj/u_mac_wrap/_09298_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    5.26   clock uncertainty
-                         -2.33    2.92   clock reconvergence pessimism
-                         -0.02    2.90   library hold time
-                                  2.90   data required time
------------------------------------------------------------------------------
-                                  2.90   data required time
-                                 -3.35   data arrival time
------------------------------------------------------------------------------
-                                  0.45   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_09373_
-            (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_09373_
-          (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Path Group: mac_tx_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1    6.12                           mprj_io[5] (net)
-                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[5] (net)
-                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    1.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10    1.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    1.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21    1.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21    1.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00    1.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15    1.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    1.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37    2.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    2.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32    2.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.00    2.59 ^ mprj/u_mac_wrap/clkbuf_4_15_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.18    2.78 ^ mprj/u_mac_wrap/clkbuf_4_15_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    10    0.03                           mprj/u_mac_wrap/clknet_4_15_0_phy_tx_clk (net)
-                  0.07    0.00    2.78 ^ mprj/u_mac_wrap/_09373_/CLK (sky130_fd_sc_hd__dfstp_1)
-                  0.08    0.39    3.17 v mprj/u_mac_wrap/_09373_/Q (sky130_fd_sc_hd__dfstp_1)
-     3    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_crc32.current_crc[23] (net)
-                  0.08    0.00    3.17 v mprj/u_mac_wrap/_06815_/B2 (sky130_fd_sc_hd__o32a_1)
-                  0.04    0.16    3.32 v mprj/u_mac_wrap/_06815_/X (sky130_fd_sc_hd__o32a_1)
-     1    0.00                           mprj/u_mac_wrap/_00873_ (net)
-                  0.04    0.00    3.32 v mprj/u_mac_wrap/_09373_/D (sky130_fd_sc_hd__dfstp_1)
-                                  3.32   data arrival time
-
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1   11.12                           mprj_io[5] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[5] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.00    4.91 ^ mprj/u_mac_wrap/clkbuf_4_15_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.20    5.11 ^ mprj/u_mac_wrap/clkbuf_4_15_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    10    0.03                           mprj/u_mac_wrap/clknet_4_15_0_phy_tx_clk (net)
-                  0.07    0.00    5.11 ^ mprj/u_mac_wrap/_09373_/CLK (sky130_fd_sc_hd__dfstp_1)
-                          0.10    5.21   clock uncertainty
-                         -2.33    2.88   clock reconvergence pessimism
-                         -0.01    2.87   library hold time
-                                  2.87   data required time
------------------------------------------------------------------------------
-                                  2.87   data required time
-                                 -3.32   data arrival time
------------------------------------------------------------------------------
-                                  0.45   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_09369_
-            (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_09369_
-          (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Path Group: mac_tx_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1    6.12                           mprj_io[5] (net)
-                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[5] (net)
-                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    1.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10    1.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    1.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21    1.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21    1.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00    1.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15    1.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    1.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37    2.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    2.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32    2.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    2.60 ^ mprj/u_mac_wrap/clkbuf_4_5_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.18    2.78 ^ mprj/u_mac_wrap/clkbuf_4_5_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     8    0.03                           mprj/u_mac_wrap/clknet_4_5_0_phy_tx_clk (net)
-                  0.06    0.00    2.78 ^ mprj/u_mac_wrap/_09369_/CLK (sky130_fd_sc_hd__dfstp_1)
-                  0.08    0.39    3.16 v mprj/u_mac_wrap/_09369_/Q (sky130_fd_sc_hd__dfstp_1)
-     3    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_crc32.current_crc[19] (net)
-                  0.08    0.00    3.16 v mprj/u_mac_wrap/_06797_/B2 (sky130_fd_sc_hd__o32a_1)
-                  0.04    0.16    3.32 v mprj/u_mac_wrap/_06797_/X (sky130_fd_sc_hd__o32a_1)
-     1    0.00                           mprj/u_mac_wrap/_00869_ (net)
-                  0.04    0.00    3.32 v mprj/u_mac_wrap/_09369_/D (sky130_fd_sc_hd__dfstp_1)
-                                  3.32   data arrival time
-
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1   11.12                           mprj_io[5] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[5] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.92 ^ mprj/u_mac_wrap/clkbuf_4_5_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.19    5.11 ^ mprj/u_mac_wrap/clkbuf_4_5_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     8    0.03                           mprj/u_mac_wrap/clknet_4_5_0_phy_tx_clk (net)
-                  0.06    0.00    5.11 ^ mprj/u_mac_wrap/_09369_/CLK (sky130_fd_sc_hd__dfstp_1)
-                          0.10    5.21   clock uncertainty
-                         -2.33    2.88   clock reconvergence pessimism
-                         -0.01    2.87   library hold time
-                                  2.87   data required time
------------------------------------------------------------------------------
-                                  2.87   data required time
-                                 -3.32   data arrival time
------------------------------------------------------------------------------
-                                  0.45   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_09352_
-            (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_09352_
-          (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Path Group: mac_tx_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1    6.12                           mprj_io[5] (net)
-                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[5] (net)
-                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    1.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10    1.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    1.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21    1.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21    1.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00    1.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15    1.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    1.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37    2.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    2.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32    2.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.00    2.60 ^ mprj/u_mac_wrap/clkbuf_4_7_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.19    2.78 ^ mprj/u_mac_wrap/clkbuf_4_7_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     9    0.03                           mprj/u_mac_wrap/clknet_4_7_0_phy_tx_clk (net)
-                  0.07    0.00    2.78 ^ mprj/u_mac_wrap/_09352_/CLK (sky130_fd_sc_hd__dfstp_2)
-                  0.07    0.40    3.18 v mprj/u_mac_wrap/_09352_/Q (sky130_fd_sc_hd__dfstp_2)
-     3    0.03                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_crc32.current_crc[2] (net)
-                  0.07    0.00    3.18 v mprj/u_mac_wrap/_06714_/B2 (sky130_fd_sc_hd__o32a_1)
-                  0.04    0.15    3.33 v mprj/u_mac_wrap/_06714_/X (sky130_fd_sc_hd__o32a_1)
-     1    0.00                           mprj/u_mac_wrap/_00852_ (net)
-                  0.04    0.00    3.33 v mprj/u_mac_wrap/_09352_/D (sky130_fd_sc_hd__dfstp_2)
-                                  3.33   data arrival time
-
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1   11.12                           mprj_io[5] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[5] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.91 ^ mprj/u_mac_wrap/clkbuf_4_7_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.20    5.11 ^ mprj/u_mac_wrap/clkbuf_4_7_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     9    0.03                           mprj/u_mac_wrap/clknet_4_7_0_phy_tx_clk (net)
-                  0.07    0.00    5.11 ^ mprj/u_mac_wrap/_09352_/CLK (sky130_fd_sc_hd__dfstp_2)
-                          0.10    5.21   clock uncertainty
-                         -2.33    2.88   clock reconvergence pessimism
-                          0.00    2.88   library hold time
-                                  2.88   data required time
------------------------------------------------------------------------------
-                                  2.88   data required time
-                                 -3.33   data arrival time
------------------------------------------------------------------------------
-                                  0.45   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_09296_
-            (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_09296_
-          (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Path Group: mac_tx_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1    6.12                           mprj_io[5] (net)
-                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[5] (net)
-                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    1.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10    1.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    1.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21    1.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21    1.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00    1.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15    1.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    1.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37    2.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    2.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32    2.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    2.60 ^ mprj/u_mac_wrap/clkbuf_4_3_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.12    0.22    2.82 ^ mprj/u_mac_wrap/clkbuf_4_3_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    28    0.07                           mprj/u_mac_wrap/clknet_4_3_0_phy_tx_clk (net)
-                  0.12    0.00    2.82 ^ mprj/u_mac_wrap/_09296_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.12    0.39    3.21 ^ mprj/u_mac_wrap/_09296_/Q (sky130_fd_sc_hd__dfrtp_1)
-     4    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_fsm.tx_byte_cntr[3] (net)
-                  0.12    0.00    3.21 ^ mprj/u_mac_wrap/_06605_/S (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.14    3.35 ^ mprj/u_mac_wrap/_06605_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00805_ (net)
-                  0.04    0.00    3.35 ^ mprj/u_mac_wrap/_09296_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  3.35   data arrival time
-
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1   11.12                           mprj_io[5] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[5] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.91 ^ mprj/u_mac_wrap/clkbuf_4_3_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.12    0.24    5.15 ^ mprj/u_mac_wrap/clkbuf_4_3_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    28    0.07                           mprj/u_mac_wrap/clknet_4_3_0_phy_tx_clk (net)
-                  0.12    0.00    5.16 ^ mprj/u_mac_wrap/_09296_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    5.26   clock uncertainty
-                         -2.33    2.92   clock reconvergence pessimism
-                         -0.02    2.90   library hold time
-                                  2.90   data required time
------------------------------------------------------------------------------
-                                  2.90   data required time
-                                 -3.35   data arrival time
------------------------------------------------------------------------------
-                                  0.46   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_09379_
-            (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_09379_
-          (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Path Group: mac_tx_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1    6.12                           mprj_io[5] (net)
-                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[5] (net)
-                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    1.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10    1.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    1.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21    1.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21    1.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00    1.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15    1.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    1.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37    2.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    2.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32    2.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.00    2.60 ^ mprj/u_mac_wrap/clkbuf_4_13_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.18    2.78 ^ mprj/u_mac_wrap/clkbuf_4_13_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     7    0.03                           mprj/u_mac_wrap/clknet_4_13_0_phy_tx_clk (net)
-                  0.06    0.00    2.78 ^ mprj/u_mac_wrap/_09379_/CLK (sky130_fd_sc_hd__dfstp_1)
-                  0.08    0.39    3.17 v mprj/u_mac_wrap/_09379_/Q (sky130_fd_sc_hd__dfstp_1)
-     4    0.02                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_crc32.current_crc[29] (net)
-                  0.08    0.00    3.17 v mprj/u_mac_wrap/_06835_/B2 (sky130_fd_sc_hd__o32a_1)
-                  0.04    0.16    3.33 v mprj/u_mac_wrap/_06835_/X (sky130_fd_sc_hd__o32a_1)
-     1    0.00                           mprj/u_mac_wrap/_00879_ (net)
-                  0.04    0.00    3.33 v mprj/u_mac_wrap/_09379_/D (sky130_fd_sc_hd__dfstp_1)
-                                  3.33   data arrival time
-
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1   11.12                           mprj_io[5] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[5] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.00    4.91 ^ mprj/u_mac_wrap/clkbuf_4_13_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.19    5.11 ^ mprj/u_mac_wrap/clkbuf_4_13_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     7    0.03                           mprj/u_mac_wrap/clknet_4_13_0_phy_tx_clk (net)
-                  0.06    0.00    5.11 ^ mprj/u_mac_wrap/_09379_/CLK (sky130_fd_sc_hd__dfstp_1)
-                          0.10    5.21   clock uncertainty
-                         -2.33    2.88   clock reconvergence pessimism
-                         -0.01    2.87   library hold time
-                                  2.87   data required time
------------------------------------------------------------------------------
-                                  2.87   data required time
-                                 -3.33   data arrival time
------------------------------------------------------------------------------
-                                  0.46   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_09300_
-            (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_09300_
-          (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Path Group: mac_tx_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1    6.12                           mprj_io[5] (net)
-                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[5] (net)
-                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    1.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10    1.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    1.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21    1.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21    1.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00    1.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15    1.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    1.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37    2.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    2.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32    2.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    2.60 ^ mprj/u_mac_wrap/clkbuf_4_2_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.19    2.79 ^ mprj/u_mac_wrap/clkbuf_4_2_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    12    0.04                           mprj/u_mac_wrap/clknet_4_2_0_phy_tx_clk (net)
-                  0.08    0.00    2.79 ^ mprj/u_mac_wrap/_09300_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.14    0.39    3.17 ^ mprj/u_mac_wrap/_09300_/Q (sky130_fd_sc_hd__dfrtp_1)
-     5    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_fsm.tx_byte_cntr[7] (net)
-                  0.14    0.00    3.17 ^ mprj/u_mac_wrap/_06618_/S (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.14    3.32 ^ mprj/u_mac_wrap/_06618_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00809_ (net)
-                  0.04    0.00    3.32 ^ mprj/u_mac_wrap/_09300_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  3.32   data arrival time
-
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1   11.12                           mprj_io[5] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[5] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.91 ^ mprj/u_mac_wrap/clkbuf_4_2_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.20    5.12 ^ mprj/u_mac_wrap/clkbuf_4_2_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    12    0.04                           mprj/u_mac_wrap/clknet_4_2_0_phy_tx_clk (net)
-                  0.08    0.00    5.12 ^ mprj/u_mac_wrap/_09300_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    5.22   clock uncertainty
-                         -2.33    2.89   clock reconvergence pessimism
-                         -0.03    2.86   library hold time
-                                  2.86   data required time
------------------------------------------------------------------------------
-                                  2.86   data required time
-                                 -3.32   data arrival time
------------------------------------------------------------------------------
-                                  0.46   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_09377_
-            (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_09377_
-          (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Path Group: mac_tx_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1    6.12                           mprj_io[5] (net)
-                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[5] (net)
-                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    1.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10    1.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    1.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21    1.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21    1.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00    1.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15    1.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    1.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37    2.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    2.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32    2.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    2.60 ^ mprj/u_mac_wrap/clkbuf_4_5_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.18    2.78 ^ mprj/u_mac_wrap/clkbuf_4_5_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     8    0.03                           mprj/u_mac_wrap/clknet_4_5_0_phy_tx_clk (net)
-                  0.06    0.00    2.78 ^ mprj/u_mac_wrap/_09377_/CLK (sky130_fd_sc_hd__dfstp_1)
-                  0.07    0.38    3.16 v mprj/u_mac_wrap/_09377_/Q (sky130_fd_sc_hd__dfstp_1)
-     3    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_crc32.current_crc[27] (net)
-                  0.07    0.00    3.16 v mprj/u_mac_wrap/_06829_/B2 (sky130_fd_sc_hd__o32a_1)
-                  0.05    0.17    3.33 v mprj/u_mac_wrap/_06829_/X (sky130_fd_sc_hd__o32a_1)
-     1    0.00                           mprj/u_mac_wrap/_00877_ (net)
-                  0.05    0.00    3.33 v mprj/u_mac_wrap/_09377_/D (sky130_fd_sc_hd__dfstp_1)
-                                  3.33   data arrival time
-
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1   11.12                           mprj_io[5] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[5] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.92 ^ mprj/u_mac_wrap/clkbuf_4_5_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.19    5.11 ^ mprj/u_mac_wrap/clkbuf_4_5_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     8    0.03                           mprj/u_mac_wrap/clknet_4_5_0_phy_tx_clk (net)
-                  0.06    0.00    5.11 ^ mprj/u_mac_wrap/_09377_/CLK (sky130_fd_sc_hd__dfstp_1)
-                          0.10    5.21   clock uncertainty
-                         -2.33    2.88   clock reconvergence pessimism
-                         -0.01    2.87   library hold time
-                                  2.87   data required time
------------------------------------------------------------------------------
-                                  2.87   data required time
-                                 -3.33   data arrival time
------------------------------------------------------------------------------
-                                  0.46   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_09385_
-            (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_09385_
-          (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Path Group: mac_tx_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1    6.12                           mprj_io[5] (net)
-                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[5] (net)
-                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    1.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10    1.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    1.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21    1.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21    1.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00    1.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15    1.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    1.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37    2.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    2.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32    2.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    2.60 ^ mprj/u_mac_wrap/clkbuf_4_5_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.18    2.78 ^ mprj/u_mac_wrap/clkbuf_4_5_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     8    0.03                           mprj/u_mac_wrap/clknet_4_5_0_phy_tx_clk (net)
-                  0.06    0.00    2.78 ^ mprj/u_mac_wrap/_09385_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.15    0.39    3.17 ^ mprj/u_mac_wrap/_09385_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.02                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_fsm.tx_fsm_dt_reg[3] (net)
-                  0.15    0.00    3.17 ^ mprj/u_mac_wrap/_06934_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.14    3.31 ^ mprj/u_mac_wrap/_06934_/X (sky130_fd_sc_hd__mux2_1)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15    1.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    1.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27    1.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    1.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43    2.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09    2.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34    2.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    2.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15    3.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00    3.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42    3.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    3.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34    3.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    3.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20    4.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    4.12 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.17    4.29 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    4.29 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.24    4.53 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    4.54 ^ mprj/u_mac_wrap/clkbuf_4_5_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.19    4.73 ^ mprj/u_mac_wrap/clkbuf_4_5_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.03                           mprj/u_mac_wrap/clknet_4_5_0_phy_tx_clk (net)
+                  0.07    0.00    4.73 ^ mprj/u_mac_wrap/_09435_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.11    0.37    5.10 ^ mprj/u_mac_wrap/_09435_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_fsm.tx_fsm_dt_reg[3] (net)
+                  0.11    0.00    5.10 ^ mprj/u_mac_wrap/_06995_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.13    5.23 ^ mprj/u_mac_wrap/_06995_/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mac_wrap/_00885_ (net)
-                  0.04    0.00    3.31 ^ mprj/u_mac_wrap/_09385_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  3.31   data arrival time
+                  0.04    0.00    5.23 ^ mprj/u_mac_wrap/_09435_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  5.23   data arrival time
 
                           0.00    0.00   clock mac_tx_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -56025,36 +62580,57 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.92 ^ mprj/u_mac_wrap/clkbuf_4_5_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.19    5.11 ^ mprj/u_mac_wrap/clkbuf_4_5_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     8    0.03                           mprj/u_mac_wrap/clknet_4_5_0_phy_tx_clk (net)
-                  0.06    0.00    5.11 ^ mprj/u_mac_wrap/_09385_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    5.21   clock uncertainty
-                         -2.33    2.88   clock reconvergence pessimism
-                         -0.03    2.85   library hold time
-                                  2.85   data required time
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.01 ^ mprj/u_mac_wrap/clkbuf_4_5_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.20    7.22 ^ mprj/u_mac_wrap/clkbuf_4_5_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.03                           mprj/u_mac_wrap/clknet_4_5_0_phy_tx_clk (net)
+                  0.07    0.00    7.22 ^ mprj/u_mac_wrap/_09435_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    7.32   clock uncertainty
+                         -2.49    4.83   clock reconvergence pessimism
+                         -0.03    4.80   library hold time
+                                  4.80   data required time
 -----------------------------------------------------------------------------
-                                  2.85   data required time
-                                 -3.31   data arrival time
+                                  4.80   data required time
+                                 -5.23   data arrival time
 -----------------------------------------------------------------------------
-                                  0.46   slack (MET)
+                                  0.43   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_10054_
+Startpoint: mprj/u_mac_wrap/_09408_
             (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_10048_
+Endpoint: mprj/u_mac_wrap/_09408_
           (rising edge-triggered flip-flop clocked by mac_tx_clk)
 Path Group: mac_tx_clk
 Path Type: min
@@ -56078,29 +62654,44 @@
                   0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21    1.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00    1.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15    1.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    1.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37    2.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    2.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32    2.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.00    2.59 ^ mprj/u_mac_wrap/clkbuf_4_14_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.18    2.78 ^ mprj/u_mac_wrap/clkbuf_4_14_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     9    0.03                           mprj/u_mac_wrap/clknet_4_14_0_phy_tx_clk (net)
-                  0.07    0.00    2.78 ^ mprj/u_mac_wrap/_10054_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.09    0.35    3.13 ^ mprj/u_mac_wrap/_10054_/Q (sky130_fd_sc_hd__dfrtp_1)
-     3    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_mii_intf.mii_tx_cur_st[7] (net)
-                  0.09    0.00    3.13 ^ mprj/u_mac_wrap/_04823_/C (sky130_fd_sc_hd__and4bb_1)
-                  0.06    0.18    3.31 ^ mprj/u_mac_wrap/_04823_/X (sky130_fd_sc_hd__and4bb_1)
-     1    0.00                           mprj/u_mac_wrap/_00000_ (net)
-                  0.06    0.00    3.32 ^ mprj/u_mac_wrap/_10048_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  3.32   data arrival time
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15    1.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    1.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27    1.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    1.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43    2.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09    2.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34    2.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    2.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15    3.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00    3.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42    3.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    3.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34    3.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    3.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20    4.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    4.13 ^ mprj/u_mac_wrap/clkbuf_4_6_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.11    0.18    4.30 ^ mprj/u_mac_wrap/clkbuf_4_6_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.06                           mprj/u_mac_wrap/clknet_4_6_0_phy_tx_clk (net)
+                  0.11    0.00    4.31 ^ mprj/u_mac_wrap/_09408_/CLK (sky130_fd_sc_hd__dfstp_1)
+                  0.06    0.38    4.69 v mprj/u_mac_wrap/_09408_/Q (sky130_fd_sc_hd__dfstp_1)
+     3    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_crc32.current_crc[8] (net)
+                  0.06    0.00    4.69 v mprj/u_mac_wrap/_06806_/B2 (sky130_fd_sc_hd__o32a_1)
+                  0.04    0.15    4.84 v mprj/u_mac_wrap/_06806_/X (sky130_fd_sc_hd__o32a_1)
+     1    0.00                           mprj/u_mac_wrap/_00858_ (net)
+                  0.04    0.00    4.84 v mprj/u_mac_wrap/_09408_/D (sky130_fd_sc_hd__dfstp_1)
+                                  4.84   data arrival time
 
                           0.00    0.00   clock mac_tx_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -56119,36 +62710,51 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.00    4.91 ^ mprj/u_mac_wrap/clkbuf_4_9_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.19    5.10 ^ mprj/u_mac_wrap/clkbuf_4_9_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     7    0.03                           mprj/u_mac_wrap/clknet_4_9_0_phy_tx_clk (net)
-                  0.06    0.00    5.10 ^ mprj/u_mac_wrap/_10048_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    5.20   clock uncertainty
-                         -2.32    2.89   clock reconvergence pessimism
-                         -0.03    2.85   library hold time
-                                  2.85   data required time
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.57 ^ mprj/u_mac_wrap/clkbuf_4_6_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.11    0.19    6.76 ^ mprj/u_mac_wrap/clkbuf_4_6_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.06                           mprj/u_mac_wrap/clknet_4_6_0_phy_tx_clk (net)
+                  0.11    0.00    6.76 ^ mprj/u_mac_wrap/_09408_/CLK (sky130_fd_sc_hd__dfstp_1)
+                          0.10    6.86   clock uncertainty
+                         -2.45    4.41   clock reconvergence pessimism
+                          0.00    4.41   library hold time
+                                  4.41   data required time
 -----------------------------------------------------------------------------
-                                  2.85   data required time
-                                 -3.32   data arrival time
+                                  4.41   data required time
+                                 -4.84   data arrival time
 -----------------------------------------------------------------------------
-                                  0.46   slack (MET)
+                                  0.43   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_09304_
+Startpoint: mprj/u_mac_wrap/_09420_
             (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_09304_
+Endpoint: mprj/u_mac_wrap/_09420_
           (rising edge-triggered flip-flop clocked by mac_tx_clk)
 Path Group: mac_tx_clk
 Path Type: min
@@ -56172,29 +62778,44 @@
                   0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    1.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21    1.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00    1.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15    1.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    1.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37    2.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    2.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32    2.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    2.60 ^ mprj/u_mac_wrap/clkbuf_4_2_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.19    2.79 ^ mprj/u_mac_wrap/clkbuf_4_2_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    12    0.04                           mprj/u_mac_wrap/clknet_4_2_0_phy_tx_clk (net)
-                  0.08    0.00    2.79 ^ mprj/u_mac_wrap/_09304_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.14    0.39    3.18 ^ mprj/u_mac_wrap/_09304_/Q (sky130_fd_sc_hd__dfrtp_1)
-     4    0.02                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_fsm.tx_byte_cntr[11] (net)
-                  0.14    0.00    3.18 ^ mprj/u_mac_wrap/_06632_/S (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.14    3.32 ^ mprj/u_mac_wrap/_06632_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00813_ (net)
-                  0.04    0.00    3.32 ^ mprj/u_mac_wrap/_09304_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  3.32   data arrival time
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15    1.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    1.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27    1.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    1.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43    2.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09    2.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34    2.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    2.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15    3.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00    3.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42    3.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    3.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34    3.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    3.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20    4.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    4.13 ^ mprj/u_mac_wrap/clkbuf_4_13_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    4.26 ^ mprj/u_mac_wrap/clkbuf_4_13_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     6    0.02                           mprj/u_mac_wrap/clknet_4_13_0_phy_tx_clk (net)
+                  0.05    0.00    4.27 ^ mprj/u_mac_wrap/_09420_/CLK (sky130_fd_sc_hd__dfstp_1)
+                  0.07    0.37    4.64 v mprj/u_mac_wrap/_09420_/Q (sky130_fd_sc_hd__dfstp_1)
+     3    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_crc32.current_crc[20] (net)
+                  0.07    0.00    4.64 v mprj/u_mac_wrap/_06861_/B2 (sky130_fd_sc_hd__o32a_1)
+                  0.04    0.15    4.79 v mprj/u_mac_wrap/_06861_/X (sky130_fd_sc_hd__o32a_1)
+     1    0.00                           mprj/u_mac_wrap/_00870_ (net)
+                  0.04    0.00    4.79 v mprj/u_mac_wrap/_09420_/D (sky130_fd_sc_hd__dfstp_1)
+                                  4.79   data arrival time
 
                           0.00    0.00   clock mac_tx_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -56213,887 +62834,5409 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.91 ^ mprj/u_mac_wrap/clkbuf_4_2_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.20    5.12 ^ mprj/u_mac_wrap/clkbuf_4_2_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    12    0.04                           mprj/u_mac_wrap/clknet_4_2_0_phy_tx_clk (net)
-                  0.08    0.00    5.12 ^ mprj/u_mac_wrap/_09304_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    5.22   clock uncertainty
-                         -2.33    2.89   clock reconvergence pessimism
-                         -0.03    2.86   library hold time
-                                  2.86   data required time
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.57 ^ mprj/u_mac_wrap/clkbuf_4_13_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    6.71 ^ mprj/u_mac_wrap/clkbuf_4_13_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     6    0.02                           mprj/u_mac_wrap/clknet_4_13_0_phy_tx_clk (net)
+                  0.05    0.00    6.72 ^ mprj/u_mac_wrap/_09420_/CLK (sky130_fd_sc_hd__dfstp_1)
+                          0.10    6.82   clock uncertainty
+                         -2.45    4.37   clock reconvergence pessimism
+                         -0.01    4.36   library hold time
+                                  4.36   data required time
 -----------------------------------------------------------------------------
-                                  2.86   data required time
-                                 -3.32   data arrival time
+                                  4.36   data required time
+                                 -4.79   data arrival time
 -----------------------------------------------------------------------------
-                                  0.46   slack (MET)
+                                  0.44   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_6465_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6464_
-          (rising edge-triggered flip-flop clocked by uart_clk)
-Path Group: uart_clk
+Startpoint: mprj/u_mac_wrap/_09412_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj/u_mac_wrap/_09412_
+          (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Path Group: mac_tx_clk
 Path Type: min
 
 Fanout     Cap    Slew   Delay    Time   Description
 -----------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock mac_tx_clk (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.17    0.17 ^ mprj/u_wb_host/_6465_/CLK (sky130_fd_sc_hd__dfstp_1)
-                  0.03    0.63    0.80 v mprj/u_wb_host/_6465_/Q (sky130_fd_sc_hd__dfstp_1)
-     1    0.00                           mprj/u_wb_host/u_uart2wb.u_core.u_rxd_sync.in_data_s (net)
-                  0.03    0.00    0.80 v mprj/u_wb_host/_6464_/D (sky130_fd_sc_hd__dfstp_1)
-                                  0.80   data arrival time
+                  1.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1    6.12                           mprj_io[5] (net)
+                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[5] (net)
+                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    1.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10    1.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    1.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21    1.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15    1.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    1.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27    1.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    1.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43    2.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09    2.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34    2.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    2.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15    3.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00    3.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42    3.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    3.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34    3.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    3.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20    4.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    4.13 ^ mprj/u_mac_wrap/clkbuf_4_13_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    4.26 ^ mprj/u_mac_wrap/clkbuf_4_13_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     6    0.02                           mprj/u_mac_wrap/clknet_4_13_0_phy_tx_clk (net)
+                  0.05    0.00    4.27 ^ mprj/u_mac_wrap/_09412_/CLK (sky130_fd_sc_hd__dfstp_1)
+                  0.07    0.37    4.64 v mprj/u_mac_wrap/_09412_/Q (sky130_fd_sc_hd__dfstp_1)
+     3    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_crc32.current_crc[12] (net)
+                  0.07    0.00    4.64 v mprj/u_mac_wrap/_06816_/B2 (sky130_fd_sc_hd__o32a_1)
+                  0.04    0.15    4.79 v mprj/u_mac_wrap/_06816_/X (sky130_fd_sc_hd__o32a_1)
+     1    0.00                           mprj/u_mac_wrap/_00862_ (net)
+                  0.04    0.00    4.79 v mprj/u_mac_wrap/_09412_/D (sky130_fd_sc_hd__dfstp_1)
+                                  4.79   data arrival time
 
-                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock mac_tx_clk (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.18    0.18 ^ mprj/u_wb_host/_6464_/CLK (sky130_fd_sc_hd__dfstp_1)
-                          0.10    0.28   clock uncertainty
-                          0.00    0.28   clock reconvergence pessimism
-                          0.22    0.51   library hold time
-                                  0.51   data required time
+                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1   11.12                           mprj_io[5] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[5] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.57 ^ mprj/u_mac_wrap/clkbuf_4_13_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    6.71 ^ mprj/u_mac_wrap/clkbuf_4_13_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     6    0.02                           mprj/u_mac_wrap/clknet_4_13_0_phy_tx_clk (net)
+                  0.05    0.00    6.72 ^ mprj/u_mac_wrap/_09412_/CLK (sky130_fd_sc_hd__dfstp_1)
+                          0.10    6.82   clock uncertainty
+                         -2.45    4.37   clock reconvergence pessimism
+                         -0.01    4.35   library hold time
+                                  4.35   data required time
 -----------------------------------------------------------------------------
-                                  0.51   data required time
-                                 -0.80   data arrival time
+                                  4.35   data required time
+                                 -4.79   data arrival time
 -----------------------------------------------------------------------------
-                                  0.29   slack (MET)
+                                  0.44   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_6421_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6420_
-          (rising edge-triggered flip-flop clocked by uart_clk)
-Path Group: uart_clk
+Startpoint: mprj/u_mac_wrap/_09425_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj/u_mac_wrap/_09425_
+          (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Path Group: mac_tx_clk
 Path Type: min
 
 Fanout     Cap    Slew   Delay    Time   Description
 -----------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock mac_tx_clk (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.16    0.16 ^ mprj/u_wb_host/_6421_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.04    0.63    0.79 v mprj/u_wb_host/_6421_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.00                           mprj/u_wb_host/u_uart2wb.u_core.u_line_rst.in_data_s (net)
-                  0.04    0.00    0.79 v mprj/u_wb_host/_6420_/D (sky130_fd_sc_hd__dfrtp_4)
-                                  0.79   data arrival time
+                  1.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1    6.12                           mprj_io[5] (net)
+                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[5] (net)
+                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    1.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10    1.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    1.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21    1.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15    1.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    1.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27    1.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    1.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43    2.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09    2.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34    2.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    2.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15    3.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00    3.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42    3.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    3.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34    3.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    3.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20    4.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    4.13 ^ mprj/u_mac_wrap/clkbuf_4_7_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.10    0.17    4.30 ^ mprj/u_mac_wrap/clkbuf_4_7_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    22    0.05                           mprj/u_mac_wrap/clknet_4_7_0_phy_tx_clk (net)
+                  0.10    0.00    4.30 ^ mprj/u_mac_wrap/_09425_/CLK (sky130_fd_sc_hd__dfstp_1)
+                  0.07    0.39    4.69 v mprj/u_mac_wrap/_09425_/Q (sky130_fd_sc_hd__dfstp_1)
+     3    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_crc32.current_crc[25] (net)
+                  0.07    0.00    4.69 v mprj/u_mac_wrap/_06884_/B2 (sky130_fd_sc_hd__o32a_1)
+                  0.04    0.15    4.84 v mprj/u_mac_wrap/_06884_/X (sky130_fd_sc_hd__o32a_1)
+     1    0.00                           mprj/u_mac_wrap/_00875_ (net)
+                  0.04    0.00    4.84 v mprj/u_mac_wrap/_09425_/D (sky130_fd_sc_hd__dfstp_1)
+                                  4.84   data arrival time
 
-                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock mac_tx_clk (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.17    0.17 ^ mprj/u_wb_host/_6420_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                          0.10    0.27   clock uncertainty
-                          0.00    0.27   clock reconvergence pessimism
-                          0.21    0.48   library hold time
-                                  0.48   data required time
+                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1   11.12                           mprj_io[5] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[5] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.57 ^ mprj/u_mac_wrap/clkbuf_4_7_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.10    0.19    6.76 ^ mprj/u_mac_wrap/clkbuf_4_7_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    22    0.05                           mprj/u_mac_wrap/clknet_4_7_0_phy_tx_clk (net)
+                  0.10    0.00    6.76 ^ mprj/u_mac_wrap/_09425_/CLK (sky130_fd_sc_hd__dfstp_1)
+                          0.10    6.86   clock uncertainty
+                         -2.45    4.40   clock reconvergence pessimism
+                          0.00    4.40   library hold time
+                                  4.40   data required time
 -----------------------------------------------------------------------------
-                                  0.48   data required time
-                                 -0.79   data arrival time
+                                  4.40   data required time
+                                 -4.84   data arrival time
 -----------------------------------------------------------------------------
-                                  0.31   slack (MET)
+                                  0.44   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_6479_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6480_
-          (rising edge-triggered flip-flop clocked by uart_clk)
-Path Group: uart_clk
+Startpoint: mprj/u_mac_wrap/_09423_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj/u_mac_wrap/_09423_
+          (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Path Group: mac_tx_clk
 Path Type: min
 
 Fanout     Cap    Slew   Delay    Time   Description
 -----------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock mac_tx_clk (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.28    0.07    0.07 ^ mprj/u_wb_host/_6479_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.04    0.63    0.71 v mprj/u_wb_host/_6479_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.00                           mprj/u_wb_host/u_uart2wb.u_async_reg_bus.out_flag_s (net)
-                  0.04    0.00    0.71 v mprj/u_wb_host/_6480_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  0.71   data arrival time
+                  1.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1    6.12                           mprj_io[5] (net)
+                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[5] (net)
+                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    1.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10    1.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    1.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21    1.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15    1.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    1.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27    1.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    1.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43    2.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09    2.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34    2.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    2.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15    3.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00    3.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42    3.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    3.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34    3.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    3.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20    4.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    4.13 ^ mprj/u_mac_wrap/clkbuf_4_12_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    4.28 ^ mprj/u_mac_wrap/clkbuf_4_12_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     9    0.04                           mprj/u_mac_wrap/clknet_4_12_0_phy_tx_clk (net)
+                  0.08    0.00    4.28 ^ mprj/u_mac_wrap/_09423_/CLK (sky130_fd_sc_hd__dfstp_1)
+                  0.07    0.38    4.67 v mprj/u_mac_wrap/_09423_/Q (sky130_fd_sc_hd__dfstp_1)
+     3    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_crc32.current_crc[23] (net)
+                  0.07    0.00    4.67 v mprj/u_mac_wrap/_06876_/B2 (sky130_fd_sc_hd__o32a_1)
+                  0.04    0.15    4.82 v mprj/u_mac_wrap/_06876_/X (sky130_fd_sc_hd__o32a_1)
+     1    0.00                           mprj/u_mac_wrap/_00873_ (net)
+                  0.04    0.00    4.82 v mprj/u_mac_wrap/_09423_/D (sky130_fd_sc_hd__dfstp_1)
+                                  4.82   data arrival time
 
-                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock mac_tx_clk (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.28    0.08    0.08 ^ mprj/u_wb_host/_6480_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    0.18   clock uncertainty
-                          0.00    0.18   clock reconvergence pessimism
-                          0.20    0.38   library hold time
-                                  0.38   data required time
+                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1   11.12                           mprj_io[5] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[5] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.57 ^ mprj/u_mac_wrap/clkbuf_4_12_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.17    6.73 ^ mprj/u_mac_wrap/clkbuf_4_12_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     9    0.04                           mprj/u_mac_wrap/clknet_4_12_0_phy_tx_clk (net)
+                  0.08    0.00    6.73 ^ mprj/u_mac_wrap/_09423_/CLK (sky130_fd_sc_hd__dfstp_1)
+                          0.10    6.83   clock uncertainty
+                         -2.45    4.38   clock reconvergence pessimism
+                          0.00    4.38   library hold time
+                                  4.38   data required time
 -----------------------------------------------------------------------------
-                                  0.38   data required time
-                                 -0.71   data arrival time
+                                  4.38   data required time
+                                 -4.82   data arrival time
 -----------------------------------------------------------------------------
-                                  0.32   slack (MET)
+                                  0.44   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_6464_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6463_
-          (rising edge-triggered flip-flop clocked by uart_clk)
-Path Group: uart_clk
+Startpoint: mprj/u_mac_wrap/_09415_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj/u_mac_wrap/_09415_
+          (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Path Group: mac_tx_clk
 Path Type: min
 
 Fanout     Cap    Slew   Delay    Time   Description
 -----------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock mac_tx_clk (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.17    0.17 ^ mprj/u_wb_host/_6464_/CLK (sky130_fd_sc_hd__dfstp_1)
-                  0.08    0.68    0.85 v mprj/u_wb_host/_6464_/Q (sky130_fd_sc_hd__dfstp_1)
-     1    0.02                           mprj/u_wb_host/u_uart2wb.u_core.u_rxd_sync.in_data_2s (net)
-                  0.08    0.00    0.85 v mprj/u_wb_host/_6463_/D (sky130_fd_sc_hd__dfstp_4)
-                                  0.85   data arrival time
+                  1.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1    6.12                           mprj_io[5] (net)
+                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[5] (net)
+                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    1.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10    1.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    1.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21    1.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15    1.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    1.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27    1.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    1.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43    2.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09    2.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34    2.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    2.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15    3.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00    3.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42    3.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    3.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34    3.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    3.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20    4.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    4.13 ^ mprj/u_mac_wrap/clkbuf_4_12_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    4.28 ^ mprj/u_mac_wrap/clkbuf_4_12_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     9    0.04                           mprj/u_mac_wrap/clknet_4_12_0_phy_tx_clk (net)
+                  0.08    0.00    4.28 ^ mprj/u_mac_wrap/_09415_/CLK (sky130_fd_sc_hd__dfstp_1)
+                  0.07    0.38    4.67 v mprj/u_mac_wrap/_09415_/Q (sky130_fd_sc_hd__dfstp_1)
+     4    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_crc32.current_crc[15] (net)
+                  0.07    0.00    4.67 v mprj/u_mac_wrap/_06831_/B2 (sky130_fd_sc_hd__o32a_1)
+                  0.04    0.15    4.82 v mprj/u_mac_wrap/_06831_/X (sky130_fd_sc_hd__o32a_1)
+     1    0.00                           mprj/u_mac_wrap/_00865_ (net)
+                  0.04    0.00    4.82 v mprj/u_mac_wrap/_09415_/D (sky130_fd_sc_hd__dfstp_1)
+                                  4.82   data arrival time
 
-                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock mac_tx_clk (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.17    0.17 ^ mprj/u_wb_host/_6463_/CLK (sky130_fd_sc_hd__dfstp_4)
-                          0.10    0.27   clock uncertainty
-                          0.00    0.27   clock reconvergence pessimism
-                          0.21    0.47   library hold time
-                                  0.47   data required time
+                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1   11.12                           mprj_io[5] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[5] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.57 ^ mprj/u_mac_wrap/clkbuf_4_12_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.17    6.73 ^ mprj/u_mac_wrap/clkbuf_4_12_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     9    0.04                           mprj/u_mac_wrap/clknet_4_12_0_phy_tx_clk (net)
+                  0.08    0.00    6.74 ^ mprj/u_mac_wrap/_09415_/CLK (sky130_fd_sc_hd__dfstp_1)
+                          0.10    6.84   clock uncertainty
+                         -2.45    4.38   clock reconvergence pessimism
+                          0.00    4.38   library hold time
+                                  4.38   data required time
 -----------------------------------------------------------------------------
-                                  0.47   data required time
-                                 -0.85   data arrival time
+                                  4.38   data required time
+                                 -4.82   data arrival time
+-----------------------------------------------------------------------------
+                                  0.44   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09429_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj/u_mac_wrap/_09429_
+          (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Path Group: mac_tx_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1    6.12                           mprj_io[5] (net)
+                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[5] (net)
+                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    1.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10    1.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    1.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21    1.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15    1.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    1.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27    1.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    1.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43    2.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09    2.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34    2.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    2.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15    3.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00    3.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42    3.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    3.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34    3.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    3.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20    4.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    4.13 ^ mprj/u_mac_wrap/clkbuf_4_12_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    4.28 ^ mprj/u_mac_wrap/clkbuf_4_12_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     9    0.04                           mprj/u_mac_wrap/clknet_4_12_0_phy_tx_clk (net)
+                  0.08    0.00    4.28 ^ mprj/u_mac_wrap/_09429_/CLK (sky130_fd_sc_hd__dfstp_1)
+                  0.07    0.38    4.66 v mprj/u_mac_wrap/_09429_/Q (sky130_fd_sc_hd__dfstp_1)
+     4    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_crc32.current_crc[29] (net)
+                  0.07    0.00    4.66 v mprj/u_mac_wrap/_06896_/B2 (sky130_fd_sc_hd__o32a_1)
+                  0.04    0.15    4.82 v mprj/u_mac_wrap/_06896_/X (sky130_fd_sc_hd__o32a_1)
+     1    0.00                           mprj/u_mac_wrap/_00879_ (net)
+                  0.04    0.00    4.82 v mprj/u_mac_wrap/_09429_/D (sky130_fd_sc_hd__dfstp_1)
+                                  4.82   data arrival time
+
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1   11.12                           mprj_io[5] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[5] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.57 ^ mprj/u_mac_wrap/clkbuf_4_12_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.17    6.73 ^ mprj/u_mac_wrap/clkbuf_4_12_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     9    0.04                           mprj/u_mac_wrap/clknet_4_12_0_phy_tx_clk (net)
+                  0.08    0.00    6.73 ^ mprj/u_mac_wrap/_09429_/CLK (sky130_fd_sc_hd__dfstp_1)
+                          0.10    6.83   clock uncertainty
+                         -2.45    4.38   clock reconvergence pessimism
+                         -0.01    4.38   library hold time
+                                  4.38   data required time
+-----------------------------------------------------------------------------
+                                  4.38   data required time
+                                 -4.82   data arrival time
+-----------------------------------------------------------------------------
+                                  0.44   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09347_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj/u_mac_wrap/_09347_
+          (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Path Group: mac_tx_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1    6.12                           mprj_io[5] (net)
+                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[5] (net)
+                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    1.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10    1.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    1.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21    1.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15    1.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    1.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27    1.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    1.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43    2.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09    2.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34    2.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    2.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15    3.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00    3.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42    3.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    3.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34    3.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    3.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20    4.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    4.13 ^ mprj/u_mac_wrap/clkbuf_4_6_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.11    0.18    4.30 ^ mprj/u_mac_wrap/clkbuf_4_6_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.06                           mprj/u_mac_wrap/clknet_4_6_0_phy_tx_clk (net)
+                  0.11    0.00    4.31 ^ mprj/u_mac_wrap/_09347_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.14    0.40    4.70 ^ mprj/u_mac_wrap/_09347_/Q (sky130_fd_sc_hd__dfrtp_1)
+     5    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_fsm.tx_byte_cntr[4] (net)
+                  0.14    0.00    4.70 ^ mprj/u_mac_wrap/_06668_/A1 (sky130_fd_sc_hd__a21o_1)
+                  0.03    0.12    4.82 ^ mprj/u_mac_wrap/_06668_/X (sky130_fd_sc_hd__a21o_1)
+     1    0.00                           mprj/u_mac_wrap/_00806_ (net)
+                  0.03    0.00    4.82 ^ mprj/u_mac_wrap/_09347_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  4.82   data arrival time
+
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1   11.12                           mprj_io[5] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[5] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.57 ^ mprj/u_mac_wrap/clkbuf_4_6_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.11    0.19    6.76 ^ mprj/u_mac_wrap/clkbuf_4_6_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.06                           mprj/u_mac_wrap/clknet_4_6_0_phy_tx_clk (net)
+                  0.11    0.00    6.76 ^ mprj/u_mac_wrap/_09347_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    6.86   clock uncertainty
+                         -2.45    4.41   clock reconvergence pessimism
+                         -0.02    4.38   library hold time
+                                  4.38   data required time
+-----------------------------------------------------------------------------
+                                  4.38   data required time
+                                 -4.82   data arrival time
+-----------------------------------------------------------------------------
+                                  0.44   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09438_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj/u_mac_wrap/_09438_
+          (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Path Group: mac_tx_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1    6.12                           mprj_io[5] (net)
+                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[5] (net)
+                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    1.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10    1.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    1.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21    1.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15    1.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    1.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27    1.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    1.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43    2.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09    2.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34    2.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    2.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15    3.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00    3.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42    3.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    3.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34    3.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    3.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20    4.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    4.12 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.17    4.29 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    4.29 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.24    4.53 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    4.54 ^ mprj/u_mac_wrap/clkbuf_4_4_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.19    4.73 ^ mprj/u_mac_wrap/clkbuf_4_4_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.03                           mprj/u_mac_wrap/clknet_4_4_0_phy_tx_clk (net)
+                  0.07    0.00    4.73 ^ mprj/u_mac_wrap/_09438_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.12    0.38    5.11 ^ mprj/u_mac_wrap/_09438_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_fsm.tx_fsm_dt_reg[6] (net)
+                  0.12    0.00    5.11 ^ mprj/u_mac_wrap/_07067_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.14    5.25 ^ mprj/u_mac_wrap/_07067_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00888_ (net)
+                  0.04    0.00    5.25 ^ mprj/u_mac_wrap/_09438_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  5.25   data arrival time
+
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1   11.12                           mprj_io[5] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[5] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.01 ^ mprj/u_mac_wrap/clkbuf_4_4_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.21    7.22 ^ mprj/u_mac_wrap/clkbuf_4_4_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.03                           mprj/u_mac_wrap/clknet_4_4_0_phy_tx_clk (net)
+                  0.07    0.00    7.22 ^ mprj/u_mac_wrap/_09438_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    7.32   clock uncertainty
+                         -2.49    4.83   clock reconvergence pessimism
+                         -0.03    4.80   library hold time
+                                  4.80   data required time
+-----------------------------------------------------------------------------
+                                  4.80   data required time
+                                 -5.25   data arrival time
+-----------------------------------------------------------------------------
+                                  0.44   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09348_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj/u_mac_wrap/_09348_
+          (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Path Group: mac_tx_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1    6.12                           mprj_io[5] (net)
+                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[5] (net)
+                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    1.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10    1.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    1.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21    1.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15    1.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    1.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27    1.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    1.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43    2.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09    2.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34    2.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    2.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15    3.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00    3.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42    3.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    3.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34    3.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    3.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20    4.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    4.12 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.17    4.29 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    4.29 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.24    4.53 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    4.54 ^ mprj/u_mac_wrap/clkbuf_4_3_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.10    0.22    4.76 ^ mprj/u_mac_wrap/clkbuf_4_3_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    22    0.05                           mprj/u_mac_wrap/clknet_4_3_0_phy_tx_clk (net)
+                  0.10    0.00    4.76 ^ mprj/u_mac_wrap/_09348_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.11    0.38    5.14 ^ mprj/u_mac_wrap/_09348_/Q (sky130_fd_sc_hd__dfrtp_1)
+     4    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_fsm.tx_byte_cntr[5] (net)
+                  0.11    0.00    5.14 ^ mprj/u_mac_wrap/_06670_/S (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.14    5.27 ^ mprj/u_mac_wrap/_06670_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00807_ (net)
+                  0.04    0.00    5.27 ^ mprj/u_mac_wrap/_09348_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  5.27   data arrival time
+
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1   11.12                           mprj_io[5] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[5] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.01 ^ mprj/u_mac_wrap/clkbuf_4_3_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.10    0.23    7.24 ^ mprj/u_mac_wrap/clkbuf_4_3_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    22    0.05                           mprj/u_mac_wrap/clknet_4_3_0_phy_tx_clk (net)
+                  0.10    0.00    7.24 ^ mprj/u_mac_wrap/_09348_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    7.34   clock uncertainty
+                         -2.49    4.86   clock reconvergence pessimism
+                         -0.03    4.83   library hold time
+                                  4.83   data required time
+-----------------------------------------------------------------------------
+                                  4.83   data required time
+                                 -5.27   data arrival time
+-----------------------------------------------------------------------------
+                                  0.44   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09427_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj/u_mac_wrap/_09427_
+          (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Path Group: mac_tx_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1    6.12                           mprj_io[5] (net)
+                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[5] (net)
+                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    1.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10    1.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    1.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21    1.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15    1.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    1.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27    1.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    1.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43    2.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09    2.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34    2.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    2.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15    3.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00    3.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42    3.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    3.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34    3.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    3.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20    4.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    4.13 ^ mprj/u_mac_wrap/clkbuf_4_7_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.10    0.17    4.30 ^ mprj/u_mac_wrap/clkbuf_4_7_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    22    0.05                           mprj/u_mac_wrap/clknet_4_7_0_phy_tx_clk (net)
+                  0.10    0.00    4.30 ^ mprj/u_mac_wrap/_09427_/CLK (sky130_fd_sc_hd__dfstp_1)
+                  0.07    0.39    4.69 v mprj/u_mac_wrap/_09427_/Q (sky130_fd_sc_hd__dfstp_1)
+     3    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_crc32.current_crc[27] (net)
+                  0.07    0.00    4.69 v mprj/u_mac_wrap/_06890_/B2 (sky130_fd_sc_hd__o32a_1)
+                  0.04    0.15    4.85 v mprj/u_mac_wrap/_06890_/X (sky130_fd_sc_hd__o32a_1)
+     1    0.00                           mprj/u_mac_wrap/_00877_ (net)
+                  0.04    0.00    4.85 v mprj/u_mac_wrap/_09427_/D (sky130_fd_sc_hd__dfstp_1)
+                                  4.85   data arrival time
+
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1   11.12                           mprj_io[5] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[5] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.57 ^ mprj/u_mac_wrap/clkbuf_4_7_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.10    0.19    6.76 ^ mprj/u_mac_wrap/clkbuf_4_7_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    22    0.05                           mprj/u_mac_wrap/clknet_4_7_0_phy_tx_clk (net)
+                  0.10    0.00    6.76 ^ mprj/u_mac_wrap/_09427_/CLK (sky130_fd_sc_hd__dfstp_1)
+                          0.10    6.86   clock uncertainty
+                         -2.45    4.40   clock reconvergence pessimism
+                          0.00    4.40   library hold time
+                                  4.40   data required time
+-----------------------------------------------------------------------------
+                                  4.40   data required time
+                                 -4.85   data arrival time
+-----------------------------------------------------------------------------
+                                  0.44   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09402_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj/u_mac_wrap/_09402_
+          (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Path Group: mac_tx_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1    6.12                           mprj_io[5] (net)
+                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[5] (net)
+                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    1.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10    1.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    1.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21    1.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15    1.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    1.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27    1.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    1.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43    2.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09    2.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34    2.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    2.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15    3.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00    3.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42    3.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    3.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34    3.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    3.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20    4.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    4.13 ^ mprj/u_mac_wrap/clkbuf_4_13_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    4.26 ^ mprj/u_mac_wrap/clkbuf_4_13_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     6    0.02                           mprj/u_mac_wrap/clknet_4_13_0_phy_tx_clk (net)
+                  0.05    0.00    4.27 ^ mprj/u_mac_wrap/_09402_/CLK (sky130_fd_sc_hd__dfstp_2)
+                  0.07    0.39    4.65 v mprj/u_mac_wrap/_09402_/Q (sky130_fd_sc_hd__dfstp_2)
+     3    0.03                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_crc32.current_crc[2] (net)
+                  0.07    0.00    4.65 v mprj/u_mac_wrap/_06773_/B2 (sky130_fd_sc_hd__o32a_1)
+                  0.04    0.15    4.80 v mprj/u_mac_wrap/_06773_/X (sky130_fd_sc_hd__o32a_1)
+     1    0.00                           mprj/u_mac_wrap/_00852_ (net)
+                  0.04    0.00    4.80 v mprj/u_mac_wrap/_09402_/D (sky130_fd_sc_hd__dfstp_2)
+                                  4.80   data arrival time
+
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1   11.12                           mprj_io[5] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[5] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.57 ^ mprj/u_mac_wrap/clkbuf_4_13_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    6.71 ^ mprj/u_mac_wrap/clkbuf_4_13_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     6    0.02                           mprj/u_mac_wrap/clknet_4_13_0_phy_tx_clk (net)
+                  0.05    0.00    6.72 ^ mprj/u_mac_wrap/_09402_/CLK (sky130_fd_sc_hd__dfstp_2)
+                          0.10    6.82   clock uncertainty
+                         -2.45    4.37   clock reconvergence pessimism
+                          0.00    4.36   library hold time
+                                  4.36   data required time
+-----------------------------------------------------------------------------
+                                  4.36   data required time
+                                 -4.80   data arrival time
+-----------------------------------------------------------------------------
+                                  0.44   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08972_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj/u_mac_wrap/_08972_
+          (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Path Group: mac_tx_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1    6.12                           mprj_io[5] (net)
+                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[5] (net)
+                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    1.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10    1.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    1.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21    1.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15    1.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    1.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27    1.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    1.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43    2.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09    2.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34    2.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    2.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15    3.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00    3.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42    3.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    3.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34    3.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    3.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20    4.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    4.12 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.17    4.29 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    4.29 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.24    4.53 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    4.54 ^ mprj/u_mac_wrap/clkbuf_4_0_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.10    0.21    4.76 ^ mprj/u_mac_wrap/clkbuf_4_0_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.05                           mprj/u_mac_wrap/clknet_4_0_0_phy_tx_clk (net)
+                  0.10    0.00    4.76 ^ mprj/u_mac_wrap/_08972_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                  0.11    0.41    5.16 ^ mprj/u_mac_wrap/_08972_/Q (sky130_fd_sc_hd__dfrtp_2)
+     4    0.02                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.rd_ptr[5] (net)
+                  0.11    0.00    5.16 ^ mprj/u_mac_wrap/_05804_/A1 (sky130_fd_sc_hd__a21o_1)
+                  0.03    0.11    5.28 ^ mprj/u_mac_wrap/_05804_/X (sky130_fd_sc_hd__a21o_1)
+     1    0.00                           mprj/u_mac_wrap/_00467_ (net)
+                  0.03    0.00    5.28 ^ mprj/u_mac_wrap/_08972_/D (sky130_fd_sc_hd__dfrtp_2)
+                                  5.28   data arrival time
+
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1   11.12                           mprj_io[5] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[5] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.02 ^ mprj/u_mac_wrap/clkbuf_4_0_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.10    0.23    7.24 ^ mprj/u_mac_wrap/clkbuf_4_0_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.05                           mprj/u_mac_wrap/clknet_4_0_0_phy_tx_clk (net)
+                  0.10    0.00    7.24 ^ mprj/u_mac_wrap/_08972_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                          0.10    7.34   clock uncertainty
+                         -2.49    4.86   clock reconvergence pessimism
+                         -0.03    4.83   library hold time
+                                  4.83   data required time
+-----------------------------------------------------------------------------
+                                  4.83   data required time
+                                 -5.28   data arrival time
+-----------------------------------------------------------------------------
+                                  0.44   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09405_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj/u_mac_wrap/_09405_
+          (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Path Group: mac_tx_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1    6.12                           mprj_io[5] (net)
+                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[5] (net)
+                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    1.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10    1.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    1.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21    1.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15    1.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    1.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27    1.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    1.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43    2.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09    2.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34    2.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    2.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15    3.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00    3.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42    3.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    3.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34    3.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    3.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20    4.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    4.13 ^ mprj/u_mac_wrap/clkbuf_4_6_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.11    0.18    4.30 ^ mprj/u_mac_wrap/clkbuf_4_6_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.06                           mprj/u_mac_wrap/clknet_4_6_0_phy_tx_clk (net)
+                  0.11    0.00    4.31 ^ mprj/u_mac_wrap/_09405_/CLK (sky130_fd_sc_hd__dfstp_2)
+                  0.06    0.40    4.71 v mprj/u_mac_wrap/_09405_/Q (sky130_fd_sc_hd__dfstp_2)
+     3    0.02                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_crc32.current_crc[5] (net)
+                  0.06    0.00    4.71 v mprj/u_mac_wrap/_06791_/B2 (sky130_fd_sc_hd__o32a_1)
+                  0.04    0.15    4.86 v mprj/u_mac_wrap/_06791_/X (sky130_fd_sc_hd__o32a_1)
+     1    0.00                           mprj/u_mac_wrap/_00855_ (net)
+                  0.04    0.00    4.86 v mprj/u_mac_wrap/_09405_/D (sky130_fd_sc_hd__dfstp_2)
+                                  4.86   data arrival time
+
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1   11.12                           mprj_io[5] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[5] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.57 ^ mprj/u_mac_wrap/clkbuf_4_6_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.11    0.19    6.76 ^ mprj/u_mac_wrap/clkbuf_4_6_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.06                           mprj/u_mac_wrap/clknet_4_6_0_phy_tx_clk (net)
+                  0.11    0.00    6.76 ^ mprj/u_mac_wrap/_09405_/CLK (sky130_fd_sc_hd__dfstp_2)
+                          0.10    6.86   clock uncertainty
+                         -2.45    4.41   clock reconvergence pessimism
+                          0.01    4.41   library hold time
+                                  4.41   data required time
+-----------------------------------------------------------------------------
+                                  4.41   data required time
+                                 -4.86   data arrival time
+-----------------------------------------------------------------------------
+                                  0.44   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09421_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj/u_mac_wrap/_09421_
+          (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Path Group: mac_tx_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1    6.12                           mprj_io[5] (net)
+                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[5] (net)
+                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    1.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10    1.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    1.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21    1.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15    1.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    1.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27    1.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    1.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43    2.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09    2.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34    2.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    2.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15    3.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00    3.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42    3.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    3.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34    3.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    3.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20    4.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    4.13 ^ mprj/u_mac_wrap/clkbuf_4_12_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    4.28 ^ mprj/u_mac_wrap/clkbuf_4_12_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     9    0.04                           mprj/u_mac_wrap/clknet_4_12_0_phy_tx_clk (net)
+                  0.08    0.00    4.28 ^ mprj/u_mac_wrap/_09421_/CLK (sky130_fd_sc_hd__dfstp_1)
+                  0.07    0.39    4.67 v mprj/u_mac_wrap/_09421_/Q (sky130_fd_sc_hd__dfstp_1)
+     3    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_crc32.current_crc[21] (net)
+                  0.07    0.00    4.67 v mprj/u_mac_wrap/_06867_/B2 (sky130_fd_sc_hd__o32a_1)
+                  0.04    0.15    4.82 v mprj/u_mac_wrap/_06867_/X (sky130_fd_sc_hd__o32a_1)
+     1    0.00                           mprj/u_mac_wrap/_00871_ (net)
+                  0.04    0.00    4.82 v mprj/u_mac_wrap/_09421_/D (sky130_fd_sc_hd__dfstp_1)
+                                  4.82   data arrival time
+
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1   11.12                           mprj_io[5] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[5] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.57 ^ mprj/u_mac_wrap/clkbuf_4_12_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.17    6.73 ^ mprj/u_mac_wrap/clkbuf_4_12_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     9    0.04                           mprj/u_mac_wrap/clknet_4_12_0_phy_tx_clk (net)
+                  0.08    0.00    6.73 ^ mprj/u_mac_wrap/_09421_/CLK (sky130_fd_sc_hd__dfstp_1)
+                          0.10    6.83   clock uncertainty
+                         -2.45    4.38   clock reconvergence pessimism
+                         -0.01    4.38   library hold time
+                                  4.38   data required time
+-----------------------------------------------------------------------------
+                                  4.38   data required time
+                                 -4.82   data arrival time
+-----------------------------------------------------------------------------
+                                  0.44   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09343_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj/u_mac_wrap/_09343_
+          (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Path Group: mac_tx_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1    6.12                           mprj_io[5] (net)
+                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[5] (net)
+                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    1.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10    1.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    1.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21    1.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15    1.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    1.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27    1.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    1.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43    2.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09    2.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34    2.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    2.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15    3.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00    3.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42    3.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    3.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34    3.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    3.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20    4.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    4.12 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.17    4.29 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    4.29 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.24    4.53 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    4.54 ^ mprj/u_mac_wrap/clkbuf_4_4_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.19    4.73 ^ mprj/u_mac_wrap/clkbuf_4_4_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.03                           mprj/u_mac_wrap/clknet_4_4_0_phy_tx_clk (net)
+                  0.07    0.00    4.73 ^ mprj/u_mac_wrap/_09343_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.13    0.38    5.11 ^ mprj/u_mac_wrap/_09343_/Q (sky130_fd_sc_hd__dfrtp_1)
+     4    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_fsm.tx_byte_cntr[0] (net)
+                  0.13    0.00    5.11 ^ mprj/u_mac_wrap/_06654_/S (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.14    5.25 ^ mprj/u_mac_wrap/_06654_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00802_ (net)
+                  0.04    0.00    5.25 ^ mprj/u_mac_wrap/_09343_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  5.25   data arrival time
+
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1   11.12                           mprj_io[5] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[5] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.01 ^ mprj/u_mac_wrap/clkbuf_4_4_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.21    7.22 ^ mprj/u_mac_wrap/clkbuf_4_4_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.03                           mprj/u_mac_wrap/clknet_4_4_0_phy_tx_clk (net)
+                  0.07    0.00    7.22 ^ mprj/u_mac_wrap/_09343_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    7.32   clock uncertainty
+                         -2.49    4.83   clock reconvergence pessimism
+                         -0.03    4.80   library hold time
+                                  4.80   data required time
+-----------------------------------------------------------------------------
+                                  4.80   data required time
+                                 -5.25   data arrival time
+-----------------------------------------------------------------------------
+                                  0.45   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09419_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj/u_mac_wrap/_09419_
+          (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Path Group: mac_tx_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1    6.12                           mprj_io[5] (net)
+                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[5] (net)
+                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    1.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10    1.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    1.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21    1.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15    1.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    1.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27    1.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    1.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43    2.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09    2.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34    2.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    2.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15    3.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00    3.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42    3.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    3.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34    3.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    3.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20    4.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    4.13 ^ mprj/u_mac_wrap/clkbuf_4_7_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.10    0.17    4.30 ^ mprj/u_mac_wrap/clkbuf_4_7_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    22    0.05                           mprj/u_mac_wrap/clknet_4_7_0_phy_tx_clk (net)
+                  0.10    0.00    4.30 ^ mprj/u_mac_wrap/_09419_/CLK (sky130_fd_sc_hd__dfstp_1)
+                  0.07    0.39    4.70 v mprj/u_mac_wrap/_09419_/Q (sky130_fd_sc_hd__dfstp_1)
+     3    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_crc32.current_crc[19] (net)
+                  0.07    0.00    4.70 v mprj/u_mac_wrap/_06855_/B2 (sky130_fd_sc_hd__o32a_1)
+                  0.04    0.15    4.85 v mprj/u_mac_wrap/_06855_/X (sky130_fd_sc_hd__o32a_1)
+     1    0.00                           mprj/u_mac_wrap/_00869_ (net)
+                  0.04    0.00    4.85 v mprj/u_mac_wrap/_09419_/D (sky130_fd_sc_hd__dfstp_1)
+                                  4.85   data arrival time
+
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1   11.12                           mprj_io[5] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[5] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.57 ^ mprj/u_mac_wrap/clkbuf_4_7_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.10    0.19    6.76 ^ mprj/u_mac_wrap/clkbuf_4_7_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    22    0.05                           mprj/u_mac_wrap/clknet_4_7_0_phy_tx_clk (net)
+                  0.10    0.00    6.76 ^ mprj/u_mac_wrap/_09419_/CLK (sky130_fd_sc_hd__dfstp_1)
+                          0.10    6.86   clock uncertainty
+                         -2.45    4.40   clock reconvergence pessimism
+                          0.00    4.40   library hold time
+                                  4.40   data required time
+-----------------------------------------------------------------------------
+                                  4.40   data required time
+                                 -4.85   data arrival time
+-----------------------------------------------------------------------------
+                                  0.45   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09428_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj/u_mac_wrap/_09428_
+          (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Path Group: mac_tx_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1    6.12                           mprj_io[5] (net)
+                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[5] (net)
+                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    1.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10    1.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    1.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21    1.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15    1.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    1.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27    1.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    1.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43    2.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09    2.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34    2.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    2.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15    3.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00    3.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42    3.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    3.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34    3.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    3.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20    4.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    4.13 ^ mprj/u_mac_wrap/clkbuf_4_13_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    4.26 ^ mprj/u_mac_wrap/clkbuf_4_13_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     6    0.02                           mprj/u_mac_wrap/clknet_4_13_0_phy_tx_clk (net)
+                  0.05    0.00    4.26 ^ mprj/u_mac_wrap/_09428_/CLK (sky130_fd_sc_hd__dfstp_1)
+                  0.08    0.38    4.65 v mprj/u_mac_wrap/_09428_/Q (sky130_fd_sc_hd__dfstp_1)
+     3    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_crc32.current_crc[28] (net)
+                  0.08    0.00    4.65 v mprj/u_mac_wrap/_06893_/B2 (sky130_fd_sc_hd__o32a_1)
+                  0.04    0.16    4.80 v mprj/u_mac_wrap/_06893_/X (sky130_fd_sc_hd__o32a_1)
+     1    0.00                           mprj/u_mac_wrap/_00878_ (net)
+                  0.04    0.00    4.80 v mprj/u_mac_wrap/_09428_/D (sky130_fd_sc_hd__dfstp_1)
+                                  4.80   data arrival time
+
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1   11.12                           mprj_io[5] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[5] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.57 ^ mprj/u_mac_wrap/clkbuf_4_13_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    6.71 ^ mprj/u_mac_wrap/clkbuf_4_13_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     6    0.02                           mprj/u_mac_wrap/clknet_4_13_0_phy_tx_clk (net)
+                  0.05    0.00    6.72 ^ mprj/u_mac_wrap/_09428_/CLK (sky130_fd_sc_hd__dfstp_1)
+                          0.10    6.82   clock uncertainty
+                         -2.45    4.36   clock reconvergence pessimism
+                         -0.01    4.35   library hold time
+                                  4.35   data required time
+-----------------------------------------------------------------------------
+                                  4.35   data required time
+                                 -4.80   data arrival time
+-----------------------------------------------------------------------------
+                                  0.45   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09878_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj/u_mac_wrap/_08534_
+          (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Path Group: mac_tx_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1    6.12                           mprj_io[5] (net)
+                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[5] (net)
+                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    1.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10    1.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    1.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21    1.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15    1.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    1.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27    1.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    1.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43    2.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09    2.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34    2.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    2.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15    3.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00    3.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42    3.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    3.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34    3.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    3.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20    4.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    4.12 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.17    4.29 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.14    0.00    4.30 ^ mprj/u_mac_wrap/clkbuf_4_11_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.16    4.46 ^ mprj/u_mac_wrap/clkbuf_4_11_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     7    0.02                           mprj/u_mac_wrap/clknet_4_11_0_phy_tx_clk (net)
+                  0.06    0.00    4.46 ^ mprj/u_mac_wrap/_09878_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.04    0.30    4.77 ^ mprj/u_mac_wrap/_09878_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_mii_intf.tx_dibit_in[0] (net)
+                  0.04    0.00    4.77 ^ mprj/u_mac_wrap/_04947_/A (sky130_fd_sc_hd__or2_1)
+                  0.04    0.09    4.85 ^ mprj/u_mac_wrap/_04947_/X (sky130_fd_sc_hd__or2_1)
+     1    0.00                           mprj/u_mac_wrap/_02152_ (net)
+                  0.04    0.00    4.85 ^ mprj/u_mac_wrap/_04953_/A1 (sky130_fd_sc_hd__a21o_1)
+                  0.07    0.12    4.97 ^ mprj/u_mac_wrap/_04953_/X (sky130_fd_sc_hd__a21o_1)
+     1    0.01                           mprj/u_mac_wrap/_00088_ (net)
+                  0.07    0.00    4.97 ^ mprj/u_mac_wrap/_08534_/D (sky130_fd_sc_hd__dfrtp_4)
+                                  4.97   data arrival time
+
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1   11.12                           mprj_io[5] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[5] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.14    0.00    6.75 ^ mprj/u_mac_wrap/clkbuf_4_11_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.18    6.93 ^ mprj/u_mac_wrap/clkbuf_4_11_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     7    0.02                           mprj/u_mac_wrap/clknet_4_11_0_phy_tx_clk (net)
+                  0.06    0.00    6.93 ^ mprj/u_mac_wrap/_08534_/CLK (sky130_fd_sc_hd__dfrtp_4)
+                          0.10    7.03   clock uncertainty
+                         -2.47    4.56   clock reconvergence pessimism
+                         -0.04    4.52   library hold time
+                                  4.52   data required time
+-----------------------------------------------------------------------------
+                                  4.52   data required time
+                                 -4.97   data arrival time
+-----------------------------------------------------------------------------
+                                  0.45   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09424_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj/u_mac_wrap/_09424_
+          (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Path Group: mac_tx_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1    6.12                           mprj_io[5] (net)
+                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[5] (net)
+                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    1.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10    1.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    1.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21    1.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15    1.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    1.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27    1.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    1.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43    2.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09    2.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34    2.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    2.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15    3.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00    3.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42    3.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    3.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34    3.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    3.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20    4.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    4.12 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.17    4.29 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    4.29 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.24    4.53 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    4.54 ^ mprj/u_mac_wrap/clkbuf_4_4_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.19    4.73 ^ mprj/u_mac_wrap/clkbuf_4_4_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.03                           mprj/u_mac_wrap/clknet_4_4_0_phy_tx_clk (net)
+                  0.07    0.00    4.73 ^ mprj/u_mac_wrap/_09424_/CLK (sky130_fd_sc_hd__dfstp_1)
+                  0.06    0.38    5.11 v mprj/u_mac_wrap/_09424_/Q (sky130_fd_sc_hd__dfstp_1)
+     3    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.U_tx_top.U_tx_crc32.current_crc[24] (net)
+                  0.06    0.00    5.11 v mprj/u_mac_wrap/_06880_/B2 (sky130_fd_sc_hd__o32a_1)
+                  0.05    0.16    5.27 v mprj/u_mac_wrap/_06880_/X (sky130_fd_sc_hd__o32a_1)
+     1    0.00                           mprj/u_mac_wrap/_00874_ (net)
+                  0.05    0.00    5.27 v mprj/u_mac_wrap/_09424_/D (sky130_fd_sc_hd__dfstp_1)
+                                  5.27   data arrival time
+
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1   11.12                           mprj_io[5] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[5] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.01 ^ mprj/u_mac_wrap/clkbuf_4_4_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.21    7.22 ^ mprj/u_mac_wrap/clkbuf_4_4_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.03                           mprj/u_mac_wrap/clknet_4_4_0_phy_tx_clk (net)
+                  0.07    0.00    7.22 ^ mprj/u_mac_wrap/_09424_/CLK (sky130_fd_sc_hd__dfstp_1)
+                          0.10    7.32   clock uncertainty
+                         -2.49    4.83   clock reconvergence pessimism
+                         -0.01    4.82   library hold time
+                                  4.82   data required time
+-----------------------------------------------------------------------------
+                                  4.82   data required time
+                                 -5.27   data arrival time
+-----------------------------------------------------------------------------
+                                  0.45   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08511_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_08512_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28    0.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25    0.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.55 ^ mprj/u_mac_wrap/clkbuf_3_4__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.15    0.70 ^ mprj/u_mac_wrap/clkbuf_3_4__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     5    0.02                           mprj/u_mac_wrap/clknet_3_4__leaf_mdio_clk (net)
+                  0.05    0.00    0.70 ^ mprj/u_mac_wrap/_08511_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.07    0.32    1.02 ^ mprj/u_mac_wrap/_08511_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.transmit_data[1] (net)
+                  0.07    0.00    1.02 ^ mprj/u_mac_wrap/_04867_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.14 ^ mprj/u_mac_wrap/_04867_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00066_ (net)
+                  0.04    0.00    1.14 ^ mprj/u_mac_wrap/_08512_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  1.14   data arrival time
+
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_5__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    0.76 ^ mprj/u_mac_wrap/clkbuf_3_5__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.03                           mprj/u_mac_wrap/clknet_3_5__leaf_mdio_clk (net)
+                  0.05    0.00    0.76 ^ mprj/u_mac_wrap/_08512_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.86   clock uncertainty
+                         -0.04    0.81   clock reconvergence pessimism
+                         -0.03    0.78   library hold time
+                                  0.78   data required time
+-----------------------------------------------------------------------------
+                                  0.78   data required time
+                                 -1.14   data arrival time
+-----------------------------------------------------------------------------
+                                  0.36   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08781_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_08782_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28    0.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25    0.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.01    0.55 ^ mprj/u_mac_wrap/clkbuf_3_0__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16    0.71 ^ mprj/u_mac_wrap/clkbuf_3_0__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    12    0.04                           mprj/u_mac_wrap/clknet_3_0__leaf_mdio_clk (net)
+                  0.06    0.00    0.71 ^ mprj/u_mac_wrap/_08781_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.06    0.32    1.04 ^ mprj/u_mac_wrap/_08781_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.reg_addr[3] (net)
+                  0.06    0.00    1.04 ^ mprj/u_mac_wrap/_05597_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.15 ^ mprj/u_mac_wrap/_05597_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00325_ (net)
+                  0.04    0.00    1.15 ^ mprj/u_mac_wrap/_08782_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  1.15   data arrival time
+
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.01    0.59 ^ mprj/u_mac_wrap/clkbuf_3_1__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    0.76 ^ mprj/u_mac_wrap/clkbuf_3_1__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.03                           mprj/u_mac_wrap/clknet_3_1__leaf_mdio_clk (net)
+                  0.05    0.00    0.76 ^ mprj/u_mac_wrap/_08782_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.86   clock uncertainty
+                         -0.04    0.82   clock reconvergence pessimism
+                         -0.03    0.79   library hold time
+                                  0.79   data required time
+-----------------------------------------------------------------------------
+                                  0.79   data required time
+                                 -1.15   data arrival time
+-----------------------------------------------------------------------------
+                                  0.36   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08779_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_08780_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28    0.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25    0.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.01    0.55 ^ mprj/u_mac_wrap/clkbuf_3_1__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16    0.71 ^ mprj/u_mac_wrap/clkbuf_3_1__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.03                           mprj/u_mac_wrap/clknet_3_1__leaf_mdio_clk (net)
+                  0.05    0.00    0.71 ^ mprj/u_mac_wrap/_08779_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.07    0.33    1.04 ^ mprj/u_mac_wrap/_08779_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.reg_addr[1] (net)
+                  0.07    0.00    1.04 ^ mprj/u_mac_wrap/_05593_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.16 ^ mprj/u_mac_wrap/_05593_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00323_ (net)
+                  0.04    0.00    1.16 ^ mprj/u_mac_wrap/_08780_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  1.16   data arrival time
+
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.01    0.59 ^ mprj/u_mac_wrap/clkbuf_3_0__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.18    0.77 ^ mprj/u_mac_wrap/clkbuf_3_0__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    12    0.04                           mprj/u_mac_wrap/clknet_3_0__leaf_mdio_clk (net)
+                  0.06    0.00    0.77 ^ mprj/u_mac_wrap/_08780_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.87   clock uncertainty
+                         -0.04    0.83   clock reconvergence pessimism
+                         -0.03    0.79   library hold time
+                                  0.79   data required time
+-----------------------------------------------------------------------------
+                                  0.79   data required time
+                                 -1.16   data arrival time
+-----------------------------------------------------------------------------
+                                  0.36   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08777_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_08778_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28    0.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25    0.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.01    0.55 ^ mprj/u_mac_wrap/clkbuf_3_0__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16    0.71 ^ mprj/u_mac_wrap/clkbuf_3_0__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    12    0.04                           mprj/u_mac_wrap/clknet_3_0__leaf_mdio_clk (net)
+                  0.06    0.00    0.71 ^ mprj/u_mac_wrap/_08777_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.06    0.32    1.03 ^ mprj/u_mac_wrap/_08777_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.phy_addr[3] (net)
+                  0.06    0.00    1.03 ^ mprj/u_mac_wrap/_05589_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.14 ^ mprj/u_mac_wrap/_05589_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00321_ (net)
+                  0.04    0.00    1.14 ^ mprj/u_mac_wrap/_08778_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  1.14   data arrival time
+
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.01    0.59 ^ mprj/u_mac_wrap/clkbuf_3_0__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.18    0.77 ^ mprj/u_mac_wrap/clkbuf_3_0__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    12    0.04                           mprj/u_mac_wrap/clknet_3_0__leaf_mdio_clk (net)
+                  0.06    0.00    0.77 ^ mprj/u_mac_wrap/_08778_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.87   clock uncertainty
+                         -0.06    0.81   clock reconvergence pessimism
+                         -0.03    0.78   library hold time
+                                  0.78   data required time
+-----------------------------------------------------------------------------
+                                  0.78   data required time
+                                 -1.14   data arrival time
+-----------------------------------------------------------------------------
+                                  0.37   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08514_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_08515_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28    0.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25    0.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.55 ^ mprj/u_mac_wrap/clkbuf_3_5__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.15    0.70 ^ mprj/u_mac_wrap/clkbuf_3_5__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.03                           mprj/u_mac_wrap/clknet_3_5__leaf_mdio_clk (net)
+                  0.05    0.00    0.70 ^ mprj/u_mac_wrap/_08514_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.06    0.32    1.02 ^ mprj/u_mac_wrap/_08514_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.transmit_data[4] (net)
+                  0.06    0.00    1.02 ^ mprj/u_mac_wrap/_04873_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.14 ^ mprj/u_mac_wrap/_04873_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00069_ (net)
+                  0.04    0.00    1.14 ^ mprj/u_mac_wrap/_08515_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  1.14   data arrival time
+
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_5__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    0.76 ^ mprj/u_mac_wrap/clkbuf_3_5__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.03                           mprj/u_mac_wrap/clknet_3_5__leaf_mdio_clk (net)
+                  0.05    0.00    0.76 ^ mprj/u_mac_wrap/_08515_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.86   clock uncertainty
+                         -0.05    0.80   clock reconvergence pessimism
+                         -0.03    0.77   library hold time
+                                  0.77   data required time
+-----------------------------------------------------------------------------
+                                  0.77   data required time
+                                 -1.14   data arrival time
+-----------------------------------------------------------------------------
+                                  0.37   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09153_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_09153_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28    0.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25    0.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.55 ^ mprj/u_mac_wrap/clkbuf_3_4__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.15    0.70 ^ mprj/u_mac_wrap/clkbuf_3_4__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     5    0.02                           mprj/u_mac_wrap/clknet_3_4__leaf_mdio_clk (net)
+                  0.05    0.00    0.70 ^ mprj/u_mac_wrap/_09153_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.06    0.32    1.02 ^ mprj/u_mac_wrap/_09153_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.transmit_data[0] (net)
+                  0.06    0.00    1.02 ^ mprj/u_mac_wrap/_06385_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.13 ^ mprj/u_mac_wrap/_06385_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00619_ (net)
+                  0.04    0.00    1.13 ^ mprj/u_mac_wrap/_09153_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  1.13   data arrival time
+
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_4__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16    0.75 ^ mprj/u_mac_wrap/clkbuf_3_4__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     5    0.02                           mprj/u_mac_wrap/clknet_3_4__leaf_mdio_clk (net)
+                  0.05    0.00    0.75 ^ mprj/u_mac_wrap/_09153_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.85   clock uncertainty
+                         -0.05    0.80   clock reconvergence pessimism
+                         -0.03    0.77   library hold time
+                                  0.77   data required time
+-----------------------------------------------------------------------------
+                                  0.77   data required time
+                                 -1.13   data arrival time
+-----------------------------------------------------------------------------
+                                  0.37   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08520_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_08521_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28    0.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25    0.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.01    0.55 ^ mprj/u_mac_wrap/clkbuf_3_1__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16    0.71 ^ mprj/u_mac_wrap/clkbuf_3_1__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.03                           mprj/u_mac_wrap/clknet_3_1__leaf_mdio_clk (net)
+                  0.05    0.00    0.71 ^ mprj/u_mac_wrap/_08520_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.06    0.32    1.03 ^ mprj/u_mac_wrap/_08520_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.transmit_data[10] (net)
+                  0.06    0.00    1.03 ^ mprj/u_mac_wrap/_04885_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.15 ^ mprj/u_mac_wrap/_04885_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00075_ (net)
+                  0.04    0.00    1.15 ^ mprj/u_mac_wrap/_08521_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  1.15   data arrival time
+
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_4__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16    0.75 ^ mprj/u_mac_wrap/clkbuf_3_4__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     5    0.02                           mprj/u_mac_wrap/clknet_3_4__leaf_mdio_clk (net)
+                  0.05    0.00    0.75 ^ mprj/u_mac_wrap/_08521_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.85   clock uncertainty
+                         -0.04    0.81   clock reconvergence pessimism
+                         -0.03    0.78   library hold time
+                                  0.78   data required time
+-----------------------------------------------------------------------------
+                                  0.78   data required time
+                                 -1.15   data arrival time
+-----------------------------------------------------------------------------
+                                  0.37   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08513_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_08514_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28    0.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25    0.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.55 ^ mprj/u_mac_wrap/clkbuf_3_5__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.15    0.70 ^ mprj/u_mac_wrap/clkbuf_3_5__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.03                           mprj/u_mac_wrap/clknet_3_5__leaf_mdio_clk (net)
+                  0.05    0.00    0.70 ^ mprj/u_mac_wrap/_08513_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.06    0.32    1.02 ^ mprj/u_mac_wrap/_08513_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.transmit_data[3] (net)
+                  0.06    0.00    1.02 ^ mprj/u_mac_wrap/_04871_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.14 ^ mprj/u_mac_wrap/_04871_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00068_ (net)
+                  0.04    0.00    1.14 ^ mprj/u_mac_wrap/_08514_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  1.14   data arrival time
+
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_5__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    0.76 ^ mprj/u_mac_wrap/clkbuf_3_5__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.03                           mprj/u_mac_wrap/clknet_3_5__leaf_mdio_clk (net)
+                  0.05    0.00    0.76 ^ mprj/u_mac_wrap/_08514_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.86   clock uncertainty
+                         -0.05    0.80   clock reconvergence pessimism
+                         -0.03    0.77   library hold time
+                                  0.77   data required time
+-----------------------------------------------------------------------------
+                                  0.77   data required time
+                                 -1.14   data arrival time
+-----------------------------------------------------------------------------
+                                  0.37   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08512_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_08513_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28    0.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25    0.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.55 ^ mprj/u_mac_wrap/clkbuf_3_5__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.15    0.70 ^ mprj/u_mac_wrap/clkbuf_3_5__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.03                           mprj/u_mac_wrap/clknet_3_5__leaf_mdio_clk (net)
+                  0.05    0.00    0.70 ^ mprj/u_mac_wrap/_08512_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.06    0.32    1.02 ^ mprj/u_mac_wrap/_08512_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.transmit_data[2] (net)
+                  0.06    0.00    1.02 ^ mprj/u_mac_wrap/_04869_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.14 ^ mprj/u_mac_wrap/_04869_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00067_ (net)
+                  0.04    0.00    1.14 ^ mprj/u_mac_wrap/_08513_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  1.14   data arrival time
+
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_5__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    0.76 ^ mprj/u_mac_wrap/clkbuf_3_5__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.03                           mprj/u_mac_wrap/clknet_3_5__leaf_mdio_clk (net)
+                  0.05    0.00    0.76 ^ mprj/u_mac_wrap/_08513_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.86   clock uncertainty
+                         -0.05    0.80   clock reconvergence pessimism
+                         -0.03    0.77   library hold time
+                                  0.77   data required time
+-----------------------------------------------------------------------------
+                                  0.77   data required time
+                                 -1.14   data arrival time
+-----------------------------------------------------------------------------
+                                  0.37   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09154_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_08775_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28    0.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25    0.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.01    0.55 ^ mprj/u_mac_wrap/clkbuf_3_0__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16    0.71 ^ mprj/u_mac_wrap/clkbuf_3_0__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    12    0.04                           mprj/u_mac_wrap/clknet_3_0__leaf_mdio_clk (net)
+                  0.06    0.00    0.71 ^ mprj/u_mac_wrap/_09154_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.06    0.32    1.03 ^ mprj/u_mac_wrap/_09154_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.phy_addr[0] (net)
+                  0.06    0.00    1.03 ^ mprj/u_mac_wrap/_05583_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.15 ^ mprj/u_mac_wrap/_05583_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00318_ (net)
+                  0.04    0.00    1.15 ^ mprj/u_mac_wrap/_08775_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  1.15   data arrival time
+
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.01    0.59 ^ mprj/u_mac_wrap/clkbuf_3_0__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.18    0.77 ^ mprj/u_mac_wrap/clkbuf_3_0__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    12    0.04                           mprj/u_mac_wrap/clknet_3_0__leaf_mdio_clk (net)
+                  0.06    0.00    0.77 ^ mprj/u_mac_wrap/_08775_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.87   clock uncertainty
+                         -0.06    0.81   clock reconvergence pessimism
+                         -0.03    0.78   library hold time
+                                  0.78   data required time
+-----------------------------------------------------------------------------
+                                  0.78   data required time
+                                 -1.15   data arrival time
+-----------------------------------------------------------------------------
+                                  0.37   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09154_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_09154_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28    0.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25    0.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.01    0.55 ^ mprj/u_mac_wrap/clkbuf_3_0__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16    0.71 ^ mprj/u_mac_wrap/clkbuf_3_0__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    12    0.04                           mprj/u_mac_wrap/clknet_3_0__leaf_mdio_clk (net)
+                  0.06    0.00    0.71 ^ mprj/u_mac_wrap/_09154_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.06    0.32    1.03 ^ mprj/u_mac_wrap/_09154_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.phy_addr[0] (net)
+                  0.06    0.00    1.03 ^ mprj/u_mac_wrap/_06386_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.15 ^ mprj/u_mac_wrap/_06386_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00620_ (net)
+                  0.04    0.00    1.15 ^ mprj/u_mac_wrap/_09154_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  1.15   data arrival time
+
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.01    0.59 ^ mprj/u_mac_wrap/clkbuf_3_0__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.18    0.77 ^ mprj/u_mac_wrap/clkbuf_3_0__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    12    0.04                           mprj/u_mac_wrap/clknet_3_0__leaf_mdio_clk (net)
+                  0.06    0.00    0.77 ^ mprj/u_mac_wrap/_09154_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.87   clock uncertainty
+                         -0.06    0.81   clock reconvergence pessimism
+                         -0.03    0.78   library hold time
+                                  0.78   data required time
+-----------------------------------------------------------------------------
+                                  0.78   data required time
+                                 -1.15   data arrival time
+-----------------------------------------------------------------------------
+                                  0.37   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09153_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_08511_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28    0.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25    0.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.55 ^ mprj/u_mac_wrap/clkbuf_3_4__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.15    0.70 ^ mprj/u_mac_wrap/clkbuf_3_4__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     5    0.02                           mprj/u_mac_wrap/clknet_3_4__leaf_mdio_clk (net)
+                  0.05    0.00    0.70 ^ mprj/u_mac_wrap/_09153_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.06    0.32    1.02 ^ mprj/u_mac_wrap/_09153_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.transmit_data[0] (net)
+                  0.06    0.00    1.02 ^ mprj/u_mac_wrap/_04865_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.14 ^ mprj/u_mac_wrap/_04865_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00065_ (net)
+                  0.04    0.00    1.14 ^ mprj/u_mac_wrap/_08511_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  1.14   data arrival time
+
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_4__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16    0.75 ^ mprj/u_mac_wrap/clkbuf_3_4__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     5    0.02                           mprj/u_mac_wrap/clknet_3_4__leaf_mdio_clk (net)
+                  0.05    0.00    0.75 ^ mprj/u_mac_wrap/_08511_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.85   clock uncertainty
+                         -0.05    0.80   clock reconvergence pessimism
+                         -0.03    0.77   library hold time
+                                  0.77   data required time
+-----------------------------------------------------------------------------
+                                  0.77   data required time
+                                 -1.14   data arrival time
+-----------------------------------------------------------------------------
+                                  0.37   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08775_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_08776_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28    0.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25    0.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.01    0.55 ^ mprj/u_mac_wrap/clkbuf_3_0__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16    0.71 ^ mprj/u_mac_wrap/clkbuf_3_0__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    12    0.04                           mprj/u_mac_wrap/clknet_3_0__leaf_mdio_clk (net)
+                  0.06    0.00    0.71 ^ mprj/u_mac_wrap/_08775_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.06    0.32    1.04 ^ mprj/u_mac_wrap/_08775_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.phy_addr[1] (net)
+                  0.06    0.00    1.04 ^ mprj/u_mac_wrap/_05585_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.15 ^ mprj/u_mac_wrap/_05585_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00319_ (net)
+                  0.04    0.00    1.15 ^ mprj/u_mac_wrap/_08776_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  1.15   data arrival time
+
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.01    0.59 ^ mprj/u_mac_wrap/clkbuf_3_0__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.18    0.77 ^ mprj/u_mac_wrap/clkbuf_3_0__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    12    0.04                           mprj/u_mac_wrap/clknet_3_0__leaf_mdio_clk (net)
+                  0.06    0.00    0.77 ^ mprj/u_mac_wrap/_08776_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.87   clock uncertainty
+                         -0.06    0.81   clock reconvergence pessimism
+                         -0.03    0.78   library hold time
+                                  0.78   data required time
+-----------------------------------------------------------------------------
+                                  0.78   data required time
+                                 -1.15   data arrival time
+-----------------------------------------------------------------------------
+                                  0.37   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08518_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_08519_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28    0.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25    0.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.01    0.55 ^ mprj/u_mac_wrap/clkbuf_3_1__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16    0.71 ^ mprj/u_mac_wrap/clkbuf_3_1__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.03                           mprj/u_mac_wrap/clknet_3_1__leaf_mdio_clk (net)
+                  0.05    0.00    0.71 ^ mprj/u_mac_wrap/_08518_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.07    0.32    1.03 ^ mprj/u_mac_wrap/_08518_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.transmit_data[8] (net)
+                  0.07    0.00    1.03 ^ mprj/u_mac_wrap/_04881_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.15 ^ mprj/u_mac_wrap/_04881_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00073_ (net)
+                  0.04    0.00    1.15 ^ mprj/u_mac_wrap/_08519_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  1.15   data arrival time
+
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.01    0.59 ^ mprj/u_mac_wrap/clkbuf_3_1__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    0.76 ^ mprj/u_mac_wrap/clkbuf_3_1__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.03                           mprj/u_mac_wrap/clknet_3_1__leaf_mdio_clk (net)
+                  0.05    0.00    0.76 ^ mprj/u_mac_wrap/_08519_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.86   clock uncertainty
+                         -0.06    0.81   clock reconvergence pessimism
+                         -0.03    0.77   library hold time
+                                  0.77   data required time
+-----------------------------------------------------------------------------
+                                  0.77   data required time
+                                 -1.15   data arrival time
+-----------------------------------------------------------------------------
+                                  0.37   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08780_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_08781_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28    0.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25    0.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.01    0.55 ^ mprj/u_mac_wrap/clkbuf_3_0__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16    0.71 ^ mprj/u_mac_wrap/clkbuf_3_0__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    12    0.04                           mprj/u_mac_wrap/clknet_3_0__leaf_mdio_clk (net)
+                  0.06    0.00    0.71 ^ mprj/u_mac_wrap/_08780_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.06    0.32    1.03 ^ mprj/u_mac_wrap/_08780_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.reg_addr[2] (net)
+                  0.06    0.00    1.03 ^ mprj/u_mac_wrap/_05595_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.15 ^ mprj/u_mac_wrap/_05595_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00324_ (net)
+                  0.04    0.00    1.15 ^ mprj/u_mac_wrap/_08781_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  1.15   data arrival time
+
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.01    0.59 ^ mprj/u_mac_wrap/clkbuf_3_0__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.18    0.77 ^ mprj/u_mac_wrap/clkbuf_3_0__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    12    0.04                           mprj/u_mac_wrap/clknet_3_0__leaf_mdio_clk (net)
+                  0.06    0.00    0.77 ^ mprj/u_mac_wrap/_08781_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.87   clock uncertainty
+                         -0.06    0.81   clock reconvergence pessimism
+                         -0.03    0.78   library hold time
+                                  0.78   data required time
+-----------------------------------------------------------------------------
+                                  0.78   data required time
+                                 -1.15   data arrival time
+-----------------------------------------------------------------------------
+                                  0.37   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08519_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_08520_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28    0.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25    0.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.01    0.55 ^ mprj/u_mac_wrap/clkbuf_3_1__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16    0.71 ^ mprj/u_mac_wrap/clkbuf_3_1__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.03                           mprj/u_mac_wrap/clknet_3_1__leaf_mdio_clk (net)
+                  0.05    0.00    0.71 ^ mprj/u_mac_wrap/_08519_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.06    0.32    1.03 ^ mprj/u_mac_wrap/_08519_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.transmit_data[9] (net)
+                  0.06    0.00    1.03 ^ mprj/u_mac_wrap/_04883_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.15 ^ mprj/u_mac_wrap/_04883_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00074_ (net)
+                  0.04    0.00    1.15 ^ mprj/u_mac_wrap/_08520_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  1.15   data arrival time
+
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.01    0.59 ^ mprj/u_mac_wrap/clkbuf_3_1__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    0.76 ^ mprj/u_mac_wrap/clkbuf_3_1__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.03                           mprj/u_mac_wrap/clknet_3_1__leaf_mdio_clk (net)
+                  0.05    0.00    0.76 ^ mprj/u_mac_wrap/_08520_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.86   clock uncertainty
+                         -0.06    0.81   clock reconvergence pessimism
+                         -0.03    0.77   library hold time
+                                  0.77   data required time
+-----------------------------------------------------------------------------
+                                  0.77   data required time
+                                 -1.15   data arrival time
+-----------------------------------------------------------------------------
+                                  0.37   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08524_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_08525_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28    0.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25    0.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.55 ^ mprj/u_mac_wrap/clkbuf_3_6__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    0.70 ^ mprj/u_mac_wrap/clkbuf_3_6__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.02                           mprj/u_mac_wrap/clknet_3_6__leaf_mdio_clk (net)
+                  0.04    0.00    0.70 ^ mprj/u_mac_wrap/_08524_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.07    0.32    1.02 ^ mprj/u_mac_wrap/_08524_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.transmit_data[14] (net)
+                  0.07    0.00    1.02 ^ mprj/u_mac_wrap/_04893_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.14 ^ mprj/u_mac_wrap/_04893_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00079_ (net)
+                  0.04    0.00    1.14 ^ mprj/u_mac_wrap/_08525_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  1.14   data arrival time
+
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_6__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    0.75 ^ mprj/u_mac_wrap/clkbuf_3_6__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.02                           mprj/u_mac_wrap/clknet_3_6__leaf_mdio_clk (net)
+                  0.04    0.00    0.75 ^ mprj/u_mac_wrap/_08525_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.85   clock uncertainty
+                         -0.05    0.80   clock reconvergence pessimism
+                         -0.03    0.76   library hold time
+                                  0.76   data required time
+-----------------------------------------------------------------------------
+                                  0.76   data required time
+                                 -1.14   data arrival time
+-----------------------------------------------------------------------------
+                                  0.37   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09293_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_09294_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28    0.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25    0.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.55 ^ mprj/u_mac_wrap/clkbuf_3_7__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    0.70 ^ mprj/u_mac_wrap/clkbuf_3_7__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.02                           mprj/u_mac_wrap/clknet_3_7__leaf_mdio_clk (net)
+                  0.04    0.00    0.70 ^ mprj/u_mac_wrap/_09293_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.34    1.03 ^ mprj/u_mac_wrap/_09293_/Q (sky130_fd_sc_hd__dfrtp_1)
+     3    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.int_md2cf_data[4] (net)
+                  0.08    0.00    1.03 ^ mprj/u_mac_wrap/_06576_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.16 ^ mprj/u_mac_wrap/_06576_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00754_ (net)
+                  0.04    0.00    1.16 ^ mprj/u_mac_wrap/_09294_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  1.16   data arrival time
+
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_5__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    0.76 ^ mprj/u_mac_wrap/clkbuf_3_5__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.03                           mprj/u_mac_wrap/clknet_3_5__leaf_mdio_clk (net)
+                  0.05    0.00    0.76 ^ mprj/u_mac_wrap/_09294_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.86   clock uncertainty
+                         -0.04    0.81   clock reconvergence pessimism
+                         -0.03    0.78   library hold time
+                                  0.78   data required time
+-----------------------------------------------------------------------------
+                                  0.78   data required time
+                                 -1.16   data arrival time
 -----------------------------------------------------------------------------
                                   0.38   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_6390_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6397_
-          (rising edge-triggered flip-flop clocked by uart_clk)
-Path Group: uart_clk
+Startpoint: mprj/u_mac_wrap/_08516_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_08517_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
 Path Type: min
 
 Fanout     Cap    Slew   Delay    Time   Description
 -----------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock mdio_clk (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.16    0.16 ^ mprj/u_wb_host/_6390_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.04    0.58    0.74 v mprj/u_wb_host/_6390_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.01                           mprj/u_wb_host/u_uart2wb.u_msg.TxMsgBuf[37] (net)
-                  0.04    0.00    0.74 v mprj/u_wb_host/_5091_/B2 (sky130_fd_sc_hd__o22a_1)
-                  0.03    0.14    0.88 v mprj/u_wb_host/_5091_/X (sky130_fd_sc_hd__o22a_1)
-     1    0.00                           mprj/u_wb_host/_0911_ (net)
-                  0.03    0.00    0.88 v mprj/u_wb_host/_6397_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  0.88   data arrival time
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28    0.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25    0.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.55 ^ mprj/u_mac_wrap/clkbuf_3_5__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.15    0.70 ^ mprj/u_mac_wrap/clkbuf_3_5__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.03                           mprj/u_mac_wrap/clknet_3_5__leaf_mdio_clk (net)
+                  0.05    0.00    0.70 ^ mprj/u_mac_wrap/_08516_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.07    0.32    1.03 ^ mprj/u_mac_wrap/_08516_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.transmit_data[6] (net)
+                  0.07    0.00    1.03 ^ mprj/u_mac_wrap/_04877_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.15 ^ mprj/u_mac_wrap/_04877_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00071_ (net)
+                  0.04    0.00    1.15 ^ mprj/u_mac_wrap/_08517_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  1.15   data arrival time
 
-                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock mdio_clk (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.17    0.17 ^ mprj/u_wb_host/_6397_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    0.27   clock uncertainty
-                          0.00    0.27   clock reconvergence pessimism
-                          0.19    0.47   library hold time
-                                  0.47   data required time
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_5__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    0.76 ^ mprj/u_mac_wrap/clkbuf_3_5__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.03                           mprj/u_mac_wrap/clknet_3_5__leaf_mdio_clk (net)
+                  0.05    0.00    0.76 ^ mprj/u_mac_wrap/_08517_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.86   clock uncertainty
+                         -0.05    0.80   clock reconvergence pessimism
+                         -0.03    0.77   library hold time
+                                  0.77   data required time
 -----------------------------------------------------------------------------
-                                  0.47   data required time
-                                 -0.88   data arrival time
+                                  0.77   data required time
+                                 -1.15   data arrival time
+-----------------------------------------------------------------------------
+                                  0.38   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08522_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_08523_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28    0.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25    0.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.55 ^ mprj/u_mac_wrap/clkbuf_3_4__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.15    0.70 ^ mprj/u_mac_wrap/clkbuf_3_4__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     5    0.02                           mprj/u_mac_wrap/clknet_3_4__leaf_mdio_clk (net)
+                  0.05    0.00    0.70 ^ mprj/u_mac_wrap/_08522_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.33    1.03 ^ mprj/u_mac_wrap/_08522_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.transmit_data[12] (net)
+                  0.08    0.00    1.03 ^ mprj/u_mac_wrap/_04889_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.15 ^ mprj/u_mac_wrap/_04889_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00077_ (net)
+                  0.04    0.00    1.15 ^ mprj/u_mac_wrap/_08523_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  1.15   data arrival time
+
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_6__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    0.75 ^ mprj/u_mac_wrap/clkbuf_3_6__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.02                           mprj/u_mac_wrap/clknet_3_6__leaf_mdio_clk (net)
+                  0.04    0.00    0.75 ^ mprj/u_mac_wrap/_08523_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.85   clock uncertainty
+                         -0.04    0.81   clock reconvergence pessimism
+                         -0.03    0.77   library hold time
+                                  0.77   data required time
+-----------------------------------------------------------------------------
+                                  0.77   data required time
+                                 -1.15   data arrival time
+-----------------------------------------------------------------------------
+                                  0.38   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08776_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_08777_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28    0.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25    0.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.01    0.55 ^ mprj/u_mac_wrap/clkbuf_3_0__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16    0.71 ^ mprj/u_mac_wrap/clkbuf_3_0__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    12    0.04                           mprj/u_mac_wrap/clknet_3_0__leaf_mdio_clk (net)
+                  0.06    0.00    0.71 ^ mprj/u_mac_wrap/_08776_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.07    0.33    1.04 ^ mprj/u_mac_wrap/_08776_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.phy_addr[2] (net)
+                  0.07    0.00    1.04 ^ mprj/u_mac_wrap/_05587_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.16 ^ mprj/u_mac_wrap/_05587_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00320_ (net)
+                  0.04    0.00    1.16 ^ mprj/u_mac_wrap/_08777_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  1.16   data arrival time
+
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.01    0.59 ^ mprj/u_mac_wrap/clkbuf_3_0__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.18    0.77 ^ mprj/u_mac_wrap/clkbuf_3_0__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    12    0.04                           mprj/u_mac_wrap/clknet_3_0__leaf_mdio_clk (net)
+                  0.06    0.00    0.77 ^ mprj/u_mac_wrap/_08777_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.87   clock uncertainty
+                         -0.06    0.81   clock reconvergence pessimism
+                         -0.03    0.78   library hold time
+                                  0.78   data required time
+-----------------------------------------------------------------------------
+                                  0.78   data required time
+                                 -1.16   data arrival time
+-----------------------------------------------------------------------------
+                                  0.38   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09156_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_09156_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28    0.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25    0.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.01    0.55 ^ mprj/u_mac_wrap/clkbuf_3_1__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16    0.71 ^ mprj/u_mac_wrap/clkbuf_3_1__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.03                           mprj/u_mac_wrap/clknet_3_1__leaf_mdio_clk (net)
+                  0.05    0.00    0.71 ^ mprj/u_mac_wrap/_09156_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.07    0.33    1.04 ^ mprj/u_mac_wrap/_09156_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.reg_addr[0] (net)
+                  0.07    0.00    1.04 ^ mprj/u_mac_wrap/_06388_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.15 ^ mprj/u_mac_wrap/_06388_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00622_ (net)
+                  0.04    0.00    1.15 ^ mprj/u_mac_wrap/_09156_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  1.15   data arrival time
+
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.01    0.59 ^ mprj/u_mac_wrap/clkbuf_3_1__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    0.76 ^ mprj/u_mac_wrap/clkbuf_3_1__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.03                           mprj/u_mac_wrap/clknet_3_1__leaf_mdio_clk (net)
+                  0.05    0.00    0.76 ^ mprj/u_mac_wrap/_09156_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.86   clock uncertainty
+                         -0.06    0.81   clock reconvergence pessimism
+                         -0.03    0.77   library hold time
+                                  0.77   data required time
+-----------------------------------------------------------------------------
+                                  0.77   data required time
+                                 -1.15   data arrival time
+-----------------------------------------------------------------------------
+                                  0.38   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09304_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_09304_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28    0.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25    0.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.55 ^ mprj/u_mac_wrap/clkbuf_3_3__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.15    0.70 ^ mprj/u_mac_wrap/clkbuf_3_3__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.03                           mprj/u_mac_wrap/clknet_3_3__leaf_mdio_clk (net)
+                  0.05    0.00    0.70 ^ mprj/u_mac_wrap/_09304_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.33    1.03 ^ mprj/u_mac_wrap/_09304_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.int_md2cf_data[15] (net)
+                  0.08    0.00    1.03 ^ mprj/u_mac_wrap/_06586_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.15 ^ mprj/u_mac_wrap/_06586_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00764_ (net)
+                  0.04    0.00    1.15 ^ mprj/u_mac_wrap/_09304_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  1.15   data arrival time
+
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_3__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    0.76 ^ mprj/u_mac_wrap/clkbuf_3_3__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.03                           mprj/u_mac_wrap/clknet_3_3__leaf_mdio_clk (net)
+                  0.05    0.00    0.76 ^ mprj/u_mac_wrap/_09304_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.86   clock uncertainty
+                         -0.05    0.80   clock reconvergence pessimism
+                         -0.03    0.77   library hold time
+                                  0.77   data required time
+-----------------------------------------------------------------------------
+                                  0.77   data required time
+                                 -1.15   data arrival time
+-----------------------------------------------------------------------------
+                                  0.38   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09301_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_09301_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28    0.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25    0.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.55 ^ mprj/u_mac_wrap/clkbuf_3_3__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.15    0.70 ^ mprj/u_mac_wrap/clkbuf_3_3__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.03                           mprj/u_mac_wrap/clknet_3_3__leaf_mdio_clk (net)
+                  0.05    0.00    0.70 ^ mprj/u_mac_wrap/_09301_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.33    1.03 ^ mprj/u_mac_wrap/_09301_/Q (sky130_fd_sc_hd__dfrtp_1)
+     3    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.int_md2cf_data[12] (net)
+                  0.08    0.00    1.03 ^ mprj/u_mac_wrap/_06583_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.15 ^ mprj/u_mac_wrap/_06583_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00761_ (net)
+                  0.04    0.00    1.15 ^ mprj/u_mac_wrap/_09301_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  1.15   data arrival time
+
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_3__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    0.76 ^ mprj/u_mac_wrap/clkbuf_3_3__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.03                           mprj/u_mac_wrap/clknet_3_3__leaf_mdio_clk (net)
+                  0.05    0.00    0.76 ^ mprj/u_mac_wrap/_09301_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.86   clock uncertainty
+                         -0.05    0.80   clock reconvergence pessimism
+                         -0.03    0.77   library hold time
+                                  0.77   data required time
+-----------------------------------------------------------------------------
+                                  0.77   data required time
+                                 -1.15   data arrival time
+-----------------------------------------------------------------------------
+                                  0.38   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09297_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_09298_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28    0.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25    0.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.55 ^ mprj/u_mac_wrap/clkbuf_3_7__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    0.70 ^ mprj/u_mac_wrap/clkbuf_3_7__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.02                           mprj/u_mac_wrap/clknet_3_7__leaf_mdio_clk (net)
+                  0.04    0.00    0.70 ^ mprj/u_mac_wrap/_09297_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.34    1.03 ^ mprj/u_mac_wrap/_09297_/Q (sky130_fd_sc_hd__dfrtp_1)
+     3    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.int_md2cf_data[8] (net)
+                  0.09    0.00    1.03 ^ mprj/u_mac_wrap/_06580_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.16 ^ mprj/u_mac_wrap/_06580_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00758_ (net)
+                  0.04    0.00    1.16 ^ mprj/u_mac_wrap/_09298_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  1.16   data arrival time
+
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_6__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    0.75 ^ mprj/u_mac_wrap/clkbuf_3_6__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.02                           mprj/u_mac_wrap/clknet_3_6__leaf_mdio_clk (net)
+                  0.04    0.00    0.75 ^ mprj/u_mac_wrap/_09298_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.85   clock uncertainty
+                         -0.04    0.81   clock reconvergence pessimism
+                         -0.03    0.77   library hold time
+                                  0.77   data required time
+-----------------------------------------------------------------------------
+                                  0.77   data required time
+                                 -1.16   data arrival time
+-----------------------------------------------------------------------------
+                                  0.38   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08523_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_08524_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28    0.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25    0.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.55 ^ mprj/u_mac_wrap/clkbuf_3_6__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    0.70 ^ mprj/u_mac_wrap/clkbuf_3_6__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.02                           mprj/u_mac_wrap/clknet_3_6__leaf_mdio_clk (net)
+                  0.04    0.00    0.70 ^ mprj/u_mac_wrap/_08523_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.33    1.02 ^ mprj/u_mac_wrap/_08523_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.transmit_data[13] (net)
+                  0.08    0.00    1.02 ^ mprj/u_mac_wrap/_04891_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.15 ^ mprj/u_mac_wrap/_04891_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00078_ (net)
+                  0.04    0.00    1.15 ^ mprj/u_mac_wrap/_08524_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  1.15   data arrival time
+
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_6__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    0.75 ^ mprj/u_mac_wrap/clkbuf_3_6__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.02                           mprj/u_mac_wrap/clknet_3_6__leaf_mdio_clk (net)
+                  0.04    0.00    0.75 ^ mprj/u_mac_wrap/_08524_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.85   clock uncertainty
+                         -0.05    0.80   clock reconvergence pessimism
+                         -0.03    0.76   library hold time
+                                  0.76   data required time
+-----------------------------------------------------------------------------
+                                  0.76   data required time
+                                 -1.15   data arrival time
+-----------------------------------------------------------------------------
+                                  0.38   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09156_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_08779_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28    0.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25    0.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.01    0.55 ^ mprj/u_mac_wrap/clkbuf_3_1__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16    0.71 ^ mprj/u_mac_wrap/clkbuf_3_1__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.03                           mprj/u_mac_wrap/clknet_3_1__leaf_mdio_clk (net)
+                  0.05    0.00    0.71 ^ mprj/u_mac_wrap/_09156_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.07    0.33    1.04 ^ mprj/u_mac_wrap/_09156_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.reg_addr[0] (net)
+                  0.07    0.00    1.04 ^ mprj/u_mac_wrap/_05591_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.16 ^ mprj/u_mac_wrap/_05591_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00322_ (net)
+                  0.04    0.00    1.16 ^ mprj/u_mac_wrap/_08779_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  1.16   data arrival time
+
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.01    0.59 ^ mprj/u_mac_wrap/clkbuf_3_1__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    0.76 ^ mprj/u_mac_wrap/clkbuf_3_1__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.03                           mprj/u_mac_wrap/clknet_3_1__leaf_mdio_clk (net)
+                  0.05    0.00    0.76 ^ mprj/u_mac_wrap/_08779_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.86   clock uncertainty
+                         -0.06    0.81   clock reconvergence pessimism
+                         -0.03    0.77   library hold time
+                                  0.77   data required time
+-----------------------------------------------------------------------------
+                                  0.77   data required time
+                                 -1.16   data arrival time
+-----------------------------------------------------------------------------
+                                  0.38   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08521_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_08522_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28    0.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25    0.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.55 ^ mprj/u_mac_wrap/clkbuf_3_4__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.15    0.70 ^ mprj/u_mac_wrap/clkbuf_3_4__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     5    0.02                           mprj/u_mac_wrap/clknet_3_4__leaf_mdio_clk (net)
+                  0.05    0.00    0.70 ^ mprj/u_mac_wrap/_08521_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.07    0.33    1.03 ^ mprj/u_mac_wrap/_08521_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.transmit_data[11] (net)
+                  0.07    0.00    1.03 ^ mprj/u_mac_wrap/_04887_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.15 ^ mprj/u_mac_wrap/_04887_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00076_ (net)
+                  0.04    0.00    1.15 ^ mprj/u_mac_wrap/_08522_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  1.15   data arrival time
+
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_4__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16    0.75 ^ mprj/u_mac_wrap/clkbuf_3_4__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     5    0.02                           mprj/u_mac_wrap/clknet_3_4__leaf_mdio_clk (net)
+                  0.05    0.00    0.75 ^ mprj/u_mac_wrap/_08522_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.85   clock uncertainty
+                         -0.05    0.80   clock reconvergence pessimism
+                         -0.03    0.77   library hold time
+                                  0.77   data required time
+-----------------------------------------------------------------------------
+                                  0.77   data required time
+                                 -1.15   data arrival time
+-----------------------------------------------------------------------------
+                                  0.39   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09301_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_09302_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28    0.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25    0.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.55 ^ mprj/u_mac_wrap/clkbuf_3_3__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.15    0.70 ^ mprj/u_mac_wrap/clkbuf_3_3__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.03                           mprj/u_mac_wrap/clknet_3_3__leaf_mdio_clk (net)
+                  0.05    0.00    0.70 ^ mprj/u_mac_wrap/_09301_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.33    1.03 ^ mprj/u_mac_wrap/_09301_/Q (sky130_fd_sc_hd__dfrtp_1)
+     3    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.int_md2cf_data[12] (net)
+                  0.08    0.00    1.03 ^ mprj/u_mac_wrap/_06584_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.16 ^ mprj/u_mac_wrap/_06584_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00762_ (net)
+                  0.04    0.00    1.16 ^ mprj/u_mac_wrap/_09302_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  1.16   data arrival time
+
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_3__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    0.76 ^ mprj/u_mac_wrap/clkbuf_3_3__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.03                           mprj/u_mac_wrap/clknet_3_3__leaf_mdio_clk (net)
+                  0.05    0.00    0.76 ^ mprj/u_mac_wrap/_09302_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.86   clock uncertainty
+                         -0.05    0.80   clock reconvergence pessimism
+                         -0.03    0.77   library hold time
+                                  0.77   data required time
+-----------------------------------------------------------------------------
+                                  0.77   data required time
+                                 -1.16   data arrival time
+-----------------------------------------------------------------------------
+                                  0.39   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09293_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_09293_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28    0.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25    0.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.55 ^ mprj/u_mac_wrap/clkbuf_3_7__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    0.70 ^ mprj/u_mac_wrap/clkbuf_3_7__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.02                           mprj/u_mac_wrap/clknet_3_7__leaf_mdio_clk (net)
+                  0.04    0.00    0.70 ^ mprj/u_mac_wrap/_09293_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.34    1.03 ^ mprj/u_mac_wrap/_09293_/Q (sky130_fd_sc_hd__dfrtp_1)
+     3    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.int_md2cf_data[4] (net)
+                  0.08    0.00    1.03 ^ mprj/u_mac_wrap/_06575_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.15 ^ mprj/u_mac_wrap/_06575_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00753_ (net)
+                  0.04    0.00    1.15 ^ mprj/u_mac_wrap/_09293_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  1.15   data arrival time
+
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_7__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    0.75 ^ mprj/u_mac_wrap/clkbuf_3_7__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.02                           mprj/u_mac_wrap/clknet_3_7__leaf_mdio_clk (net)
+                  0.04    0.00    0.75 ^ mprj/u_mac_wrap/_09293_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.85   clock uncertainty
+                         -0.05    0.80   clock reconvergence pessimism
+                         -0.03    0.76   library hold time
+                                  0.76   data required time
+-----------------------------------------------------------------------------
+                                  0.76   data required time
+                                 -1.15   data arrival time
+-----------------------------------------------------------------------------
+                                  0.39   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09297_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_09297_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28    0.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25    0.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.55 ^ mprj/u_mac_wrap/clkbuf_3_7__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    0.70 ^ mprj/u_mac_wrap/clkbuf_3_7__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.02                           mprj/u_mac_wrap/clknet_3_7__leaf_mdio_clk (net)
+                  0.04    0.00    0.70 ^ mprj/u_mac_wrap/_09297_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.34    1.03 ^ mprj/u_mac_wrap/_09297_/Q (sky130_fd_sc_hd__dfrtp_1)
+     3    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.int_md2cf_data[8] (net)
+                  0.09    0.00    1.03 ^ mprj/u_mac_wrap/_06579_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.15 ^ mprj/u_mac_wrap/_06579_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00757_ (net)
+                  0.04    0.00    1.15 ^ mprj/u_mac_wrap/_09297_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  1.15   data arrival time
+
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_7__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    0.75 ^ mprj/u_mac_wrap/clkbuf_3_7__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.02                           mprj/u_mac_wrap/clknet_3_7__leaf_mdio_clk (net)
+                  0.04    0.00    0.75 ^ mprj/u_mac_wrap/_09297_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.85   clock uncertainty
+                         -0.05    0.80   clock reconvergence pessimism
+                         -0.03    0.76   library hold time
+                                  0.76   data required time
+-----------------------------------------------------------------------------
+                                  0.76   data required time
+                                 -1.15   data arrival time
+-----------------------------------------------------------------------------
+                                  0.39   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09299_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_09299_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28    0.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25    0.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.55 ^ mprj/u_mac_wrap/clkbuf_3_6__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    0.70 ^ mprj/u_mac_wrap/clkbuf_3_6__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.02                           mprj/u_mac_wrap/clknet_3_6__leaf_mdio_clk (net)
+                  0.04    0.00    0.70 ^ mprj/u_mac_wrap/_09299_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.34    1.03 ^ mprj/u_mac_wrap/_09299_/Q (sky130_fd_sc_hd__dfrtp_1)
+     3    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.int_md2cf_data[10] (net)
+                  0.09    0.00    1.03 ^ mprj/u_mac_wrap/_06581_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.16 ^ mprj/u_mac_wrap/_06581_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00759_ (net)
+                  0.04    0.00    1.16 ^ mprj/u_mac_wrap/_09299_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  1.16   data arrival time
+
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_6__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    0.75 ^ mprj/u_mac_wrap/clkbuf_3_6__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.02                           mprj/u_mac_wrap/clknet_3_6__leaf_mdio_clk (net)
+                  0.04    0.00    0.75 ^ mprj/u_mac_wrap/_09299_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.85   clock uncertainty
+                         -0.05    0.80   clock reconvergence pessimism
+                         -0.03    0.76   library hold time
+                                  0.76   data required time
+-----------------------------------------------------------------------------
+                                  0.76   data required time
+                                 -1.16   data arrival time
+-----------------------------------------------------------------------------
+                                  0.39   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09299_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_09300_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28    0.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25    0.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.55 ^ mprj/u_mac_wrap/clkbuf_3_6__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    0.70 ^ mprj/u_mac_wrap/clkbuf_3_6__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.02                           mprj/u_mac_wrap/clknet_3_6__leaf_mdio_clk (net)
+                  0.04    0.00    0.70 ^ mprj/u_mac_wrap/_09299_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.34    1.03 ^ mprj/u_mac_wrap/_09299_/Q (sky130_fd_sc_hd__dfrtp_1)
+     3    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.int_md2cf_data[10] (net)
+                  0.09    0.00    1.03 ^ mprj/u_mac_wrap/_06582_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.16 ^ mprj/u_mac_wrap/_06582_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00760_ (net)
+                  0.04    0.00    1.16 ^ mprj/u_mac_wrap/_09300_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  1.16   data arrival time
+
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_6__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    0.75 ^ mprj/u_mac_wrap/clkbuf_3_6__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.02                           mprj/u_mac_wrap/clknet_3_6__leaf_mdio_clk (net)
+                  0.04    0.00    0.75 ^ mprj/u_mac_wrap/_09300_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.85   clock uncertainty
+                         -0.05    0.80   clock reconvergence pessimism
+                         -0.03    0.76   library hold time
+                                  0.76   data required time
+-----------------------------------------------------------------------------
+                                  0.76   data required time
+                                 -1.16   data arrival time
+-----------------------------------------------------------------------------
+                                  0.39   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09292_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_09292_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28    0.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25    0.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.55 ^ mprj/u_mac_wrap/clkbuf_3_7__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    0.70 ^ mprj/u_mac_wrap/clkbuf_3_7__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.02                           mprj/u_mac_wrap/clknet_3_7__leaf_mdio_clk (net)
+                  0.04    0.00    0.70 ^ mprj/u_mac_wrap/_09292_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.34    1.04 ^ mprj/u_mac_wrap/_09292_/Q (sky130_fd_sc_hd__dfrtp_1)
+     3    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.int_md2cf_data[3] (net)
+                  0.09    0.00    1.04 ^ mprj/u_mac_wrap/_06574_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.16 ^ mprj/u_mac_wrap/_06574_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00752_ (net)
+                  0.04    0.00    1.16 ^ mprj/u_mac_wrap/_09292_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  1.16   data arrival time
+
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_7__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    0.75 ^ mprj/u_mac_wrap/clkbuf_3_7__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.02                           mprj/u_mac_wrap/clknet_3_7__leaf_mdio_clk (net)
+                  0.04    0.00    0.75 ^ mprj/u_mac_wrap/_09292_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.85   clock uncertainty
+                         -0.05    0.80   clock reconvergence pessimism
+                         -0.03    0.76   library hold time
+                                  0.76   data required time
+-----------------------------------------------------------------------------
+                                  0.76   data required time
+                                 -1.16   data arrival time
+-----------------------------------------------------------------------------
+                                  0.40   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08515_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_08516_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28    0.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25    0.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.55 ^ mprj/u_mac_wrap/clkbuf_3_5__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.15    0.70 ^ mprj/u_mac_wrap/clkbuf_3_5__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.03                           mprj/u_mac_wrap/clknet_3_5__leaf_mdio_clk (net)
+                  0.05    0.00    0.70 ^ mprj/u_mac_wrap/_08515_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.34    1.04 ^ mprj/u_mac_wrap/_08515_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.transmit_data[5] (net)
+                  0.09    0.00    1.04 ^ mprj/u_mac_wrap/_04875_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.13    1.17 ^ mprj/u_mac_wrap/_04875_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00070_ (net)
+                  0.04    0.00    1.17 ^ mprj/u_mac_wrap/_08516_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  1.17   data arrival time
+
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_5__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    0.76 ^ mprj/u_mac_wrap/clkbuf_3_5__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.03                           mprj/u_mac_wrap/clknet_3_5__leaf_mdio_clk (net)
+                  0.05    0.00    0.76 ^ mprj/u_mac_wrap/_08516_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.86   clock uncertainty
+                         -0.05    0.80   clock reconvergence pessimism
+                         -0.03    0.77   library hold time
+                                  0.77   data required time
+-----------------------------------------------------------------------------
+                                  0.77   data required time
+                                 -1.17   data arrival time
+-----------------------------------------------------------------------------
+                                  0.40   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09903_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_09903_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28    0.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25    0.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.55 ^ mprj/u_mac_wrap/clkbuf_3_3__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.15    0.70 ^ mprj/u_mac_wrap/clkbuf_3_3__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.03                           mprj/u_mac_wrap/clknet_3_3__leaf_mdio_clk (net)
+                  0.05    0.00    0.70 ^ mprj/u_mac_wrap/_09903_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.34    1.05 ^ mprj/u_mac_wrap/_09903_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.int_md2cf_status (net)
+                  0.09    0.00    1.05 ^ mprj/u_mac_wrap/_07906_/B2 (sky130_fd_sc_hd__a32o_1)
+                  0.05    0.12    1.17 ^ mprj/u_mac_wrap/_07906_/X (sky130_fd_sc_hd__a32o_1)
+     1    0.00                           mprj/u_mac_wrap/_01285_ (net)
+                  0.05    0.00    1.17 ^ mprj/u_mac_wrap/_09903_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  1.17   data arrival time
+
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_3__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    0.76 ^ mprj/u_mac_wrap/clkbuf_3_3__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.03                           mprj/u_mac_wrap/clknet_3_3__leaf_mdio_clk (net)
+                  0.05    0.00    0.76 ^ mprj/u_mac_wrap/_09903_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.86   clock uncertainty
+                         -0.05    0.80   clock reconvergence pessimism
+                         -0.04    0.77   library hold time
+                                  0.77   data required time
+-----------------------------------------------------------------------------
+                                  0.77   data required time
+                                 -1.17   data arrival time
+-----------------------------------------------------------------------------
+                                  0.40   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09291_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_09291_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28    0.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25    0.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.55 ^ mprj/u_mac_wrap/clkbuf_3_7__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    0.70 ^ mprj/u_mac_wrap/clkbuf_3_7__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.02                           mprj/u_mac_wrap/clknet_3_7__leaf_mdio_clk (net)
+                  0.04    0.00    0.70 ^ mprj/u_mac_wrap/_09291_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.34    1.04 ^ mprj/u_mac_wrap/_09291_/Q (sky130_fd_sc_hd__dfrtp_1)
+     3    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.int_md2cf_data[2] (net)
+                  0.09    0.00    1.04 ^ mprj/u_mac_wrap/_06573_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.13    1.16 ^ mprj/u_mac_wrap/_06573_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00751_ (net)
+                  0.04    0.00    1.16 ^ mprj/u_mac_wrap/_09291_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  1.16   data arrival time
+
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_7__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    0.75 ^ mprj/u_mac_wrap/clkbuf_3_7__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.02                           mprj/u_mac_wrap/clknet_3_7__leaf_mdio_clk (net)
+                  0.04    0.00    0.75 ^ mprj/u_mac_wrap/_09291_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.85   clock uncertainty
+                         -0.05    0.80   clock reconvergence pessimism
+                         -0.03    0.76   library hold time
+                                  0.76   data required time
+-----------------------------------------------------------------------------
+                                  0.76   data required time
+                                 -1.16   data arrival time
+-----------------------------------------------------------------------------
+                                  0.40   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09295_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_09296_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28    0.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25    0.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.55 ^ mprj/u_mac_wrap/clkbuf_3_7__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    0.70 ^ mprj/u_mac_wrap/clkbuf_3_7__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.02                           mprj/u_mac_wrap/clknet_3_7__leaf_mdio_clk (net)
+                  0.04    0.00    0.70 ^ mprj/u_mac_wrap/_09295_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.34    1.04 ^ mprj/u_mac_wrap/_09295_/Q (sky130_fd_sc_hd__dfrtp_1)
+     3    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.int_md2cf_data[6] (net)
+                  0.09    0.00    1.04 ^ mprj/u_mac_wrap/_06578_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.13    1.17 ^ mprj/u_mac_wrap/_06578_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00756_ (net)
+                  0.04    0.00    1.17 ^ mprj/u_mac_wrap/_09296_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  1.17   data arrival time
+
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_7__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    0.75 ^ mprj/u_mac_wrap/clkbuf_3_7__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.02                           mprj/u_mac_wrap/clknet_3_7__leaf_mdio_clk (net)
+                  0.04    0.00    0.75 ^ mprj/u_mac_wrap/_09296_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.85   clock uncertainty
+                         -0.05    0.80   clock reconvergence pessimism
+                         -0.03    0.76   library hold time
+                                  0.76   data required time
+-----------------------------------------------------------------------------
+                                  0.76   data required time
+                                 -1.17   data arrival time
+-----------------------------------------------------------------------------
+                                  0.40   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09290_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_09290_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28    0.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25    0.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.55 ^ mprj/u_mac_wrap/clkbuf_3_4__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.15    0.70 ^ mprj/u_mac_wrap/clkbuf_3_4__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     5    0.02                           mprj/u_mac_wrap/clknet_3_4__leaf_mdio_clk (net)
+                  0.05    0.00    0.70 ^ mprj/u_mac_wrap/_09290_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.10    0.35    1.05 ^ mprj/u_mac_wrap/_09290_/Q (sky130_fd_sc_hd__dfrtp_1)
+     3    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.int_md2cf_data[1] (net)
+                  0.10    0.00    1.05 ^ mprj/u_mac_wrap/_06572_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.17 ^ mprj/u_mac_wrap/_06572_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00750_ (net)
+                  0.04    0.00    1.17 ^ mprj/u_mac_wrap/_09290_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  1.17   data arrival time
+
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_4__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16    0.75 ^ mprj/u_mac_wrap/clkbuf_3_4__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     5    0.02                           mprj/u_mac_wrap/clknet_3_4__leaf_mdio_clk (net)
+                  0.05    0.00    0.75 ^ mprj/u_mac_wrap/_09290_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.85   clock uncertainty
+                         -0.05    0.80   clock reconvergence pessimism
+                         -0.03    0.77   library hold time
+                                  0.77   data required time
+-----------------------------------------------------------------------------
+                                  0.77   data required time
+                                 -1.17   data arrival time
+-----------------------------------------------------------------------------
+                                  0.40   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09303_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_09303_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28    0.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25    0.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.55 ^ mprj/u_mac_wrap/clkbuf_3_3__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.15    0.70 ^ mprj/u_mac_wrap/clkbuf_3_3__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.03                           mprj/u_mac_wrap/clknet_3_3__leaf_mdio_clk (net)
+                  0.05    0.00    0.70 ^ mprj/u_mac_wrap/_09303_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.10    0.35    1.05 ^ mprj/u_mac_wrap/_09303_/Q (sky130_fd_sc_hd__dfrtp_1)
+     3    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.int_md2cf_data[14] (net)
+                  0.10    0.00    1.05 ^ mprj/u_mac_wrap/_06585_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.18 ^ mprj/u_mac_wrap/_06585_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00763_ (net)
+                  0.04    0.00    1.18 ^ mprj/u_mac_wrap/_09303_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  1.18   data arrival time
+
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_3__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    0.76 ^ mprj/u_mac_wrap/clkbuf_3_3__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.03                           mprj/u_mac_wrap/clknet_3_3__leaf_mdio_clk (net)
+                  0.05    0.00    0.76 ^ mprj/u_mac_wrap/_09303_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.86   clock uncertainty
+                         -0.05    0.80   clock reconvergence pessimism
+                         -0.03    0.77   library hold time
+                                  0.77   data required time
+-----------------------------------------------------------------------------
+                                  0.77   data required time
+                                 -1.18   data arrival time
 -----------------------------------------------------------------------------
                                   0.41   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_5602_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_5602_
-          (rising edge-triggered flip-flop clocked by uart_clk)
-Path Group: uart_clk
+Startpoint: mprj/u_mac_wrap/_09295_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_09295_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
 Path Type: min
 
 Fanout     Cap    Slew   Delay    Time   Description
 -----------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock mdio_clk (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.17    0.17 ^ mprj/u_wb_host/_5602_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.04    0.58    0.75 v mprj/u_wb_host/_5602_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_wb_host/u_uart2wb.u_msg.TxMsgBuf[121] (net)
-                  0.04    0.00    0.75 v mprj/u_wb_host/_3424_/A1 (sky130_fd_sc_hd__a21o_1)
-                  0.03    0.14    0.89 v mprj/u_wb_host/_3424_/X (sky130_fd_sc_hd__a21o_1)
-     1    0.00                           mprj/u_wb_host/_0134_ (net)
-                  0.03    0.00    0.89 v mprj/u_wb_host/_5602_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  0.89   data arrival time
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28    0.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25    0.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.55 ^ mprj/u_mac_wrap/clkbuf_3_7__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    0.70 ^ mprj/u_mac_wrap/clkbuf_3_7__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.02                           mprj/u_mac_wrap/clknet_3_7__leaf_mdio_clk (net)
+                  0.04    0.00    0.70 ^ mprj/u_mac_wrap/_09295_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.34    1.04 ^ mprj/u_mac_wrap/_09295_/Q (sky130_fd_sc_hd__dfrtp_1)
+     3    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.int_md2cf_data[6] (net)
+                  0.09    0.00    1.04 ^ mprj/u_mac_wrap/_06577_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    1.17 ^ mprj/u_mac_wrap/_06577_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00755_ (net)
+                  0.05    0.00    1.17 ^ mprj/u_mac_wrap/_09295_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  1.17   data arrival time
 
-                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock mdio_clk (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.18    0.18 ^ mprj/u_wb_host/_5602_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    0.28   clock uncertainty
-                          0.00    0.28   clock reconvergence pessimism
-                          0.19    0.48   library hold time
-                                  0.48   data required time
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_7__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    0.75 ^ mprj/u_mac_wrap/clkbuf_3_7__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.02                           mprj/u_mac_wrap/clknet_3_7__leaf_mdio_clk (net)
+                  0.04    0.00    0.75 ^ mprj/u_mac_wrap/_09295_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.85   clock uncertainty
+                         -0.05    0.80   clock reconvergence pessimism
+                         -0.04    0.76   library hold time
+                                  0.76   data required time
 -----------------------------------------------------------------------------
-                                  0.48   data required time
-                                 -0.89   data arrival time
+                                  0.76   data required time
+                                 -1.17   data arrival time
 -----------------------------------------------------------------------------
                                   0.41   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_5607_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_5607_
-          (rising edge-triggered flip-flop clocked by uart_clk)
-Path Group: uart_clk
+Startpoint: mprj/u_mac_wrap/_09158_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_09158_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
 Path Type: min
 
 Fanout     Cap    Slew   Delay    Time   Description
 -----------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock mdio_clk (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.17    0.17 ^ mprj/u_wb_host/_5607_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.04    0.58    0.76 v mprj/u_wb_host/_5607_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.01                           mprj/u_wb_host/u_uart2wb.u_msg.TxMsgBuf[126] (net)
-                  0.04    0.00    0.76 v mprj/u_wb_host/_3436_/A1 (sky130_fd_sc_hd__a21o_1)
-                  0.03    0.14    0.89 v mprj/u_wb_host/_3436_/X (sky130_fd_sc_hd__a21o_1)
-     1    0.00                           mprj/u_wb_host/_0139_ (net)
-                  0.03    0.00    0.89 v mprj/u_wb_host/_5607_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  0.89   data arrival time
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28    0.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25    0.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.01    0.55 ^ mprj/u_mac_wrap/clkbuf_3_0__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16    0.71 ^ mprj/u_mac_wrap/clkbuf_3_0__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    12    0.04                           mprj/u_mac_wrap/clknet_3_0__leaf_mdio_clk (net)
+                  0.06    0.00    0.71 ^ mprj/u_mac_wrap/_09158_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.16    0.40    1.11 ^ mprj/u_mac_wrap/_09158_/Q (sky130_fd_sc_hd__dfrtp_1)
+     5    0.02                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.temp_count[1] (net)
+                  0.16    0.00    1.11 ^ mprj/u_mac_wrap/_06393_/A (sky130_fd_sc_hd__xor2_1)
+                  0.03    0.08    1.19 v mprj/u_mac_wrap/_06393_/X (sky130_fd_sc_hd__xor2_1)
+     1    0.00                           mprj/u_mac_wrap/_00624_ (net)
+                  0.03    0.00    1.19 v mprj/u_mac_wrap/_09158_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  1.19   data arrival time
 
-                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock mdio_clk (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.18    0.18 ^ mprj/u_wb_host/_5607_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    0.28   clock uncertainty
-                          0.00    0.28   clock reconvergence pessimism
-                          0.20    0.48   library hold time
-                                  0.48   data required time
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.01    0.59 ^ mprj/u_mac_wrap/clkbuf_3_0__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.18    0.77 ^ mprj/u_mac_wrap/clkbuf_3_0__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    12    0.04                           mprj/u_mac_wrap/clknet_3_0__leaf_mdio_clk (net)
+                  0.06    0.00    0.77 ^ mprj/u_mac_wrap/_09158_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.87   clock uncertainty
+                         -0.06    0.81   clock reconvergence pessimism
+                         -0.04    0.77   library hold time
+                                  0.77   data required time
 -----------------------------------------------------------------------------
-                                  0.48   data required time
-                                 -0.89   data arrival time
+                                  0.77   data required time
+                                 -1.19   data arrival time
 -----------------------------------------------------------------------------
                                   0.41   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_6374_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6374_
-          (rising edge-triggered flip-flop clocked by uart_clk)
-Path Group: uart_clk
+Startpoint: mprj/u_mac_wrap/_09155_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_09155_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
 Path Type: min
 
 Fanout     Cap    Slew   Delay    Time   Description
 -----------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock mdio_clk (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.16    0.16 ^ mprj/u_wb_host/_6374_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.04    0.58    0.74 v mprj/u_wb_host/_6374_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.01                           mprj/u_wb_host/u_uart2wb.u_msg.TxMsgBuf[18] (net)
-                  0.04    0.00    0.74 v mprj/u_wb_host/_5059_/A1 (sky130_fd_sc_hd__a21o_1)
-                  0.03    0.14    0.88 v mprj/u_wb_host/_5059_/X (sky130_fd_sc_hd__a21o_1)
-     1    0.00                           mprj/u_wb_host/_0888_ (net)
-                  0.03    0.00    0.88 v mprj/u_wb_host/_6374_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  0.88   data arrival time
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28    0.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25    0.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.01    0.55 ^ mprj/u_mac_wrap/clkbuf_3_0__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16    0.71 ^ mprj/u_mac_wrap/clkbuf_3_0__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    12    0.04                           mprj/u_mac_wrap/clknet_3_0__leaf_mdio_clk (net)
+                  0.06    0.00    0.71 ^ mprj/u_mac_wrap/_09155_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                  0.13    0.41    1.12 ^ mprj/u_mac_wrap/_09155_/Q (sky130_fd_sc_hd__dfrtp_2)
+     6    0.02                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.operation (net)
+                  0.13    0.00    1.12 ^ mprj/u_mac_wrap/_06387_/A2 (sky130_fd_sc_hd__o21a_1)
+                  0.04    0.12    1.23 ^ mprj/u_mac_wrap/_06387_/X (sky130_fd_sc_hd__o21a_1)
+     1    0.00                           mprj/u_mac_wrap/_00621_ (net)
+                  0.04    0.00    1.23 ^ mprj/u_mac_wrap/_09155_/D (sky130_fd_sc_hd__dfrtp_2)
+                                  1.23   data arrival time
 
-                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock mdio_clk (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.17    0.17 ^ mprj/u_wb_host/_6374_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    0.27   clock uncertainty
-                          0.00    0.27   clock reconvergence pessimism
-                          0.19    0.47   library hold time
-                                  0.47   data required time
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.01    0.59 ^ mprj/u_mac_wrap/clkbuf_3_0__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.18    0.77 ^ mprj/u_mac_wrap/clkbuf_3_0__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    12    0.04                           mprj/u_mac_wrap/clknet_3_0__leaf_mdio_clk (net)
+                  0.06    0.00    0.77 ^ mprj/u_mac_wrap/_09155_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                          0.10    0.87   clock uncertainty
+                         -0.06    0.81   clock reconvergence pessimism
+                         -0.03    0.78   library hold time
+                                  0.78   data required time
 -----------------------------------------------------------------------------
-                                  0.47   data required time
-                                 -0.88   data arrival time
+                                  0.78   data required time
+                                 -1.23   data arrival time
+-----------------------------------------------------------------------------
+                                  0.45   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09157_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_09157_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28    0.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25    0.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.01    0.55 ^ mprj/u_mac_wrap/clkbuf_3_0__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16    0.71 ^ mprj/u_mac_wrap/clkbuf_3_0__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    12    0.04                           mprj/u_mac_wrap/clknet_3_0__leaf_mdio_clk (net)
+                  0.06    0.00    0.71 ^ mprj/u_mac_wrap/_09157_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.14    0.38    1.09 ^ mprj/u_mac_wrap/_09157_/Q (sky130_fd_sc_hd__dfrtp_1)
+     5    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.temp_count[0] (net)
+                  0.14    0.00    1.09 ^ mprj/u_mac_wrap/_06392_/A1 (sky130_fd_sc_hd__o211a_1)
+                  0.04    0.16    1.26 ^ mprj/u_mac_wrap/_06392_/X (sky130_fd_sc_hd__o211a_1)
+     1    0.00                           mprj/u_mac_wrap/_00623_ (net)
+                  0.04    0.00    1.26 ^ mprj/u_mac_wrap/_09157_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  1.26   data arrival time
+
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.01    0.59 ^ mprj/u_mac_wrap/clkbuf_3_0__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.18    0.77 ^ mprj/u_mac_wrap/clkbuf_3_0__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    12    0.04                           mprj/u_mac_wrap/clknet_3_0__leaf_mdio_clk (net)
+                  0.06    0.00    0.77 ^ mprj/u_mac_wrap/_09157_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.87   clock uncertainty
+                         -0.06    0.81   clock reconvergence pessimism
+                         -0.03    0.78   library hold time
+                                  0.78   data required time
+-----------------------------------------------------------------------------
+                                  0.78   data required time
+                                 -1.26   data arrival time
+-----------------------------------------------------------------------------
+                                  0.48   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08518_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_08518_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28    0.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25    0.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.01    0.55 ^ mprj/u_mac_wrap/clkbuf_3_1__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16    0.71 ^ mprj/u_mac_wrap/clkbuf_3_1__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.03                           mprj/u_mac_wrap/clknet_3_1__leaf_mdio_clk (net)
+                  0.05    0.00    0.71 ^ mprj/u_mac_wrap/_08518_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.07    0.32    1.03 ^ mprj/u_mac_wrap/_08518_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.transmit_data[8] (net)
+                  0.07    0.00    1.03 ^ mprj/u_mac_wrap/_04878_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.15 ^ mprj/u_mac_wrap/_04878_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_02099_ (net)
+                  0.04    0.00    1.15 ^ mprj/u_mac_wrap/_04879_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.26 ^ mprj/u_mac_wrap/_04879_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00072_ (net)
+                  0.04    0.00    1.26 ^ mprj/u_mac_wrap/_08518_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  1.26   data arrival time
+
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.01    0.59 ^ mprj/u_mac_wrap/clkbuf_3_1__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    0.76 ^ mprj/u_mac_wrap/clkbuf_3_1__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.03                           mprj/u_mac_wrap/clknet_3_1__leaf_mdio_clk (net)
+                  0.05    0.00    0.76 ^ mprj/u_mac_wrap/_08518_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.86   clock uncertainty
+                         -0.06    0.81   clock reconvergence pessimism
+                         -0.03    0.77   library hold time
+                                  0.77   data required time
+-----------------------------------------------------------------------------
+                                  0.77   data required time
+                                 -1.26   data arrival time
+-----------------------------------------------------------------------------
+                                  0.48   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09160_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_09160_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28    0.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25    0.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.55 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.18    0.72 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.06                           mprj/u_mac_wrap/clknet_3_2__leaf_mdio_clk (net)
+                  0.07    0.00    0.73 ^ mprj/u_mac_wrap/_09160_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.07    0.39    1.11 v mprj/u_mac_wrap/_09160_/Q (sky130_fd_sc_hd__dfrtp_1)
+     3    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.temp_count[3] (net)
+                  0.07    0.00    1.11 v mprj/u_mac_wrap/_06399_/A (sky130_fd_sc_hd__nor2_1)
+                  0.08    0.11    1.22 ^ mprj/u_mac_wrap/_06399_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.00                           mprj/u_mac_wrap/_03066_ (net)
+                  0.08    0.00    1.22 ^ mprj/u_mac_wrap/_06400_/B1 (sky130_fd_sc_hd__a21oi_1)
+                  0.05    0.06    1.28 v mprj/u_mac_wrap/_06400_/Y (sky130_fd_sc_hd__a21oi_1)
+     1    0.01                           mprj/u_mac_wrap/_00626_ (net)
+                  0.05    0.00    1.28 v mprj/u_mac_wrap/_09160_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  1.28   data arrival time
+
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.19    0.78 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.06                           mprj/u_mac_wrap/clknet_3_2__leaf_mdio_clk (net)
+                  0.07    0.00    0.78 ^ mprj/u_mac_wrap/_09160_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.88   clock uncertainty
+                         -0.06    0.83   clock reconvergence pessimism
+                         -0.04    0.79   library hold time
+                                  0.79   data required time
+-----------------------------------------------------------------------------
+                                  0.79   data required time
+                                 -1.28   data arrival time
+-----------------------------------------------------------------------------
+                                  0.49   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09159_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_09159_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28    0.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25    0.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.55 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.18    0.72 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.06                           mprj/u_mac_wrap/clknet_3_2__leaf_mdio_clk (net)
+                  0.07    0.00    0.73 ^ mprj/u_mac_wrap/_09159_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.10    0.36    1.09 ^ mprj/u_mac_wrap/_09159_/Q (sky130_fd_sc_hd__dfrtp_1)
+     4    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.temp_count[2] (net)
+                  0.10    0.00    1.09 ^ mprj/u_mac_wrap/_06394_/B1 (sky130_fd_sc_hd__a31o_1)
+                  0.04    0.10    1.18 ^ mprj/u_mac_wrap/_06394_/X (sky130_fd_sc_hd__a31o_1)
+     1    0.00                           mprj/u_mac_wrap/_03062_ (net)
+                  0.04    0.00    1.18 ^ mprj/u_mac_wrap/_06398_/A (sky130_fd_sc_hd__and3_1)
+                  0.05    0.13    1.31 ^ mprj/u_mac_wrap/_06398_/X (sky130_fd_sc_hd__and3_1)
+     1    0.00                           mprj/u_mac_wrap/_00625_ (net)
+                  0.05    0.00    1.31 ^ mprj/u_mac_wrap/_09159_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  1.31   data arrival time
+
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.19    0.78 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.06                           mprj/u_mac_wrap/clknet_3_2__leaf_mdio_clk (net)
+                  0.07    0.00    0.78 ^ mprj/u_mac_wrap/_09159_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.88   clock uncertainty
+                         -0.06    0.83   clock reconvergence pessimism
+                         -0.03    0.79   library hold time
+                                  0.79   data required time
+-----------------------------------------------------------------------------
+                                  0.79   data required time
+                                 -1.31   data arrival time
+-----------------------------------------------------------------------------
+                                  0.52   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09155_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_09162_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28    0.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25    0.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.01    0.55 ^ mprj/u_mac_wrap/clkbuf_3_0__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16    0.71 ^ mprj/u_mac_wrap/clkbuf_3_0__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    12    0.04                           mprj/u_mac_wrap/clknet_3_0__leaf_mdio_clk (net)
+                  0.06    0.00    0.71 ^ mprj/u_mac_wrap/_09155_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                  0.13    0.41    1.12 ^ mprj/u_mac_wrap/_09155_/Q (sky130_fd_sc_hd__dfrtp_2)
+     6    0.02                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.operation (net)
+                  0.13    0.00    1.12 ^ mprj/u_mac_wrap/_04791_/A2 (sky130_fd_sc_hd__o211a_1)
+                  0.04    0.14    1.25 ^ mprj/u_mac_wrap/_04791_/X (sky130_fd_sc_hd__o211a_1)
+     1    0.00                           mprj/u_mac_wrap/_02048_ (net)
+                  0.04    0.00    1.25 ^ mprj/u_mac_wrap/_04795_/C (sky130_fd_sc_hd__or4_1)
+                  0.05    0.10    1.35 ^ mprj/u_mac_wrap/_04795_/X (sky130_fd_sc_hd__or4_1)
+     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.mdio_nxt_st[0] (net)
+                  0.05    0.00    1.35 ^ mprj/u_mac_wrap/_09162_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  1.35   data arrival time
+
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_3__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    0.76 ^ mprj/u_mac_wrap/clkbuf_3_3__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.03                           mprj/u_mac_wrap/clknet_3_3__leaf_mdio_clk (net)
+                  0.05    0.00    0.76 ^ mprj/u_mac_wrap/_09162_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.86   clock uncertainty
+                         -0.04    0.82   clock reconvergence pessimism
+                         -0.04    0.78   library hold time
+                                  0.78   data required time
+-----------------------------------------------------------------------------
+                                  0.78   data required time
+                                 -1.35   data arrival time
+-----------------------------------------------------------------------------
+                                  0.57   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09161_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_09161_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28    0.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25    0.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.55 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.18    0.72 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.06                           mprj/u_mac_wrap/clknet_3_2__leaf_mdio_clk (net)
+                  0.07    0.00    0.73 ^ mprj/u_mac_wrap/_09161_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.14    0.39    1.11 ^ mprj/u_mac_wrap/_09161_/Q (sky130_fd_sc_hd__dfrtp_1)
+     4    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.temp_count[4] (net)
+                  0.14    0.00    1.11 ^ mprj/u_mac_wrap/_06401_/B1 (sky130_fd_sc_hd__a21o_1)
+                  0.06    0.11    1.23 ^ mprj/u_mac_wrap/_06401_/X (sky130_fd_sc_hd__a21o_1)
+     1    0.01                           mprj/u_mac_wrap/_03067_ (net)
+                  0.06    0.00    1.23 ^ mprj/u_mac_wrap/_06403_/B (sky130_fd_sc_hd__and3_1)
+                  0.06    0.15    1.37 ^ mprj/u_mac_wrap/_06403_/X (sky130_fd_sc_hd__and3_1)
+     1    0.00                           mprj/u_mac_wrap/_00627_ (net)
+                  0.06    0.00    1.37 ^ mprj/u_mac_wrap/_09161_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  1.37   data arrival time
+
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.19    0.78 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.06                           mprj/u_mac_wrap/clknet_3_2__leaf_mdio_clk (net)
+                  0.07    0.00    0.78 ^ mprj/u_mac_wrap/_09161_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.88   clock uncertainty
+                         -0.06    0.83   clock reconvergence pessimism
+                         -0.03    0.79   library hold time
+                                  0.79   data required time
+-----------------------------------------------------------------------------
+                                  0.79   data required time
+                                 -1.37   data arrival time
+-----------------------------------------------------------------------------
+                                  0.58   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09162_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_09165_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28    0.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25    0.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.55 ^ mprj/u_mac_wrap/clkbuf_3_3__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.15    0.70 ^ mprj/u_mac_wrap/clkbuf_3_3__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.03                           mprj/u_mac_wrap/clknet_3_3__leaf_mdio_clk (net)
+                  0.05    0.00    0.70 ^ mprj/u_mac_wrap/_09162_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.06    0.32    1.02 ^ mprj/u_mac_wrap/_09162_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.mdio_cur_st[0] (net)
+                  0.06    0.00    1.02 ^ mprj/u_mac_wrap/fanout442/A (sky130_fd_sc_hd__buf_2)
+                  0.19    0.21    1.23 ^ mprj/u_mac_wrap/fanout442/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_mac_wrap/net442 (net)
+                  0.19    0.00    1.24 ^ mprj/u_mac_wrap/_04810_/A1 (sky130_fd_sc_hd__a2111o_1)
+                  0.05    0.17    1.40 ^ mprj/u_mac_wrap/_04810_/X (sky130_fd_sc_hd__a2111o_1)
+     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.mdio_nxt_st[3] (net)
+                  0.05    0.00    1.40 ^ mprj/u_mac_wrap/_09165_/D (sky130_fd_sc_hd__dfrtp_4)
+                                  1.40   data arrival time
+
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.19    0.78 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.06                           mprj/u_mac_wrap/clknet_3_2__leaf_mdio_clk (net)
+                  0.07    0.00    0.78 ^ mprj/u_mac_wrap/_09165_/CLK (sky130_fd_sc_hd__dfrtp_4)
+                          0.10    0.88   clock uncertainty
+                         -0.04    0.84   clock reconvergence pessimism
+                         -0.03    0.81   library hold time
+                                  0.81   data required time
+-----------------------------------------------------------------------------
+                                  0.81   data required time
+                                 -1.40   data arrival time
+-----------------------------------------------------------------------------
+                                  0.59   slack (MET)
+
+
+Startpoint: mprj/u_pinmux/_1133_
+            (rising edge-triggered flip-flop clocked by mdio_refclk)
+Endpoint: mprj/u_pinmux/_1133_
+          (rising edge-triggered flip-flop clocked by mdio_refclk)
+Path Group: mdio_refclk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_refclk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_ref_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    0.13 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_pinmux/clknet_0_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.04    0.00    0.13 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    0.26 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_pinmux/clknet_1_1__leaf_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.05    0.00    0.26 ^ mprj/u_pinmux/_1133_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.33    0.59 ^ mprj/u_pinmux/_1133_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.clk_o (net)
+                  0.08    0.00    0.59 ^ mprj/u_pinmux/_0463_/A1 (sky130_fd_sc_hd__o21ai_1)
+                  0.03    0.07    0.65 v mprj/u_pinmux/_0463_/Y (sky130_fd_sc_hd__o21ai_1)
+     1    0.00                           mprj/u_pinmux/_0000_ (net)
+                  0.03    0.00    0.65 v mprj/u_pinmux/_1133_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  0.65   data arrival time
+
+                          0.00    0.00   clock mdio_refclk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_ref_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    0.14 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_pinmux/clknet_0_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.04    0.00    0.14 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.14    0.28 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_pinmux/clknet_1_1__leaf_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.05    0.00    0.28 ^ mprj/u_pinmux/_1133_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.38   clock uncertainty
+                         -0.02    0.36   clock reconvergence pessimism
+                         -0.04    0.32   library hold time
+                                  0.32   data required time
+-----------------------------------------------------------------------------
+                                  0.32   data required time
+                                 -0.65   data arrival time
+-----------------------------------------------------------------------------
+                                  0.34   slack (MET)
+
+
+Startpoint: mprj/u_pinmux/_1068_
+            (rising edge-triggered flip-flop clocked by mdio_refclk)
+Endpoint: mprj/u_pinmux/_1068_
+          (rising edge-triggered flip-flop clocked by mdio_refclk)
+Path Group: mdio_refclk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_refclk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_ref_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    0.13 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_pinmux/clknet_0_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.04    0.00    0.13 ^ mprj/u_pinmux/clkbuf_1_0__f_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    0.25 ^ mprj/u_pinmux/clkbuf_1_0__f_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.03                           mprj/u_pinmux/clknet_1_0__leaf_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.05    0.00    0.25 ^ mprj/u_pinmux/_1068_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.07    0.33    0.58 ^ mprj/u_pinmux/_1068_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.low_count[7] (net)
+                  0.07    0.00    0.58 ^ mprj/u_pinmux/_0798_/B2 (sky130_fd_sc_hd__a32o_1)
+                  0.05    0.12    0.70 ^ mprj/u_pinmux/_0798_/X (sky130_fd_sc_hd__a32o_1)
+     1    0.00                           mprj/u_pinmux/_0177_ (net)
+                  0.05    0.00    0.70 ^ mprj/u_pinmux/_1068_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  0.70   data arrival time
+
+                          0.00    0.00   clock mdio_refclk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_ref_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    0.14 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_pinmux/clknet_0_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.04    0.00    0.14 ^ mprj/u_pinmux/clkbuf_1_0__f_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.14    0.27 ^ mprj/u_pinmux/clkbuf_1_0__f_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.03                           mprj/u_pinmux/clknet_1_0__leaf_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.05    0.00    0.28 ^ mprj/u_pinmux/_1068_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.38   clock uncertainty
+                         -0.02    0.35   clock reconvergence pessimism
+                         -0.03    0.32   library hold time
+                                  0.32   data required time
+-----------------------------------------------------------------------------
+                                  0.32   data required time
+                                 -0.70   data arrival time
+-----------------------------------------------------------------------------
+                                  0.38   slack (MET)
+
+
+Startpoint: mprj/u_pinmux/_1064_
+            (rising edge-triggered flip-flop clocked by mdio_refclk)
+Endpoint: mprj/u_pinmux/_1064_
+          (rising edge-triggered flip-flop clocked by mdio_refclk)
+Path Group: mdio_refclk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_refclk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_ref_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    0.13 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_pinmux/clknet_0_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.04    0.00    0.13 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    0.26 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_pinmux/clknet_1_1__leaf_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.05    0.00    0.26 ^ mprj/u_pinmux/_1064_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.11    0.36    0.61 ^ mprj/u_pinmux/_1064_/Q (sky130_fd_sc_hd__dfrtp_1)
+     3    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.low_count[3] (net)
+                  0.11    0.00    0.61 ^ mprj/u_pinmux/_0784_/A1 (sky130_fd_sc_hd__a21bo_1)
+                  0.04    0.11    0.73 ^ mprj/u_pinmux/_0784_/X (sky130_fd_sc_hd__a21bo_1)
+     1    0.00                           mprj/u_pinmux/_0173_ (net)
+                  0.04    0.00    0.73 ^ mprj/u_pinmux/_1064_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  0.73   data arrival time
+
+                          0.00    0.00   clock mdio_refclk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_ref_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    0.14 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_pinmux/clknet_0_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.04    0.00    0.14 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.14    0.28 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_pinmux/clknet_1_1__leaf_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.05    0.00    0.28 ^ mprj/u_pinmux/_1064_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.38   clock uncertainty
+                         -0.02    0.36   clock reconvergence pessimism
+                         -0.03    0.32   library hold time
+                                  0.32   data required time
+-----------------------------------------------------------------------------
+                                  0.32   data required time
+                                 -0.73   data arrival time
+-----------------------------------------------------------------------------
+                                  0.40   slack (MET)
+
+
+Startpoint: mprj/u_pinmux/_1062_
+            (rising edge-triggered flip-flop clocked by mdio_refclk)
+Endpoint: mprj/u_pinmux/_1062_
+          (rising edge-triggered flip-flop clocked by mdio_refclk)
+Path Group: mdio_refclk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_refclk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_ref_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    0.13 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_pinmux/clknet_0_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.04    0.00    0.13 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    0.26 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_pinmux/clknet_1_1__leaf_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.05    0.00    0.26 ^ mprj/u_pinmux/_1062_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.12    0.37    0.62 ^ mprj/u_pinmux/_1062_/Q (sky130_fd_sc_hd__dfrtp_1)
+     4    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.low_count[1] (net)
+                  0.12    0.00    0.62 ^ mprj/u_pinmux/_0774_/A1 (sky130_fd_sc_hd__a21bo_1)
+                  0.04    0.12    0.74 ^ mprj/u_pinmux/_0774_/X (sky130_fd_sc_hd__a21bo_1)
+     1    0.00                           mprj/u_pinmux/_0171_ (net)
+                  0.04    0.00    0.74 ^ mprj/u_pinmux/_1062_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  0.74   data arrival time
+
+                          0.00    0.00   clock mdio_refclk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_ref_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    0.14 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_pinmux/clknet_0_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.04    0.00    0.14 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.14    0.28 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_pinmux/clknet_1_1__leaf_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.05    0.00    0.28 ^ mprj/u_pinmux/_1062_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.38   clock uncertainty
+                         -0.02    0.36   clock reconvergence pessimism
+                         -0.03    0.32   library hold time
+                                  0.32   data required time
+-----------------------------------------------------------------------------
+                                  0.32   data required time
+                                 -0.74   data arrival time
 -----------------------------------------------------------------------------
                                   0.41   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_6379_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6379_
-          (rising edge-triggered flip-flop clocked by uart_clk)
-Path Group: uart_clk
+Startpoint: mprj/u_pinmux/_1061_
+            (rising edge-triggered flip-flop clocked by mdio_refclk)
+Endpoint: mprj/u_pinmux/_1061_
+          (rising edge-triggered flip-flop clocked by mdio_refclk)
+Path Group: mdio_refclk
 Path Type: min
 
 Fanout     Cap    Slew   Delay    Time   Description
 -----------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock mdio_refclk (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.16    0.16 ^ mprj/u_wb_host/_6379_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.04    0.58    0.74 v mprj/u_wb_host/_6379_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.01                           mprj/u_wb_host/u_uart2wb.u_msg.TxMsgBuf[24] (net)
-                  0.04    0.00    0.74 v mprj/u_wb_host/_5067_/A1 (sky130_fd_sc_hd__a21o_1)
-                  0.03    0.14    0.88 v mprj/u_wb_host/_5067_/X (sky130_fd_sc_hd__a21o_1)
-     1    0.00                           mprj/u_wb_host/_0893_ (net)
-                  0.03    0.00    0.88 v mprj/u_wb_host/_6379_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  0.88   data arrival time
-
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.17    0.17 ^ mprj/u_wb_host/_6379_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    0.27   clock uncertainty
-                          0.00    0.27   clock reconvergence pessimism
-                          0.19    0.46   library hold time
-                                  0.46   data required time
------------------------------------------------------------------------------
-                                  0.46   data required time
-                                 -0.88   data arrival time
------------------------------------------------------------------------------
-                                  0.42   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6377_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6383_
-          (rising edge-triggered flip-flop clocked by uart_clk)
-Path Group: uart_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.16    0.16 ^ mprj/u_wb_host/_6377_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.04    0.58    0.74 v mprj/u_wb_host/_6377_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.01                           mprj/u_wb_host/u_uart2wb.u_msg.TxMsgBuf[21] (net)
-                  0.04    0.00    0.74 v mprj/u_wb_host/_5072_/B2 (sky130_fd_sc_hd__o22a_1)
-                  0.03    0.14    0.88 v mprj/u_wb_host/_5072_/X (sky130_fd_sc_hd__o22a_1)
-     1    0.00                           mprj/u_wb_host/_0897_ (net)
-                  0.03    0.00    0.88 v mprj/u_wb_host/_6383_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  0.88   data arrival time
-
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.17    0.17 ^ mprj/u_wb_host/_6383_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    0.27   clock uncertainty
-                          0.00    0.27   clock reconvergence pessimism
-                          0.19    0.46   library hold time
-                                  0.46   data required time
------------------------------------------------------------------------------
-                                  0.46   data required time
-                                 -0.88   data arrival time
------------------------------------------------------------------------------
-                                  0.42   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5641_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_5641_
-          (rising edge-triggered flip-flop clocked by uart_clk)
-Path Group: uart_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.14    0.14 ^ mprj/u_wb_host/_5641_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.04    0.58    0.73 v mprj/u_wb_host/_5641_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.01                           mprj/u_wb_host/u_uart2wb.u_msg.TxMsgBuf[117] (net)
-                  0.04    0.00    0.73 v mprj/u_wb_host/_3555_/A1 (sky130_fd_sc_hd__a21o_1)
-                  0.03    0.14    0.87 v mprj/u_wb_host/_3555_/X (sky130_fd_sc_hd__a21o_1)
-     1    0.00                           mprj/u_wb_host/_0173_ (net)
-                  0.03    0.00    0.87 v mprj/u_wb_host/_5641_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  0.87   data arrival time
-
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.15    0.15 ^ mprj/u_wb_host/_5641_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    0.25   clock uncertainty
-                          0.00    0.25   clock reconvergence pessimism
-                          0.19    0.45   library hold time
-                                  0.45   data required time
------------------------------------------------------------------------------
-                                  0.45   data required time
-                                 -0.87   data arrival time
------------------------------------------------------------------------------
-                                  0.42   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5634_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_5634_
-          (rising edge-triggered flip-flop clocked by uart_clk)
-Path Group: uart_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.28    0.09    0.09 ^ mprj/u_wb_host/_5634_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.04    0.59    0.68 v mprj/u_wb_host/_5634_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.01                           mprj/u_wb_host/u_uart2wb.u_msg.TxMsgBuf[109] (net)
-                  0.04    0.00    0.68 v mprj/u_wb_host/_3532_/A1 (sky130_fd_sc_hd__a21o_1)
-                  0.03    0.14    0.82 v mprj/u_wb_host/_3532_/X (sky130_fd_sc_hd__a21o_1)
-     1    0.00                           mprj/u_wb_host/_0166_ (net)
-                  0.03    0.00    0.82 v mprj/u_wb_host/_5634_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  0.82   data arrival time
-
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.28    0.10    0.10 ^ mprj/u_wb_host/_5634_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    0.20   clock uncertainty
-                          0.00    0.20   clock reconvergence pessimism
-                          0.19    0.40   library hold time
-                                  0.40   data required time
------------------------------------------------------------------------------
-                                  0.40   data required time
-                                 -0.82   data arrival time
------------------------------------------------------------------------------
-                                  0.42   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5593_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_5593_
-          (rising edge-triggered flip-flop clocked by uart_clk)
-Path Group: uart_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.27    0.03    0.03 ^ mprj/u_wb_host/_5593_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.04    0.58    0.61 v mprj/u_wb_host/_5593_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.01                           mprj/u_wb_host/u_uart2wb.u_msg.TxMsgBuf[94] (net)
-                  0.04    0.00    0.61 v mprj/u_wb_host/_3386_/A1 (sky130_fd_sc_hd__a21o_1)
-                  0.03    0.14    0.75 v mprj/u_wb_host/_3386_/X (sky130_fd_sc_hd__a21o_1)
-     1    0.00                           mprj/u_wb_host/_0125_ (net)
-                  0.03    0.00    0.75 v mprj/u_wb_host/_5593_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  0.75   data arrival time
-
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.27    0.03    0.03 ^ mprj/u_wb_host/_5593_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    0.13   clock uncertainty
-                          0.00    0.13   clock reconvergence pessimism
-                          0.19    0.33   library hold time
-                                  0.33   data required time
------------------------------------------------------------------------------
-                                  0.33   data required time
-                                 -0.75   data arrival time
------------------------------------------------------------------------------
-                                  0.42   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5621_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_5621_
-          (rising edge-triggered flip-flop clocked by uart_clk)
-Path Group: uart_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.28    0.10    0.10 ^ mprj/u_wb_host/_5621_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.04    0.59    0.68 v mprj/u_wb_host/_5621_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.01                           mprj/u_wb_host/u_uart2wb.u_msg.TxMsgBuf[86] (net)
-                  0.04    0.00    0.68 v mprj/u_wb_host/_3487_/A1 (sky130_fd_sc_hd__a21o_1)
-                  0.03    0.14    0.82 v mprj/u_wb_host/_3487_/X (sky130_fd_sc_hd__a21o_1)
-     1    0.00                           mprj/u_wb_host/_0153_ (net)
-                  0.03    0.00    0.82 v mprj/u_wb_host/_5621_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  0.82   data arrival time
-
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.28    0.10    0.10 ^ mprj/u_wb_host/_5621_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    0.20   clock uncertainty
-                          0.00    0.20   clock reconvergence pessimism
-                          0.19    0.40   library hold time
-                                  0.40   data required time
------------------------------------------------------------------------------
-                                  0.40   data required time
-                                 -0.82   data arrival time
------------------------------------------------------------------------------
-                                  0.42   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6373_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6377_
-          (rising edge-triggered flip-flop clocked by uart_clk)
-Path Group: uart_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.16    0.16 ^ mprj/u_wb_host/_6373_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.05    0.59    0.75 v mprj/u_wb_host/_6373_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.01                           mprj/u_wb_host/u_uart2wb.u_msg.TxMsgBuf[13] (net)
-                  0.05    0.00    0.75 v mprj/u_wb_host/_5065_/B2 (sky130_fd_sc_hd__o22a_1)
-                  0.03    0.14    0.89 v mprj/u_wb_host/_5065_/X (sky130_fd_sc_hd__o22a_1)
-     1    0.00                           mprj/u_wb_host/_0891_ (net)
-                  0.03    0.00    0.89 v mprj/u_wb_host/_6377_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  0.89   data arrival time
-
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.17    0.17 ^ mprj/u_wb_host/_6377_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    0.27   clock uncertainty
-                          0.00    0.27   clock reconvergence pessimism
-                          0.19    0.47   library hold time
-                                  0.47   data required time
------------------------------------------------------------------------------
-                                  0.47   data required time
-                                 -0.89   data arrival time
------------------------------------------------------------------------------
-                                  0.43   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5636_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_5636_
-          (rising edge-triggered flip-flop clocked by uart_clk)
-Path Group: uart_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.28    0.07    0.07 ^ mprj/u_wb_host/_5636_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.04    0.59    0.66 v mprj/u_wb_host/_5636_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.01                           mprj/u_wb_host/u_uart2wb.u_msg.TxMsgBuf[112] (net)
-                  0.04    0.00    0.66 v mprj/u_wb_host/_3540_/A1 (sky130_fd_sc_hd__a21o_1)
-                  0.03    0.14    0.80 v mprj/u_wb_host/_3540_/X (sky130_fd_sc_hd__a21o_1)
-     1    0.00                           mprj/u_wb_host/_0168_ (net)
-                  0.03    0.00    0.80 v mprj/u_wb_host/_5636_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  0.80   data arrival time
-
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.28    0.08    0.08 ^ mprj/u_wb_host/_5636_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    0.18   clock uncertainty
-                          0.00    0.18   clock reconvergence pessimism
-                          0.19    0.37   library hold time
-                                  0.37   data required time
------------------------------------------------------------------------------
-                                  0.37   data required time
-                                 -0.80   data arrival time
------------------------------------------------------------------------------
-                                  0.43   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6397_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6404_
-          (rising edge-triggered flip-flop clocked by uart_clk)
-Path Group: uart_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.16    0.16 ^ mprj/u_wb_host/_6397_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.04    0.59    0.75 v mprj/u_wb_host/_6397_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.01                           mprj/u_wb_host/u_uart2wb.u_msg.TxMsgBuf[45] (net)
-                  0.04    0.00    0.75 v mprj/u_wb_host/_5102_/B2 (sky130_fd_sc_hd__o22a_1)
-                  0.04    0.14    0.89 v mprj/u_wb_host/_5102_/X (sky130_fd_sc_hd__o22a_1)
-     1    0.00                           mprj/u_wb_host/_0918_ (net)
-                  0.04    0.00    0.89 v mprj/u_wb_host/_6404_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  0.89   data arrival time
-
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.17    0.17 ^ mprj/u_wb_host/_6404_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    0.27   clock uncertainty
-                          0.00    0.27   clock reconvergence pessimism
-                          0.19    0.46   library hold time
-                                  0.46   data required time
------------------------------------------------------------------------------
-                                  0.46   data required time
-                                 -0.89   data arrival time
------------------------------------------------------------------------------
-                                  0.43   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5587_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_5587_
-          (rising edge-triggered flip-flop clocked by uart_clk)
-Path Group: uart_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.27    0.03    0.03 ^ mprj/u_wb_host/_5587_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.04    0.58    0.61 v mprj/u_wb_host/_5587_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.01                           mprj/u_wb_host/u_uart2wb.u_msg.TxMsgBuf[88] (net)
-                  0.04    0.00    0.61 v mprj/u_wb_host/_3368_/A1 (sky130_fd_sc_hd__a21o_1)
-                  0.03    0.14    0.75 v mprj/u_wb_host/_3368_/X (sky130_fd_sc_hd__a21o_1)
-     1    0.00                           mprj/u_wb_host/_0119_ (net)
-                  0.03    0.00    0.75 v mprj/u_wb_host/_5587_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  0.75   data arrival time
-
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.27    0.03    0.03 ^ mprj/u_wb_host/_5587_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    0.13   clock uncertainty
-                          0.00    0.13   clock reconvergence pessimism
-                          0.19    0.32   library hold time
-                                  0.32   data required time
------------------------------------------------------------------------------
-                                  0.32   data required time
-                                 -0.75   data arrival time
------------------------------------------------------------------------------
-                                  0.43   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5592_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_5592_
-          (rising edge-triggered flip-flop clocked by uart_clk)
-Path Group: uart_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.27    0.03    0.03 ^ mprj/u_wb_host/_5592_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.04    0.58    0.61 v mprj/u_wb_host/_5592_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.01                           mprj/u_wb_host/u_uart2wb.u_msg.TxMsgBuf[93] (net)
-                  0.04    0.00    0.61 v mprj/u_wb_host/_3384_/A1 (sky130_fd_sc_hd__a21o_1)
-                  0.03    0.14    0.75 v mprj/u_wb_host/_3384_/X (sky130_fd_sc_hd__a21o_1)
-     1    0.00                           mprj/u_wb_host/_0124_ (net)
-                  0.03    0.00    0.75 v mprj/u_wb_host/_5592_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  0.75   data arrival time
-
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.27    0.03    0.03 ^ mprj/u_wb_host/_5592_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    0.13   clock uncertainty
-                          0.00    0.13   clock reconvergence pessimism
-                          0.19    0.32   library hold time
-                                  0.32   data required time
------------------------------------------------------------------------------
-                                  0.32   data required time
-                                 -0.75   data arrival time
------------------------------------------------------------------------------
-                                  0.43   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5617_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_5617_
-          (rising edge-triggered flip-flop clocked by uart_clk)
-Path Group: uart_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.27    0.04    0.04 ^ mprj/u_wb_host/_5617_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.04    0.58    0.62 v mprj/u_wb_host/_5617_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.01                           mprj/u_wb_host/u_uart2wb.u_msg.TxMsgBuf[82] (net)
-                  0.04    0.00    0.62 v mprj/u_wb_host/_3478_/A1 (sky130_fd_sc_hd__a21o_1)
-                  0.03    0.14    0.76 v mprj/u_wb_host/_3478_/X (sky130_fd_sc_hd__a21o_1)
-     1    0.00                           mprj/u_wb_host/_0149_ (net)
-                  0.03    0.00    0.76 v mprj/u_wb_host/_5617_/D (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_ref_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    0.13 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_pinmux/clknet_0_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.04    0.00    0.13 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    0.26 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_pinmux/clknet_1_1__leaf_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.05    0.00    0.26 ^ mprj/u_pinmux/_1061_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.15    0.39    0.64 ^ mprj/u_pinmux/_1061_/Q (sky130_fd_sc_hd__dfrtp_1)
+     5    0.02                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.low_count[0] (net)
+                  0.15    0.00    0.64 ^ mprj/u_pinmux/_0768_/A1 (sky130_fd_sc_hd__a21bo_1)
+                  0.03    0.12    0.76 ^ mprj/u_pinmux/_0768_/X (sky130_fd_sc_hd__a21bo_1)
+     1    0.00                           mprj/u_pinmux/_0170_ (net)
+                  0.03    0.00    0.76 ^ mprj/u_pinmux/_1061_/D (sky130_fd_sc_hd__dfrtp_1)
                                   0.76   data arrival time
 
-                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock mdio_refclk (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.27    0.04    0.04 ^ mprj/u_wb_host/_5617_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    0.14   clock uncertainty
-                          0.00    0.14   clock reconvergence pessimism
-                          0.19    0.33   library hold time
-                                  0.33   data required time
------------------------------------------------------------------------------
-                                  0.33   data required time
-                                 -0.76   data arrival time
------------------------------------------------------------------------------
-                                  0.43   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6362_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6362_
-          (rising edge-triggered flip-flop clocked by uart_clk)
-Path Group: uart_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.17    0.17 ^ mprj/u_wb_host/_6362_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.05    0.60    0.77 v mprj/u_wb_host/_6362_/Q (sky130_fd_sc_hd__dfxtp_1)
-     3    0.01                           mprj/u_wb_host/u_uart2wb.u_msg.TxMsgSize[4] (net)
-                  0.05    0.00    0.77 v mprj/u_wb_host/_5014_/A1 (sky130_fd_sc_hd__a21o_1)
-                  0.03    0.14    0.91 v mprj/u_wb_host/_5014_/X (sky130_fd_sc_hd__a21o_1)
-     1    0.00                           mprj/u_wb_host/_0876_ (net)
-                  0.03    0.00    0.91 v mprj/u_wb_host/_6362_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  0.91   data arrival time
-
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.18    0.18 ^ mprj/u_wb_host/_6362_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    0.28   clock uncertainty
-                          0.00    0.28   clock reconvergence pessimism
-                          0.19    0.48   library hold time
-                                  0.48   data required time
------------------------------------------------------------------------------
-                                  0.48   data required time
-                                 -0.91   data arrival time
------------------------------------------------------------------------------
-                                  0.43   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5620_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_5620_
-          (rising edge-triggered flip-flop clocked by uart_clk)
-Path Group: uart_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.27    0.03    0.03 ^ mprj/u_wb_host/_5620_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.04    0.59    0.61 v mprj/u_wb_host/_5620_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.01                           mprj/u_wb_host/u_uart2wb.u_msg.TxMsgBuf[85] (net)
-                  0.04    0.00    0.61 v mprj/u_wb_host/_3485_/A1 (sky130_fd_sc_hd__a21o_1)
-                  0.03    0.14    0.76 v mprj/u_wb_host/_3485_/X (sky130_fd_sc_hd__a21o_1)
-     1    0.00                           mprj/u_wb_host/_0152_ (net)
-                  0.03    0.00    0.76 v mprj/u_wb_host/_5620_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  0.76   data arrival time
-
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.27    0.03    0.03 ^ mprj/u_wb_host/_5620_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    0.13   clock uncertainty
-                          0.00    0.13   clock reconvergence pessimism
-                          0.19    0.32   library hold time
-                                  0.32   data required time
------------------------------------------------------------------------------
-                                  0.32   data required time
-                                 -0.76   data arrival time
------------------------------------------------------------------------------
-                                  0.43   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5638_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_5638_
-          (rising edge-triggered flip-flop clocked by uart_clk)
-Path Group: uart_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.27    0.03    0.03 ^ mprj/u_wb_host/_5638_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.05    0.59    0.62 v mprj/u_wb_host/_5638_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.01                           mprj/u_wb_host/u_uart2wb.u_msg.TxMsgBuf[114] (net)
-                  0.05    0.00    0.62 v mprj/u_wb_host/_3548_/A1 (sky130_fd_sc_hd__a21o_1)
-                  0.03    0.14    0.76 v mprj/u_wb_host/_3548_/X (sky130_fd_sc_hd__a21o_1)
-     1    0.00                           mprj/u_wb_host/_0170_ (net)
-                  0.03    0.00    0.76 v mprj/u_wb_host/_5638_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  0.76   data arrival time
-
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.27    0.03    0.03 ^ mprj/u_wb_host/_5638_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    0.13   clock uncertainty
-                          0.00    0.13   clock reconvergence pessimism
-                          0.19    0.32   library hold time
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_ref_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    0.14 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_pinmux/clknet_0_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.04    0.00    0.14 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.14    0.28 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_pinmux/clknet_1_1__leaf_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.05    0.00    0.28 ^ mprj/u_pinmux/_1061_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.38   clock uncertainty
+                         -0.02    0.36   clock reconvergence pessimism
+                         -0.03    0.32   library hold time
                                   0.32   data required time
 -----------------------------------------------------------------------------
                                   0.32   data required time
@@ -57102,6 +68245,1649 @@
                                   0.44   slack (MET)
 
 
+Startpoint: mprj/u_pinmux/_1063_
+            (rising edge-triggered flip-flop clocked by mdio_refclk)
+Endpoint: mprj/u_pinmux/_1063_
+          (rising edge-triggered flip-flop clocked by mdio_refclk)
+Path Group: mdio_refclk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_refclk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_ref_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    0.13 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_pinmux/clknet_0_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.04    0.00    0.13 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    0.26 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_pinmux/clknet_1_1__leaf_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.05    0.00    0.26 ^ mprj/u_pinmux/_1063_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.15    0.38    0.64 ^ mprj/u_pinmux/_1063_/Q (sky130_fd_sc_hd__dfrtp_1)
+     4    0.02                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.low_count[2] (net)
+                  0.15    0.00    0.64 ^ mprj/u_pinmux/_0778_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.14    0.78 ^ mprj/u_pinmux/_0778_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/_0172_ (net)
+                  0.04    0.00    0.78 ^ mprj/u_pinmux/_1063_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  0.78   data arrival time
+
+                          0.00    0.00   clock mdio_refclk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_ref_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    0.14 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_pinmux/clknet_0_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.04    0.00    0.14 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.14    0.28 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_pinmux/clknet_1_1__leaf_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.05    0.00    0.28 ^ mprj/u_pinmux/_1063_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.38   clock uncertainty
+                         -0.02    0.36   clock reconvergence pessimism
+                         -0.03    0.32   library hold time
+                                  0.32   data required time
+-----------------------------------------------------------------------------
+                                  0.32   data required time
+                                 -0.78   data arrival time
+-----------------------------------------------------------------------------
+                                  0.46   slack (MET)
+
+
+Startpoint: mprj/u_pinmux/_1128_
+            (rising edge-triggered flip-flop clocked by mdio_refclk)
+Endpoint: mprj/u_pinmux/_1128_
+          (rising edge-triggered flip-flop clocked by mdio_refclk)
+Path Group: mdio_refclk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_refclk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_ref_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    0.13 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_pinmux/clknet_0_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.04    0.00    0.13 ^ mprj/u_pinmux/clkbuf_1_0__f_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    0.25 ^ mprj/u_pinmux/clkbuf_1_0__f_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.03                           mprj/u_pinmux/clknet_1_0__leaf_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.05    0.00    0.25 ^ mprj/u_pinmux/_1128_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.07    0.33    0.58 ^ mprj/u_pinmux/_1128_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.high_count[3] (net)
+                  0.07    0.00    0.58 ^ mprj/u_pinmux/_0873_/A (sky130_fd_sc_hd__nand2_1)
+                  0.03    0.05    0.63 v mprj/u_pinmux/_0873_/Y (sky130_fd_sc_hd__nand2_1)
+     1    0.00                           mprj/u_pinmux/_0432_ (net)
+                  0.03    0.00    0.63 v mprj/u_pinmux/_0874_/B (sky130_fd_sc_hd__nand2_1)
+                  0.05    0.06    0.69 ^ mprj/u_pinmux/_0874_/Y (sky130_fd_sc_hd__nand2_1)
+     1    0.00                           mprj/u_pinmux/_0433_ (net)
+                  0.05    0.00    0.69 ^ mprj/u_pinmux/_0877_/B1 (sky130_fd_sc_hd__a32o_1)
+                  0.05    0.11    0.80 ^ mprj/u_pinmux/_0877_/X (sky130_fd_sc_hd__a32o_1)
+     1    0.00                           mprj/u_pinmux/_0237_ (net)
+                  0.05    0.00    0.80 ^ mprj/u_pinmux/_1128_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  0.80   data arrival time
+
+                          0.00    0.00   clock mdio_refclk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_ref_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    0.14 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_pinmux/clknet_0_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.04    0.00    0.14 ^ mprj/u_pinmux/clkbuf_1_0__f_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.14    0.27 ^ mprj/u_pinmux/clkbuf_1_0__f_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.03                           mprj/u_pinmux/clknet_1_0__leaf_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.05    0.00    0.28 ^ mprj/u_pinmux/_1128_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.38   clock uncertainty
+                         -0.02    0.35   clock reconvergence pessimism
+                         -0.03    0.32   library hold time
+                                  0.32   data required time
+-----------------------------------------------------------------------------
+                                  0.32   data required time
+                                 -0.80   data arrival time
+-----------------------------------------------------------------------------
+                                  0.48   slack (MET)
+
+
+Startpoint: mprj/u_pinmux/_1129_
+            (rising edge-triggered flip-flop clocked by mdio_refclk)
+Endpoint: mprj/u_pinmux/_1129_
+          (rising edge-triggered flip-flop clocked by mdio_refclk)
+Path Group: mdio_refclk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_refclk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_ref_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    0.13 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_pinmux/clknet_0_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.04    0.00    0.13 ^ mprj/u_pinmux/clkbuf_1_0__f_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    0.25 ^ mprj/u_pinmux/clkbuf_1_0__f_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.03                           mprj/u_pinmux/clknet_1_0__leaf_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.05    0.00    0.25 ^ mprj/u_pinmux/_1129_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.11    0.36    0.61 ^ mprj/u_pinmux/_1129_/Q (sky130_fd_sc_hd__dfrtp_1)
+     3    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.high_count[4] (net)
+                  0.11    0.00    0.61 ^ mprj/u_pinmux/_0879_/A (sky130_fd_sc_hd__xnor2_1)
+                  0.04    0.08    0.69 v mprj/u_pinmux/_0879_/Y (sky130_fd_sc_hd__xnor2_1)
+     1    0.00                           mprj/u_pinmux/_0437_ (net)
+                  0.04    0.00    0.69 v mprj/u_pinmux/_0880_/B1 (sky130_fd_sc_hd__o211a_1)
+                  0.03    0.11    0.80 v mprj/u_pinmux/_0880_/X (sky130_fd_sc_hd__o211a_1)
+     1    0.00                           mprj/u_pinmux/_0238_ (net)
+                  0.03    0.00    0.80 v mprj/u_pinmux/_1129_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  0.80   data arrival time
+
+                          0.00    0.00   clock mdio_refclk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_ref_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    0.14 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_pinmux/clknet_0_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.04    0.00    0.14 ^ mprj/u_pinmux/clkbuf_1_0__f_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.14    0.27 ^ mprj/u_pinmux/clkbuf_1_0__f_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.03                           mprj/u_pinmux/clknet_1_0__leaf_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.05    0.00    0.28 ^ mprj/u_pinmux/_1129_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.38   clock uncertainty
+                         -0.02    0.35   clock reconvergence pessimism
+                         -0.04    0.32   library hold time
+                                  0.32   data required time
+-----------------------------------------------------------------------------
+                                  0.32   data required time
+                                 -0.80   data arrival time
+-----------------------------------------------------------------------------
+                                  0.48   slack (MET)
+
+
+Startpoint: mprj/u_pinmux/_1125_
+            (rising edge-triggered flip-flop clocked by mdio_refclk)
+Endpoint: mprj/u_pinmux/_1125_
+          (rising edge-triggered flip-flop clocked by mdio_refclk)
+Path Group: mdio_refclk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_refclk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_ref_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    0.13 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_pinmux/clknet_0_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.04    0.00    0.13 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    0.26 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_pinmux/clknet_1_1__leaf_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.05    0.00    0.26 ^ mprj/u_pinmux/_1125_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.20    0.42    0.68 ^ mprj/u_pinmux/_1125_/Q (sky130_fd_sc_hd__dfrtp_1)
+     6    0.02                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.high_count[0] (net)
+                  0.20    0.00    0.68 ^ mprj/u_pinmux/_0446_/A (sky130_fd_sc_hd__inv_2)
+                  0.04    0.04    0.72 v mprj/u_pinmux/_0446_/Y (sky130_fd_sc_hd__inv_2)
+     1    0.00                           mprj/u_pinmux/_0242_ (net)
+                  0.04    0.00    0.72 v mprj/u_pinmux/_0863_/C1 (sky130_fd_sc_hd__o211a_1)
+                  0.03    0.10    0.82 v mprj/u_pinmux/_0863_/X (sky130_fd_sc_hd__o211a_1)
+     1    0.00                           mprj/u_pinmux/_0234_ (net)
+                  0.03    0.00    0.82 v mprj/u_pinmux/_1125_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  0.82   data arrival time
+
+                          0.00    0.00   clock mdio_refclk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_ref_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    0.14 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_pinmux/clknet_0_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.04    0.00    0.14 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.14    0.28 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_pinmux/clknet_1_1__leaf_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.05    0.00    0.28 ^ mprj/u_pinmux/_1125_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.38   clock uncertainty
+                         -0.02    0.36   clock reconvergence pessimism
+                         -0.04    0.32   library hold time
+                                  0.32   data required time
+-----------------------------------------------------------------------------
+                                  0.32   data required time
+                                 -0.82   data arrival time
+-----------------------------------------------------------------------------
+                                  0.50   slack (MET)
+
+
+Startpoint: mprj/u_pinmux/_1131_
+            (rising edge-triggered flip-flop clocked by mdio_refclk)
+Endpoint: mprj/u_pinmux/_1131_
+          (rising edge-triggered flip-flop clocked by mdio_refclk)
+Path Group: mdio_refclk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_refclk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_ref_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    0.13 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_pinmux/clknet_0_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.04    0.00    0.13 ^ mprj/u_pinmux/clkbuf_1_0__f_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    0.25 ^ mprj/u_pinmux/clkbuf_1_0__f_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.03                           mprj/u_pinmux/clknet_1_0__leaf_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.05    0.00    0.25 ^ mprj/u_pinmux/_1131_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                  0.12    0.40    0.65 ^ mprj/u_pinmux/_1131_/Q (sky130_fd_sc_hd__dfrtp_2)
+     4    0.02                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.high_count[6] (net)
+                  0.12    0.00    0.65 ^ mprj/u_pinmux/_0886_/A (sky130_fd_sc_hd__xor2_1)
+                  0.04    0.08    0.73 v mprj/u_pinmux/_0886_/X (sky130_fd_sc_hd__xor2_1)
+     1    0.00                           mprj/u_pinmux/_0442_ (net)
+                  0.04    0.00    0.73 v mprj/u_pinmux/_0888_/B1 (sky130_fd_sc_hd__o211a_1)
+                  0.03    0.11    0.84 v mprj/u_pinmux/_0888_/X (sky130_fd_sc_hd__o211a_1)
+     1    0.00                           mprj/u_pinmux/_0240_ (net)
+                  0.03    0.00    0.84 v mprj/u_pinmux/_1131_/D (sky130_fd_sc_hd__dfrtp_2)
+                                  0.84   data arrival time
+
+                          0.00    0.00   clock mdio_refclk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_ref_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    0.14 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_pinmux/clknet_0_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.04    0.00    0.14 ^ mprj/u_pinmux/clkbuf_1_0__f_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.14    0.27 ^ mprj/u_pinmux/clkbuf_1_0__f_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.03                           mprj/u_pinmux/clknet_1_0__leaf_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.05    0.00    0.28 ^ mprj/u_pinmux/_1131_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                          0.10    0.38   clock uncertainty
+                         -0.02    0.35   clock reconvergence pessimism
+                         -0.03    0.32   library hold time
+                                  0.32   data required time
+-----------------------------------------------------------------------------
+                                  0.32   data required time
+                                 -0.84   data arrival time
+-----------------------------------------------------------------------------
+                                  0.52   slack (MET)
+
+
+Startpoint: mprj/u_pinmux/_1130_
+            (rising edge-triggered flip-flop clocked by mdio_refclk)
+Endpoint: mprj/u_pinmux/_1130_
+          (rising edge-triggered flip-flop clocked by mdio_refclk)
+Path Group: mdio_refclk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_refclk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_ref_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    0.13 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_pinmux/clknet_0_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.04    0.00    0.13 ^ mprj/u_pinmux/clkbuf_1_0__f_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    0.25 ^ mprj/u_pinmux/clkbuf_1_0__f_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.03                           mprj/u_pinmux/clknet_1_0__leaf_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.05    0.00    0.25 ^ mprj/u_pinmux/_1130_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.07    0.33    0.58 ^ mprj/u_pinmux/_1130_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.high_count[5] (net)
+                  0.07    0.00    0.58 ^ mprj/u_pinmux/_0884_/B1 (sky130_fd_sc_hd__o21a_1)
+                  0.05    0.12    0.70 ^ mprj/u_pinmux/_0884_/X (sky130_fd_sc_hd__o21a_1)
+     1    0.00                           mprj/u_pinmux/_0441_ (net)
+                  0.05    0.00    0.70 ^ mprj/u_pinmux/_0885_/B1 (sky130_fd_sc_hd__o221a_1)
+                  0.05    0.16    0.86 ^ mprj/u_pinmux/_0885_/X (sky130_fd_sc_hd__o221a_1)
+     1    0.00                           mprj/u_pinmux/_0239_ (net)
+                  0.05    0.00    0.86 ^ mprj/u_pinmux/_1130_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  0.86   data arrival time
+
+                          0.00    0.00   clock mdio_refclk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_ref_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    0.14 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_pinmux/clknet_0_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.04    0.00    0.14 ^ mprj/u_pinmux/clkbuf_1_0__f_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.14    0.27 ^ mprj/u_pinmux/clkbuf_1_0__f_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.03                           mprj/u_pinmux/clknet_1_0__leaf_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.05    0.00    0.28 ^ mprj/u_pinmux/_1130_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.38   clock uncertainty
+                         -0.02    0.35   clock reconvergence pessimism
+                         -0.03    0.32   library hold time
+                                  0.32   data required time
+-----------------------------------------------------------------------------
+                                  0.32   data required time
+                                 -0.86   data arrival time
+-----------------------------------------------------------------------------
+                                  0.54   slack (MET)
+
+
+Startpoint: mprj/u_pinmux/_1067_
+            (rising edge-triggered flip-flop clocked by mdio_refclk)
+Endpoint: mprj/u_pinmux/_1067_
+          (rising edge-triggered flip-flop clocked by mdio_refclk)
+Path Group: mdio_refclk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_refclk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_ref_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    0.13 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_pinmux/clknet_0_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.04    0.00    0.13 ^ mprj/u_pinmux/clkbuf_1_0__f_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    0.25 ^ mprj/u_pinmux/clkbuf_1_0__f_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.03                           mprj/u_pinmux/clknet_1_0__leaf_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.05    0.00    0.25 ^ mprj/u_pinmux/_1067_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.34    0.59 ^ mprj/u_pinmux/_1067_/Q (sky130_fd_sc_hd__dfrtp_1)
+     3    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.low_count[6] (net)
+                  0.08    0.00    0.59 ^ mprj/u_pinmux/_0795_/B1 (sky130_fd_sc_hd__o21ai_1)
+                  0.03    0.06    0.65 v mprj/u_pinmux/_0795_/Y (sky130_fd_sc_hd__o21ai_1)
+     1    0.00                           mprj/u_pinmux/_0415_ (net)
+                  0.03    0.00    0.65 v mprj/u_pinmux/_0797_/A2_N (sky130_fd_sc_hd__o2bb2a_1)
+                  0.05    0.21    0.86 ^ mprj/u_pinmux/_0797_/X (sky130_fd_sc_hd__o2bb2a_1)
+     1    0.00                           mprj/u_pinmux/_0176_ (net)
+                  0.05    0.00    0.86 ^ mprj/u_pinmux/_1067_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  0.86   data arrival time
+
+                          0.00    0.00   clock mdio_refclk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_ref_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    0.14 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_pinmux/clknet_0_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.04    0.00    0.14 ^ mprj/u_pinmux/clkbuf_1_0__f_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.14    0.27 ^ mprj/u_pinmux/clkbuf_1_0__f_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.03                           mprj/u_pinmux/clknet_1_0__leaf_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.05    0.00    0.28 ^ mprj/u_pinmux/_1067_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.38   clock uncertainty
+                         -0.02    0.35   clock reconvergence pessimism
+                         -0.03    0.32   library hold time
+                                  0.32   data required time
+-----------------------------------------------------------------------------
+                                  0.32   data required time
+                                 -0.86   data arrival time
+-----------------------------------------------------------------------------
+                                  0.54   slack (MET)
+
+
+Startpoint: mprj/u_pinmux/_1066_
+            (rising edge-triggered flip-flop clocked by mdio_refclk)
+Endpoint: mprj/u_pinmux/_1066_
+          (rising edge-triggered flip-flop clocked by mdio_refclk)
+Path Group: mdio_refclk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_refclk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_ref_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    0.13 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_pinmux/clknet_0_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.04    0.00    0.13 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    0.26 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_pinmux/clknet_1_1__leaf_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.05    0.00    0.26 ^ mprj/u_pinmux/_1066_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.12    0.37    0.62 ^ mprj/u_pinmux/_1066_/Q (sky130_fd_sc_hd__dfrtp_1)
+     4    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.low_count[5] (net)
+                  0.12    0.00    0.62 ^ mprj/u_pinmux/_0789_/B1 (sky130_fd_sc_hd__o31a_1)
+                  0.05    0.14    0.76 ^ mprj/u_pinmux/_0789_/X (sky130_fd_sc_hd__o31a_1)
+     1    0.00                           mprj/u_pinmux/_0410_ (net)
+                  0.05    0.00    0.76 ^ mprj/u_pinmux/_0793_/A2 (sky130_fd_sc_hd__o22a_1)
+                  0.04    0.11    0.87 ^ mprj/u_pinmux/_0793_/X (sky130_fd_sc_hd__o22a_1)
+     1    0.00                           mprj/u_pinmux/_0175_ (net)
+                  0.04    0.00    0.87 ^ mprj/u_pinmux/_1066_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  0.87   data arrival time
+
+                          0.00    0.00   clock mdio_refclk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_ref_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    0.14 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_pinmux/clknet_0_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.04    0.00    0.14 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.14    0.28 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_pinmux/clknet_1_1__leaf_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.05    0.00    0.28 ^ mprj/u_pinmux/_1066_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.38   clock uncertainty
+                         -0.02    0.36   clock reconvergence pessimism
+                         -0.03    0.32   library hold time
+                                  0.32   data required time
+-----------------------------------------------------------------------------
+                                  0.32   data required time
+                                 -0.87   data arrival time
+-----------------------------------------------------------------------------
+                                  0.55   slack (MET)
+
+
+Startpoint: mprj/u_pinmux/_1127_
+            (rising edge-triggered flip-flop clocked by mdio_refclk)
+Endpoint: mprj/u_pinmux/_1127_
+          (rising edge-triggered flip-flop clocked by mdio_refclk)
+Path Group: mdio_refclk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_refclk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_ref_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    0.13 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_pinmux/clknet_0_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.04    0.00    0.13 ^ mprj/u_pinmux/clkbuf_1_0__f_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    0.25 ^ mprj/u_pinmux/clkbuf_1_0__f_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.03                           mprj/u_pinmux/clknet_1_0__leaf_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.05    0.00    0.25 ^ mprj/u_pinmux/_1127_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.10    0.35    0.60 ^ mprj/u_pinmux/_1127_/Q (sky130_fd_sc_hd__dfrtp_1)
+     3    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.high_count[2] (net)
+                  0.10    0.00    0.60 ^ mprj/u_pinmux/_0870_/B1 (sky130_fd_sc_hd__o21ai_1)
+                  0.05    0.08    0.68 v mprj/u_pinmux/_0870_/Y (sky130_fd_sc_hd__o21ai_1)
+     1    0.00                           mprj/u_pinmux/_0430_ (net)
+                  0.05    0.00    0.68 v mprj/u_pinmux/_0871_/B (sky130_fd_sc_hd__nand2_1)
+                  0.05    0.06    0.75 ^ mprj/u_pinmux/_0871_/Y (sky130_fd_sc_hd__nand2_1)
+     1    0.00                           mprj/u_pinmux/_0431_ (net)
+                  0.05    0.00    0.75 ^ mprj/u_pinmux/_0872_/B1 (sky130_fd_sc_hd__o211a_1)
+                  0.04    0.13    0.88 ^ mprj/u_pinmux/_0872_/X (sky130_fd_sc_hd__o211a_1)
+     1    0.00                           mprj/u_pinmux/_0236_ (net)
+                  0.04    0.00    0.88 ^ mprj/u_pinmux/_1127_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  0.88   data arrival time
+
+                          0.00    0.00   clock mdio_refclk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_ref_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    0.14 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_pinmux/clknet_0_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.04    0.00    0.14 ^ mprj/u_pinmux/clkbuf_1_0__f_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.14    0.27 ^ mprj/u_pinmux/clkbuf_1_0__f_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.03                           mprj/u_pinmux/clknet_1_0__leaf_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.05    0.00    0.28 ^ mprj/u_pinmux/_1127_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.38   clock uncertainty
+                         -0.02    0.35   clock reconvergence pessimism
+                         -0.03    0.32   library hold time
+                                  0.32   data required time
+-----------------------------------------------------------------------------
+                                  0.32   data required time
+                                 -0.88   data arrival time
+-----------------------------------------------------------------------------
+                                  0.56   slack (MET)
+
+
+Startpoint: mprj/u_pinmux/_1126_
+            (rising edge-triggered flip-flop clocked by mdio_refclk)
+Endpoint: mprj/u_pinmux/_1126_
+          (rising edge-triggered flip-flop clocked by mdio_refclk)
+Path Group: mdio_refclk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_refclk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_ref_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    0.13 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_pinmux/clknet_0_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.04    0.00    0.13 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    0.26 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_pinmux/clknet_1_1__leaf_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.05    0.00    0.26 ^ mprj/u_pinmux/_1126_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.14    0.38    0.64 ^ mprj/u_pinmux/_1126_/Q (sky130_fd_sc_hd__dfrtp_1)
+     5    0.02                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.high_count[1] (net)
+                  0.14    0.00    0.64 ^ mprj/u_pinmux/_0449_/B (sky130_fd_sc_hd__nor2_1)
+                  0.04    0.05    0.69 v mprj/u_pinmux/_0449_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.00                           mprj/u_pinmux/_0244_ (net)
+                  0.04    0.00    0.69 v mprj/u_pinmux/_0868_/B2 (sky130_fd_sc_hd__o221a_1)
+                  0.04    0.19    0.89 v mprj/u_pinmux/_0868_/X (sky130_fd_sc_hd__o221a_1)
+     1    0.00                           mprj/u_pinmux/_0235_ (net)
+                  0.04    0.00    0.89 v mprj/u_pinmux/_1126_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  0.89   data arrival time
+
+                          0.00    0.00   clock mdio_refclk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_ref_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    0.14 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_pinmux/clknet_0_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.04    0.00    0.14 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.14    0.28 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_pinmux/clknet_1_1__leaf_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.05    0.00    0.28 ^ mprj/u_pinmux/_1126_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.38   clock uncertainty
+                         -0.02    0.36   clock reconvergence pessimism
+                         -0.04    0.32   library hold time
+                                  0.32   data required time
+-----------------------------------------------------------------------------
+                                  0.32   data required time
+                                 -0.89   data arrival time
+-----------------------------------------------------------------------------
+                                  0.57   slack (MET)
+
+
+Startpoint: mprj/u_pinmux/_1065_
+            (rising edge-triggered flip-flop clocked by mdio_refclk)
+Endpoint: mprj/u_pinmux/_1065_
+          (rising edge-triggered flip-flop clocked by mdio_refclk)
+Path Group: mdio_refclk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_refclk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_ref_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    0.13 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_pinmux/clknet_0_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.04    0.00    0.13 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    0.26 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_pinmux/clknet_1_1__leaf_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.05    0.00    0.26 ^ mprj/u_pinmux/_1065_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.17    0.40    0.66 ^ mprj/u_pinmux/_1065_/Q (sky130_fd_sc_hd__dfrtp_1)
+     6    0.02                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.low_count[4] (net)
+                  0.17    0.00    0.66 ^ mprj/u_pinmux/_0787_/B1 (sky130_fd_sc_hd__o21ai_1)
+                  0.03    0.08    0.74 v mprj/u_pinmux/_0787_/Y (sky130_fd_sc_hd__o21ai_1)
+     1    0.00                           mprj/u_pinmux/_0409_ (net)
+                  0.03    0.00    0.74 v mprj/u_pinmux/_0788_/A2_N (sky130_fd_sc_hd__o2bb2a_1)
+                  0.05    0.20    0.95 ^ mprj/u_pinmux/_0788_/X (sky130_fd_sc_hd__o2bb2a_1)
+     1    0.00                           mprj/u_pinmux/_0174_ (net)
+                  0.05    0.00    0.95 ^ mprj/u_pinmux/_1065_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  0.95   data arrival time
+
+                          0.00    0.00   clock mdio_refclk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_ref_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    0.14 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_pinmux/clknet_0_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.04    0.00    0.14 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.14    0.28 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_pinmux/clknet_1_1__leaf_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.05    0.00    0.28 ^ mprj/u_pinmux/_1065_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.38   clock uncertainty
+                         -0.02    0.36   clock reconvergence pessimism
+                         -0.03    0.32   library hold time
+                                  0.32   data required time
+-----------------------------------------------------------------------------
+                                  0.32   data required time
+                                 -0.95   data arrival time
+-----------------------------------------------------------------------------
+                                  0.62   slack (MET)
+
+
+Startpoint: mprj/u_pinmux/_1132_
+            (rising edge-triggered flip-flop clocked by mdio_refclk)
+Endpoint: mprj/u_pinmux/_1132_
+          (rising edge-triggered flip-flop clocked by mdio_refclk)
+Path Group: mdio_refclk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_refclk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_ref_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    0.13 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_pinmux/clknet_0_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.04    0.00    0.13 ^ mprj/u_pinmux/clkbuf_1_0__f_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    0.25 ^ mprj/u_pinmux/clkbuf_1_0__f_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.03                           mprj/u_pinmux/clknet_1_0__leaf_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.05    0.00    0.25 ^ mprj/u_pinmux/_1132_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.15    0.39    0.64 ^ mprj/u_pinmux/_1132_/Q (sky130_fd_sc_hd__dfrtp_1)
+     3    0.02                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.high_count[7] (net)
+                  0.15    0.00    0.64 ^ mprj/u_pinmux/_0889_/B1 (sky130_fd_sc_hd__o31a_1)
+                  0.06    0.15    0.80 ^ mprj/u_pinmux/_0889_/X (sky130_fd_sc_hd__o31a_1)
+     1    0.00                           mprj/u_pinmux/_0444_ (net)
+                  0.06    0.00    0.80 ^ mprj/u_pinmux/_0890_/B1 (sky130_fd_sc_hd__a31o_1)
+                  0.04    0.09    0.88 ^ mprj/u_pinmux/_0890_/X (sky130_fd_sc_hd__a31o_1)
+     1    0.00                           mprj/u_pinmux/_0445_ (net)
+                  0.04    0.00    0.88 ^ mprj/u_pinmux/_0891_/B (sky130_fd_sc_hd__and2_1)
+                  0.05    0.11    0.99 ^ mprj/u_pinmux/_0891_/X (sky130_fd_sc_hd__and2_1)
+     1    0.00                           mprj/u_pinmux/_0241_ (net)
+                  0.05    0.00    0.99 ^ mprj/u_pinmux/_1132_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  0.99   data arrival time
+
+                          0.00    0.00   clock mdio_refclk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_ref_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    0.14 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_pinmux/clknet_0_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.04    0.00    0.14 ^ mprj/u_pinmux/clkbuf_1_0__f_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.14    0.27 ^ mprj/u_pinmux/clkbuf_1_0__f_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.03                           mprj/u_pinmux/clknet_1_0__leaf_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.05    0.00    0.28 ^ mprj/u_pinmux/_1132_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.38   clock uncertainty
+                         -0.02    0.35   clock reconvergence pessimism
+                         -0.04    0.32   library hold time
+                                  0.32   data required time
+-----------------------------------------------------------------------------
+                                  0.32   data required time
+                                 -0.99   data arrival time
+-----------------------------------------------------------------------------
+                                  0.68   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08535_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj_io[9] (output port clocked by pad_mac_tx_clk)
+Path Group: pad_mac_tx_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1    6.12                           mprj_io[5] (net)
+                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[5] (net)
+                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    1.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10    1.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    1.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21    1.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15    1.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    1.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27    1.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    1.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43    2.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09    2.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34    2.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    2.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15    3.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00    3.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42    3.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    3.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34    3.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    3.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20    4.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    4.12 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.17    4.29 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.14    0.00    4.30 ^ mprj/u_mac_wrap/clkbuf_4_10_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.16    4.46 ^ mprj/u_mac_wrap/clkbuf_4_10_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     6    0.02                           mprj/u_mac_wrap/clknet_4_10_0_phy_tx_clk (net)
+                  0.06    0.00    4.46 ^ mprj/u_mac_wrap/_08535_/CLK (sky130_fd_sc_hd__dfrtp_4)
+                  0.09    0.50    4.96 v mprj/u_mac_wrap/_08535_/Q (sky130_fd_sc_hd__dfrtp_4)
+     4    0.03                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_mii_intf.U_dble_reg7.in_pulse (net)
+                  0.09    0.00    4.96 v mprj/u_mac_wrap/hold55/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.14    0.65    5.61 v mprj/u_mac_wrap/hold55/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     2    0.02                           mprj/u_mac_wrap/net892 (net)
+                  0.14    0.00    5.61 v mprj/u_mac_wrap/_10264_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.16    5.77 v mprj/u_mac_wrap/_10264_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_mac_wrap/net118 (net)
+                  0.07    0.00    5.77 v mprj/u_mac_wrap/hold56/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.09    0.59    6.36 v mprj/u_mac_wrap/hold56/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net893 (net)
+                  0.09    0.00    6.36 v mprj/u_mac_wrap/output118/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.21    6.57 v mprj/u_mac_wrap/output118/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mac_txd[1] (net)
+                  0.11    0.00    6.57 v mprj/u_pinmux/input15/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.14    0.22    6.79 v mprj/u_pinmux/input15/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.04                           mprj/u_pinmux/net15 (net)
+                  0.14    0.00    6.79 v mprj/u_pinmux/_1216_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.15    6.93 v mprj/u_pinmux/_1216_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_pinmux/net74 (net)
+                  0.05    0.00    6.94 v mprj/u_pinmux/output74/A (sky130_fd_sc_hd__buf_2)
+                  0.31    0.30    7.23 v mprj/u_pinmux/output74/X (sky130_fd_sc_hd__buf_2)
+     2    0.14                           mprj/io_out_int[9] (net)
+                  0.34    0.07    7.30 v mprj/u_rp_east/u_rp[16].u_buf/A (sky130_fd_sc_hd__buf_2)
+                  0.04    0.23    7.53 v mprj/u_rp_east/u_rp[16].u_buf/X (sky130_fd_sc_hd__buf_2)
+     2    0.01                           user_io_out[9] (net)
+                  0.04    0.00    7.53 v gpio_control_in_1[1]/_062_/B (sky130_fd_sc_hd__nand2b_2)
+                  0.08    0.08    7.62 ^ gpio_control_in_1[1]/_062_/Y (sky130_fd_sc_hd__nand2b_2)
+     1    0.01                           gpio_control_in_1[1]/_042_ (net)
+                  0.08    0.00    7.62 ^ gpio_control_in_1[1]/_066_/B1 (sky130_fd_sc_hd__o21ai_4)
+                  0.05    0.07    7.69 v gpio_control_in_1[1]/_066_/Y (sky130_fd_sc_hd__o21ai_4)
+     1    0.01                           gpio_control_in_1[1]/net16 (net)
+                  0.05    0.00    7.69 v gpio_control_in_1[1]/output16/A (sky130_fd_sc_hd__buf_16)
+                  0.04    0.13    7.82 v gpio_control_in_1[1]/output16/X (sky130_fd_sc_hd__buf_16)
+     1    0.04                           mprj_io_out[9] (net)
+                  0.04    0.00    7.82 v padframe/mprj_pads.area1_io_pad[9]/OUT (sky130_ef_io__gpiov2_pad_wrapped)
+                  0.00    3.96   11.78 v padframe/mprj_pads.area1_io_pad[9]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     1    6.12                           mprj_io[9] (net)
+                  0.00    0.00   11.78 v mprj_io[9] (inout)
+     0    6.12                           mprj_io[9] (net)
+                                 11.78   data arrival time
+
+                          0.00    0.00   clock pad_mac_tx_clk (rise edge)
+                          0.00    0.00   clock network delay (propagated)
+                          0.10    0.10   clock uncertainty
+                          0.00    0.10   clock reconvergence pessimism
+                          2.00    2.10   output external delay
+                                  2.10   data required time
+-----------------------------------------------------------------------------
+                                  2.10   data required time
+                                -11.78   data arrival time
+-----------------------------------------------------------------------------
+                                  9.68   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08532_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj_io[10] (output port clocked by pad_mac_tx_clk)
+Path Group: pad_mac_tx_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1    6.12                           mprj_io[5] (net)
+                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[5] (net)
+                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    1.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10    1.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    1.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21    1.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15    1.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    1.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27    1.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    1.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43    2.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09    2.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34    2.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    2.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15    3.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00    3.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42    3.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    3.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34    3.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    3.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20    4.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    4.12 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.17    4.29 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.14    0.00    4.30 ^ mprj/u_mac_wrap/clkbuf_4_11_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.16    4.46 ^ mprj/u_mac_wrap/clkbuf_4_11_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     7    0.02                           mprj/u_mac_wrap/clknet_4_11_0_phy_tx_clk (net)
+                  0.06    0.00    4.46 ^ mprj/u_mac_wrap/_08532_/CLK (sky130_fd_sc_hd__dfrtp_4)
+                  0.10    0.51    4.97 v mprj/u_mac_wrap/_08532_/Q (sky130_fd_sc_hd__dfrtp_4)
+     6    0.04                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_mii_intf.U_dble_reg8.in_pulse (net)
+                  0.10    0.00    4.97 v mprj/u_mac_wrap/hold63/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.15    0.66    5.63 v mprj/u_mac_wrap/hold63/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     2    0.03                           mprj/u_mac_wrap/net900 (net)
+                  0.15    0.00    5.64 v mprj/u_mac_wrap/_10265_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.16    5.80 v mprj/u_mac_wrap/_10265_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_mac_wrap/net119 (net)
+                  0.07    0.00    5.80 v mprj/u_mac_wrap/hold64/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.08    0.58    6.38 v mprj/u_mac_wrap/hold64/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net901 (net)
+                  0.08    0.00    6.38 v mprj/u_mac_wrap/output119/A (sky130_fd_sc_hd__buf_2)
+                  0.10    0.20    6.57 v mprj/u_mac_wrap/output119/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/mac_txd[2] (net)
+                  0.10    0.00    6.58 v mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.15    0.22    6.79 v mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.04                           mprj/u_pinmux/net16 (net)
+                  0.15    0.00    6.80 v mprj/u_pinmux/_1217_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.16    6.95 v mprj/u_pinmux/_1217_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_pinmux/net66 (net)
+                  0.06    0.00    6.95 v mprj/u_pinmux/output66/A (sky130_fd_sc_hd__buf_2)
+                  0.31    0.30    7.25 v mprj/u_pinmux/output66/X (sky130_fd_sc_hd__buf_2)
+     2    0.13                           mprj/io_out_int[10] (net)
+                  0.33    0.07    7.32 v mprj/u_rp_east/u_rp[13].u_buf/A (sky130_fd_sc_hd__buf_2)
+                  0.04    0.23    7.55 v mprj/u_rp_east/u_rp[13].u_buf/X (sky130_fd_sc_hd__buf_2)
+     2    0.01                           user_io_out[10] (net)
+                  0.04    0.00    7.55 v gpio_control_in_1[2]/_062_/B (sky130_fd_sc_hd__nand2b_2)
+                  0.08    0.08    7.64 ^ gpio_control_in_1[2]/_062_/Y (sky130_fd_sc_hd__nand2b_2)
+     1    0.01                           gpio_control_in_1[2]/_042_ (net)
+                  0.08    0.00    7.64 ^ gpio_control_in_1[2]/_066_/B1 (sky130_fd_sc_hd__o21ai_4)
+                  0.05    0.07    7.71 v gpio_control_in_1[2]/_066_/Y (sky130_fd_sc_hd__o21ai_4)
+     1    0.01                           gpio_control_in_1[2]/net16 (net)
+                  0.05    0.00    7.71 v gpio_control_in_1[2]/output16/A (sky130_fd_sc_hd__buf_16)
+                  0.04    0.13    7.84 v gpio_control_in_1[2]/output16/X (sky130_fd_sc_hd__buf_16)
+     1    0.04                           mprj_io_out[10] (net)
+                  0.04    0.00    7.84 v padframe/mprj_pads.area1_io_pad[10]/OUT (sky130_ef_io__gpiov2_pad_wrapped)
+                  0.00    3.96   11.80 v padframe/mprj_pads.area1_io_pad[10]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     1    6.12                           mprj_io[10] (net)
+                  0.00    0.00   11.80 v mprj_io[10] (inout)
+     0    6.12                           mprj_io[10] (net)
+                                 11.80   data arrival time
+
+                          0.00    0.00   clock pad_mac_tx_clk (rise edge)
+                          0.00    0.00   clock network delay (propagated)
+                          0.10    0.10   clock uncertainty
+                          0.00    0.10   clock reconvergence pessimism
+                          2.00    2.10   output external delay
+                                  2.10   data required time
+-----------------------------------------------------------------------------
+                                  2.10   data required time
+                                -11.80   data arrival time
+-----------------------------------------------------------------------------
+                                  9.70   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08534_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj_io[8] (output port clocked by pad_mac_tx_clk)
+Path Group: pad_mac_tx_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1    6.12                           mprj_io[5] (net)
+                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[5] (net)
+                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    1.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10    1.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    1.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21    1.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15    1.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    1.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27    1.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    1.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43    2.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09    2.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34    2.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    2.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15    3.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00    3.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42    3.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    3.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34    3.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    3.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20    4.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    4.12 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.17    4.29 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.14    0.00    4.30 ^ mprj/u_mac_wrap/clkbuf_4_11_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.16    4.46 ^ mprj/u_mac_wrap/clkbuf_4_11_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     7    0.02                           mprj/u_mac_wrap/clknet_4_11_0_phy_tx_clk (net)
+                  0.06    0.00    4.46 ^ mprj/u_mac_wrap/_08534_/CLK (sky130_fd_sc_hd__dfrtp_4)
+                  0.09    0.50    4.96 v mprj/u_mac_wrap/_08534_/Q (sky130_fd_sc_hd__dfrtp_4)
+     4    0.03                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_mii_intf.U_dble_reg6.in_pulse (net)
+                  0.09    0.00    4.96 v mprj/u_mac_wrap/hold51/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.15    0.66    5.62 v mprj/u_mac_wrap/hold51/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     2    0.03                           mprj/u_mac_wrap/net888 (net)
+                  0.15    0.00    5.62 v mprj/u_mac_wrap/_10263_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.15    5.77 v mprj/u_mac_wrap/_10263_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_mac_wrap/net117 (net)
+                  0.06    0.00    5.77 v mprj/u_mac_wrap/hold52/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.08    0.56    6.33 v mprj/u_mac_wrap/hold52/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net889 (net)
+                  0.08    0.00    6.34 v mprj/u_mac_wrap/output117/A (sky130_fd_sc_hd__buf_2)
+                  0.10    0.19    6.53 v mprj/u_mac_wrap/output117/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/mac_txd[0] (net)
+                  0.10    0.00    6.53 v mprj/u_pinmux/input14/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.15    0.22    6.75 v mprj/u_pinmux/input14/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.04                           mprj/u_pinmux/net14 (net)
+                  0.15    0.00    6.75 v mprj/u_pinmux/_1215_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.15    6.90 v mprj/u_pinmux/_1215_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_pinmux/net73 (net)
+                  0.05    0.00    6.90 v mprj/u_pinmux/output73/A (sky130_fd_sc_hd__buf_2)
+                  0.41    0.33    7.23 v mprj/u_pinmux/output73/X (sky130_fd_sc_hd__buf_2)
+     2    0.18                           mprj/io_out_int[8] (net)
+                  0.47    0.12    7.35 v mprj/u_rp_east/u_rp[19].u_buf/A (sky130_fd_sc_hd__buf_2)
+                  0.04    0.27    7.62 v mprj/u_rp_east/u_rp[19].u_buf/X (sky130_fd_sc_hd__buf_2)
+     2    0.01                           user_io_out[8] (net)
+                  0.04    0.00    7.62 v gpio_control_in_1[0]/_062_/B (sky130_fd_sc_hd__nand2b_2)
+                  0.08    0.09    7.71 ^ gpio_control_in_1[0]/_062_/Y (sky130_fd_sc_hd__nand2b_2)
+     1    0.01                           gpio_control_in_1[0]/_042_ (net)
+                  0.08    0.00    7.71 ^ gpio_control_in_1[0]/_066_/B1 (sky130_fd_sc_hd__o21ai_4)
+                  0.05    0.07    7.77 v gpio_control_in_1[0]/_066_/Y (sky130_fd_sc_hd__o21ai_4)
+     1    0.01                           gpio_control_in_1[0]/net16 (net)
+                  0.05    0.00    7.77 v gpio_control_in_1[0]/output16/A (sky130_fd_sc_hd__buf_16)
+                  0.04    0.13    7.91 v gpio_control_in_1[0]/output16/X (sky130_fd_sc_hd__buf_16)
+     1    0.04                           mprj_io_out[8] (net)
+                  0.04    0.00    7.91 v padframe/mprj_pads.area1_io_pad[8]/OUT (sky130_ef_io__gpiov2_pad_wrapped)
+                  0.00    3.96   11.86 v padframe/mprj_pads.area1_io_pad[8]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     1    6.12                           mprj_io[8] (net)
+                  0.00    0.00   11.86 v mprj_io[8] (inout)
+     0    6.12                           mprj_io[8] (net)
+                                 11.86   data arrival time
+
+                          0.00    0.00   clock pad_mac_tx_clk (rise edge)
+                          0.00    0.00   clock network delay (propagated)
+                          0.10    0.10   clock uncertainty
+                          0.00    0.10   clock reconvergence pessimism
+                          2.00    2.10   output external delay
+                                  2.10   data required time
+-----------------------------------------------------------------------------
+                                  2.10   data required time
+                                -11.86   data arrival time
+-----------------------------------------------------------------------------
+                                  9.76   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08533_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj_io[11] (output port clocked by pad_mac_tx_clk)
+Path Group: pad_mac_tx_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1    6.12                           mprj_io[5] (net)
+                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[5] (net)
+                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    1.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10    1.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    1.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21    1.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15    1.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    1.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27    1.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    1.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43    2.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09    2.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34    2.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    2.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15    3.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00    3.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42    3.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    3.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34    3.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    3.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20    4.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    4.12 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.17    4.29 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.14    0.00    4.30 ^ mprj/u_mac_wrap/clkbuf_4_11_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.16    4.46 ^ mprj/u_mac_wrap/clkbuf_4_11_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     7    0.02                           mprj/u_mac_wrap/clknet_4_11_0_phy_tx_clk (net)
+                  0.06    0.00    4.46 ^ mprj/u_mac_wrap/_08533_/CLK (sky130_fd_sc_hd__dfrtp_4)
+                  0.10    0.51    4.97 v mprj/u_mac_wrap/_08533_/Q (sky130_fd_sc_hd__dfrtp_4)
+     6    0.04                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_mii_intf.U_dble_reg9.in_pulse (net)
+                  0.10    0.00    4.97 v mprj/u_mac_wrap/hold65/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.14    0.65    5.62 v mprj/u_mac_wrap/hold65/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     2    0.02                           mprj/u_mac_wrap/net902 (net)
+                  0.14    0.00    5.62 v mprj/u_mac_wrap/_10266_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.16    5.78 v mprj/u_mac_wrap/_10266_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_mac_wrap/net120 (net)
+                  0.07    0.00    5.78 v mprj/u_mac_wrap/hold66/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.07    0.57    6.34 v mprj/u_mac_wrap/hold66/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net903 (net)
+                  0.07    0.00    6.34 v mprj/u_mac_wrap/output120/A (sky130_fd_sc_hd__buf_2)
+                  0.09    0.19    6.54 v mprj/u_mac_wrap/output120/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/mac_txd[3] (net)
+                  0.09    0.00    6.54 v mprj/u_pinmux/input17/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.14    0.21    6.75 v mprj/u_pinmux/input17/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.04                           mprj/u_pinmux/net17 (net)
+                  0.14    0.00    6.75 v mprj/u_pinmux/_1218_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.15    6.90 v mprj/u_pinmux/_1218_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_pinmux/net67 (net)
+                  0.06    0.00    6.90 v mprj/u_pinmux/output67/A (sky130_fd_sc_hd__buf_2)
+                  0.47    0.35    7.25 v mprj/u_pinmux/output67/X (sky130_fd_sc_hd__buf_2)
+     2    0.21                           mprj/io_out_int[11] (net)
+                  0.57    0.16    7.41 v mprj/u_rp_east/u_rp[10].u_buf/A (sky130_fd_sc_hd__buf_2)
+                  0.04    0.30    7.71 v mprj/u_rp_east/u_rp[10].u_buf/X (sky130_fd_sc_hd__buf_2)
+     2    0.01                           user_io_out[11] (net)
+                  0.04    0.00    7.71 v gpio_control_in_1[3]/_062_/B (sky130_fd_sc_hd__nand2b_2)
+                  0.08    0.09    7.80 ^ gpio_control_in_1[3]/_062_/Y (sky130_fd_sc_hd__nand2b_2)
+     1    0.01                           gpio_control_in_1[3]/_042_ (net)
+                  0.08    0.00    7.80 ^ gpio_control_in_1[3]/_066_/B1 (sky130_fd_sc_hd__o21ai_4)
+                  0.05    0.07    7.87 v gpio_control_in_1[3]/_066_/Y (sky130_fd_sc_hd__o21ai_4)
+     1    0.01                           gpio_control_in_1[3]/net16 (net)
+                  0.05    0.00    7.87 v gpio_control_in_1[3]/output16/A (sky130_fd_sc_hd__buf_16)
+                  0.04    0.13    8.00 v gpio_control_in_1[3]/output16/X (sky130_fd_sc_hd__buf_16)
+     1    0.04                           mprj_io_out[11] (net)
+                  0.04    0.00    8.00 v padframe/mprj_pads.area1_io_pad[11]/OUT (sky130_ef_io__gpiov2_pad_wrapped)
+                  0.00    3.96   11.96 v padframe/mprj_pads.area1_io_pad[11]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     1    6.12                           mprj_io[11] (net)
+                  0.00    0.00   11.96 v mprj_io[11] (inout)
+     0    6.12                           mprj_io[11] (net)
+                                 11.96   data arrival time
+
+                          0.00    0.00   clock pad_mac_tx_clk (rise edge)
+                          0.00    0.00   clock network delay (propagated)
+                          0.10    0.10   clock uncertainty
+                          0.00    0.10   clock reconvergence pessimism
+                          2.00    2.10   output external delay
+                                  2.10   data required time
+-----------------------------------------------------------------------------
+                                  2.10   data required time
+                                -11.96   data arrival time
+-----------------------------------------------------------------------------
+                                  9.86   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09875_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj_io[6] (output port clocked by pad_mac_tx_clk)
+Path Group: pad_mac_tx_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1    6.12                           mprj_io[5] (net)
+                  1.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[5] (net)
+                  0.07    1.11    1.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    1.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10    1.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    1.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21    1.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    1.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11    1.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    1.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15    1.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    1.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27    1.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    1.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43    2.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09    2.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34    2.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    2.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    3.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15    3.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00    3.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42    3.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    3.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34    3.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    3.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20    4.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    4.12 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.17    4.29 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.14    0.00    4.30 ^ mprj/u_mac_wrap/clkbuf_4_10_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.16    4.46 ^ mprj/u_mac_wrap/clkbuf_4_10_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     6    0.02                           mprj/u_mac_wrap/clknet_4_10_0_phy_tx_clk (net)
+                  0.06    0.00    4.46 ^ mprj/u_mac_wrap/_09875_/CLK (sky130_fd_sc_hd__dfrtp_4)
+                  0.11    0.52    4.98 v mprj/u_mac_wrap/_09875_/Q (sky130_fd_sc_hd__dfrtp_4)
+     6    0.04                           mprj/u_mac_wrap/net116 (net)
+                  0.11    0.00    4.98 v mprj/u_mac_wrap/hold49/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.17    0.68    5.66 v mprj/u_mac_wrap/hold49/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     2    0.03                           mprj/u_mac_wrap/net886 (net)
+                  0.17    0.00    5.67 v mprj/u_mac_wrap/output116/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.17    5.84 v mprj/u_mac_wrap/output116/X (sky130_fd_sc_hd__buf_2)
+     1    0.01                           mprj/u_mac_wrap/net887 (net)
+                  0.03    0.00    5.84 v mprj/u_mac_wrap/hold50/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.19    0.67    6.50 v mprj/u_mac_wrap/hold50/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     2    0.03                           mprj/mac_tx_en (net)
+                  0.19    0.00    6.51 v mprj/u_pinmux/input12/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.26    6.77 v mprj/u_pinmux/input12/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.04                           mprj/u_pinmux/net12 (net)
+                  0.16    0.00    6.77 v mprj/u_pinmux/_1213_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.03    0.12    6.90 v mprj/u_pinmux/_1213_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_pinmux/net71 (net)
+                  0.03    0.00    6.90 v mprj/u_pinmux/output71/A (sky130_fd_sc_hd__buf_2)
+                  0.43    0.33    7.22 v mprj/u_pinmux/output71/X (sky130_fd_sc_hd__buf_2)
+     2    0.19                           mprj/io_out_int[6] (net)
+                  0.50    0.13    7.35 v mprj/u_rp_east/wire60/A (sky130_fd_sc_hd__buf_6)
+                  0.08    0.32    7.67 v mprj/u_rp_east/wire60/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_rp_east/net60 (net)
+                  0.09    0.02    7.69 v mprj/u_rp_east/u_rp[25].u_buf/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.13    7.83 v mprj/u_rp_east/u_rp[25].u_buf/X (sky130_fd_sc_hd__buf_2)
+     2    0.01                           user_io_out[6] (net)
+                  0.03    0.00    7.83 v gpio_control_in_1a[4]/_062_/B (sky130_fd_sc_hd__nand2b_2)
+                  0.08    0.08    7.91 ^ gpio_control_in_1a[4]/_062_/Y (sky130_fd_sc_hd__nand2b_2)
+     1    0.01                           gpio_control_in_1a[4]/_042_ (net)
+                  0.08    0.00    7.91 ^ gpio_control_in_1a[4]/_066_/B1 (sky130_fd_sc_hd__o21ai_4)
+                  0.05    0.07    7.98 v gpio_control_in_1a[4]/_066_/Y (sky130_fd_sc_hd__o21ai_4)
+     1    0.01                           gpio_control_in_1a[4]/net16 (net)
+                  0.05    0.00    7.98 v gpio_control_in_1a[4]/output16/A (sky130_fd_sc_hd__buf_16)
+                  0.04    0.13    8.11 v gpio_control_in_1a[4]/output16/X (sky130_fd_sc_hd__buf_16)
+     1    0.04                           mprj_io_out[6] (net)
+                  0.04    0.00    8.11 v padframe/mprj_pads.area1_io_pad[6]/OUT (sky130_ef_io__gpiov2_pad_wrapped)
+                  0.00    3.96   12.07 v padframe/mprj_pads.area1_io_pad[6]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     1    6.12                           mprj_io[6] (net)
+                  0.00    0.00   12.07 v mprj_io[6] (inout)
+     0    6.12                           mprj_io[6] (net)
+                                 12.07   data arrival time
+
+                          0.00    0.00   clock pad_mac_tx_clk (rise edge)
+                          0.00    0.00   clock network delay (propagated)
+                          0.10    0.10   clock uncertainty
+                          0.00    0.10   clock reconvergence pessimism
+                          2.00    2.10   output external delay
+                                  2.10   data required time
+-----------------------------------------------------------------------------
+                                  2.10   data required time
+                                -12.07   data arrival time
+-----------------------------------------------------------------------------
+                                  9.97   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6459_
+            (rising edge-triggered flip-flop clocked by uart_clk)
+Endpoint: mprj/u_wb_host/_6458_
+          (rising edge-triggered flip-flop clocked by uart_clk)
+Path Group: uart_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14    0.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    0.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31    0.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.58 ^ mprj/u_wb_host/clkbuf_leaf_14_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.09    0.24    0.82 ^ mprj/u_wb_host/clkbuf_leaf_14_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.07                           mprj/u_wb_host/clknet_leaf_14_u_uart2wb.baud_clk_16x (net)
+                  0.09    0.00    0.82 ^ mprj/u_wb_host/_6459_/CLK (sky130_fd_sc_hd__dfstp_1)
+                  0.06    0.38    1.21 v mprj/u_wb_host/_6459_/Q (sky130_fd_sc_hd__dfstp_1)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.u_core.u_rxd_sync.in_data_s (net)
+                  0.06    0.00    1.21 v mprj/u_wb_host/_6458_/D (sky130_fd_sc_hd__dfstp_1)
+                                  1.21   data arrival time
+
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.00    0.62 ^ mprj/u_wb_host/clkbuf_leaf_15_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    0.88 ^ mprj/u_wb_host/clkbuf_leaf_15_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_15_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.88 ^ mprj/u_wb_host/_6458_/CLK (sky130_fd_sc_hd__dfstp_1)
+                          0.10    0.98   clock uncertainty
+                         -0.04    0.94   clock reconvergence pessimism
+                         -0.01    0.93   library hold time
+                                  0.93   data required time
+-----------------------------------------------------------------------------
+                                  0.93   data required time
+                                 -1.21   data arrival time
+-----------------------------------------------------------------------------
+                                  0.27   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5598_
+            (rising edge-triggered flip-flop clocked by uart_clk)
+Endpoint: mprj/u_wb_host/_5544_
+          (rising edge-triggered flip-flop clocked by uart_clk)
+Path Group: uart_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14    0.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    0.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31    0.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.58 ^ mprj/u_wb_host/clkbuf_leaf_9_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    0.80 ^ mprj/u_wb_host/clkbuf_leaf_9_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.05                           mprj/u_wb_host/clknet_leaf_9_u_uart2wb.baud_clk_16x (net)
+                  0.07    0.00    0.81 ^ mprj/u_wb_host/_5598_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.31    1.12 ^ mprj/u_wb_host/_5598_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_wb_host/u_uart2wb.u_msg.TxMsgBuf[123] (net)
+                  0.06    0.00    1.12 ^ mprj/u_wb_host/_3296_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.23 ^ mprj/u_wb_host/_3296_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0082_ (net)
+                  0.04    0.00    1.23 ^ mprj/u_wb_host/_5544_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  1.23   data arrival time
+
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.62 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    0.89 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_12_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.89 ^ mprj/u_wb_host/_5544_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    0.99   clock uncertainty
+                         -0.04    0.94   clock reconvergence pessimism
+                         -0.02    0.92   library hold time
+                                  0.92   data required time
+-----------------------------------------------------------------------------
+                                  0.92   data required time
+                                 -1.23   data arrival time
+-----------------------------------------------------------------------------
+                                  0.30   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5600_
+            (rising edge-triggered flip-flop clocked by uart_clk)
+Endpoint: mprj/u_wb_host/_5546_
+          (rising edge-triggered flip-flop clocked by uart_clk)
+Path Group: uart_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14    0.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    0.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31    0.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.58 ^ mprj/u_wb_host/clkbuf_leaf_9_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    0.80 ^ mprj/u_wb_host/clkbuf_leaf_9_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.05                           mprj/u_wb_host/clknet_leaf_9_u_uart2wb.baud_clk_16x (net)
+                  0.07    0.00    0.81 ^ mprj/u_wb_host/_5600_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.07    0.32    1.12 ^ mprj/u_wb_host/_5600_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.01                           mprj/u_wb_host/u_uart2wb.u_msg.TxMsgBuf[125] (net)
+                  0.07    0.00    1.12 ^ mprj/u_wb_host/_3298_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.23 ^ mprj/u_wb_host/_3298_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0084_ (net)
+                  0.04    0.00    1.23 ^ mprj/u_wb_host/_5546_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  1.23   data arrival time
+
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.62 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    0.89 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_12_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.89 ^ mprj/u_wb_host/_5546_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    0.99   clock uncertainty
+                         -0.04    0.94   clock reconvergence pessimism
+                         -0.02    0.92   library hold time
+                                  0.92   data required time
+-----------------------------------------------------------------------------
+                                  0.92   data required time
+                                 -1.23   data arrival time
+-----------------------------------------------------------------------------
+                                  0.31   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5597_
+            (rising edge-triggered flip-flop clocked by uart_clk)
+Endpoint: mprj/u_wb_host/_5543_
+          (rising edge-triggered flip-flop clocked by uart_clk)
+Path Group: uart_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14    0.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    0.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31    0.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.58 ^ mprj/u_wb_host/clkbuf_leaf_9_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    0.80 ^ mprj/u_wb_host/clkbuf_leaf_9_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.05                           mprj/u_wb_host/clknet_leaf_9_u_uart2wb.baud_clk_16x (net)
+                  0.07    0.00    0.81 ^ mprj/u_wb_host/_5597_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.07    0.32    1.13 ^ mprj/u_wb_host/_5597_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.01                           mprj/u_wb_host/u_uart2wb.u_msg.TxMsgBuf[122] (net)
+                  0.07    0.00    1.13 ^ mprj/u_wb_host/_3295_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.25 ^ mprj/u_wb_host/_3295_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0081_ (net)
+                  0.04    0.00    1.25 ^ mprj/u_wb_host/_5543_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  1.25   data arrival time
+
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.62 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    0.89 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_12_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.89 ^ mprj/u_wb_host/_5543_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    0.99   clock uncertainty
+                         -0.04    0.94   clock reconvergence pessimism
+                         -0.02    0.92   library hold time
+                                  0.92   data required time
+-----------------------------------------------------------------------------
+                                  0.92   data required time
+                                 -1.25   data arrival time
+-----------------------------------------------------------------------------
+                                  0.32   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6389_
+            (rising edge-triggered flip-flop clocked by uart_clk)
+Endpoint: mprj/u_wb_host/_6396_
+          (rising edge-triggered flip-flop clocked by uart_clk)
+Path Group: uart_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14    0.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    0.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31    0.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.58 ^ mprj/u_wb_host/clkbuf_leaf_7_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.09    0.24    0.82 ^ mprj/u_wb_host/clkbuf_leaf_7_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.07                           mprj/u_wb_host/clknet_leaf_7_u_uart2wb.baud_clk_16x (net)
+                  0.09    0.00    0.82 ^ mprj/u_wb_host/_6389_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.07    0.33    1.15 ^ mprj/u_wb_host/_6389_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.01                           mprj/u_wb_host/u_uart2wb.u_msg.TxMsgBuf[43] (net)
+                  0.07    0.00    1.15 ^ mprj/u_wb_host/_5095_/A1 (sky130_fd_sc_hd__a211o_1)
+                  0.03    0.11    1.26 ^ mprj/u_wb_host/_5095_/X (sky130_fd_sc_hd__a211o_1)
+     1    0.00                           mprj/u_wb_host/_0916_ (net)
+                  0.03    0.00    1.26 ^ mprj/u_wb_host/_6396_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  1.26   data arrival time
+
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.63 ^ mprj/u_wb_host/clkbuf_leaf_8_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.27    0.89 ^ mprj/u_wb_host/clkbuf_leaf_8_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_8_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.89 ^ mprj/u_wb_host/_6396_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    0.99   clock uncertainty
+                         -0.04    0.95   clock reconvergence pessimism
+                         -0.02    0.93   library hold time
+                                  0.93   data required time
+-----------------------------------------------------------------------------
+                                  0.93   data required time
+                                 -1.26   data arrival time
+-----------------------------------------------------------------------------
+                                  0.33   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5599_
+            (rising edge-triggered flip-flop clocked by uart_clk)
+Endpoint: mprj/u_wb_host/_5545_
+          (rising edge-triggered flip-flop clocked by uart_clk)
+Path Group: uart_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14    0.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    0.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31    0.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.58 ^ mprj/u_wb_host/clkbuf_leaf_9_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    0.80 ^ mprj/u_wb_host/clkbuf_leaf_9_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.05                           mprj/u_wb_host/clknet_leaf_9_u_uart2wb.baud_clk_16x (net)
+                  0.07    0.00    0.81 ^ mprj/u_wb_host/_5599_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.08    0.33    1.14 ^ mprj/u_wb_host/_5599_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.01                           mprj/u_wb_host/u_uart2wb.u_msg.TxMsgBuf[124] (net)
+                  0.08    0.00    1.14 ^ mprj/u_wb_host/_3297_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.26 ^ mprj/u_wb_host/_3297_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0083_ (net)
+                  0.04    0.00    1.26 ^ mprj/u_wb_host/_5545_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  1.26   data arrival time
+
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.62 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    0.89 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_12_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.89 ^ mprj/u_wb_host/_5545_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    0.99   clock uncertainty
+                         -0.04    0.94   clock reconvergence pessimism
+                         -0.02    0.92   library hold time
+                                  0.92   data required time
+-----------------------------------------------------------------------------
+                                  0.92   data required time
+                                 -1.26   data arrival time
+-----------------------------------------------------------------------------
+                                  0.33   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5547_
+            (rising edge-triggered flip-flop clocked by uart_clk)
+Endpoint: mprj/u_wb_host/_6344_
+          (rising edge-triggered flip-flop clocked by uart_clk)
+Path Group: uart_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14    0.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    0.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31    0.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.58 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.24    0.82 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_12_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.82 ^ mprj/u_wb_host/_5547_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.32    1.14 ^ mprj/u_wb_host/_5547_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_wb_host/u_uart2wb.tx_data[6] (net)
+                  0.06    0.00    1.14 ^ mprj/u_wb_host/_4963_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.26 ^ mprj/u_wb_host/_4963_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0864_ (net)
+                  0.04    0.00    1.26 ^ mprj/u_wb_host/_6344_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  1.26   data arrival time
+
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.63 ^ mprj/u_wb_host/clkbuf_leaf_13_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    0.89 ^ mprj/u_wb_host/clkbuf_leaf_13_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_13_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.89 ^ mprj/u_wb_host/_6344_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.99   clock uncertainty
+                         -0.04    0.94   clock reconvergence pessimism
+                         -0.03    0.92   library hold time
+                                  0.92   data required time
+-----------------------------------------------------------------------------
+                                  0.92   data required time
+                                 -1.26   data arrival time
+-----------------------------------------------------------------------------
+                                  0.34   slack (MET)
+
+
 Startpoint: mprj/u_wb_host/_5598_
             (rising edge-triggered flip-flop clocked by uart_clk)
 Endpoint: mprj/u_wb_host/_5598_
@@ -57113,36 +69899,60 @@
 -----------------------------------------------------------------------------
                           0.00    0.00   clock uart_clk (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.14    0.14 ^ mprj/u_wb_host/_5598_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.04    0.59    0.73 v mprj/u_wb_host/_5598_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.01                           mprj/u_wb_host/u_uart2wb.u_msg.TxMsgBuf[60] (net)
-                  0.04    0.00    0.73 v mprj/u_wb_host/_3408_/A1 (sky130_fd_sc_hd__o21a_1)
-                  0.03    0.17    0.89 v mprj/u_wb_host/_3408_/X (sky130_fd_sc_hd__o21a_1)
-     1    0.00                           mprj/u_wb_host/_0130_ (net)
-                  0.03    0.00    0.89 v mprj/u_wb_host/_5598_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  0.89   data arrival time
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14    0.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    0.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31    0.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.58 ^ mprj/u_wb_host/clkbuf_leaf_9_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    0.80 ^ mprj/u_wb_host/clkbuf_leaf_9_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.05                           mprj/u_wb_host/clknet_leaf_9_u_uart2wb.baud_clk_16x (net)
+                  0.07    0.00    0.81 ^ mprj/u_wb_host/_5598_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.31    1.12 ^ mprj/u_wb_host/_5598_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_wb_host/u_uart2wb.u_msg.TxMsgBuf[123] (net)
+                  0.06    0.00    1.12 ^ mprj/u_wb_host/_3421_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.23 ^ mprj/u_wb_host/_3421_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0136_ (net)
+                  0.04    0.00    1.23 ^ mprj/u_wb_host/_5598_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  1.23   data arrival time
 
                           0.00    0.00   clock uart_clk (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.15    0.15 ^ mprj/u_wb_host/_5598_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    0.25   clock uncertainty
-                          0.00    0.25   clock reconvergence pessimism
-                          0.20    0.45   library hold time
-                                  0.45   data required time
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.63 ^ mprj/u_wb_host/clkbuf_leaf_9_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.24    0.87 ^ mprj/u_wb_host/clkbuf_leaf_9_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.05                           mprj/u_wb_host/clknet_leaf_9_u_uart2wb.baud_clk_16x (net)
+                  0.07    0.00    0.87 ^ mprj/u_wb_host/_5598_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    0.97   clock uncertainty
+                         -0.06    0.91   clock reconvergence pessimism
+                         -0.03    0.88   library hold time
+                                  0.88   data required time
 -----------------------------------------------------------------------------
-                                  0.45   data required time
-                                 -0.89   data arrival time
+                                  0.88   data required time
+                                 -1.23   data arrival time
 -----------------------------------------------------------------------------
-                                  0.44   slack (MET)
+                                  0.35   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_6352_
+Startpoint: mprj/u_wb_host/_6308_
             (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6352_
+Endpoint: mprj/u_wb_host/_6312_
           (rising edge-triggered flip-flop clocked by uart_clk)
 Path Group: uart_clk
 Path Type: min
@@ -57151,36 +69961,60 @@
 -----------------------------------------------------------------------------
                           0.00    0.00   clock uart_clk (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.15    0.15 ^ mprj/u_wb_host/_6352_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.04    0.58    0.74 v mprj/u_wb_host/_6352_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.01                           mprj/u_wb_host/u_uart2wb.u_msg.TxMsgBuf[65] (net)
-                  0.04    0.00    0.74 v mprj/u_wb_host/_4984_/A1 (sky130_fd_sc_hd__o21a_1)
-                  0.03    0.17    0.90 v mprj/u_wb_host/_4984_/X (sky130_fd_sc_hd__o21a_1)
-     1    0.00                           mprj/u_wb_host/_0866_ (net)
-                  0.03    0.00    0.90 v mprj/u_wb_host/_6352_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  0.90   data arrival time
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14    0.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.14 ^ mprj/u_wb_host/clkbuf_1_0_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    0.26 ^ mprj/u_wb_host/clkbuf_1_0_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.26 ^ mprj/u_wb_host/clkbuf_1_0_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.25    0.26    0.52 ^ mprj/u_wb_host/clkbuf_1_0_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.14                           mprj/u_wb_host/clknet_1_0_1_u_uart2wb.baud_clk_16x (net)
+                  0.25    0.01    0.53 ^ mprj/u_wb_host/clkbuf_leaf_19_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.19    0.72 ^ mprj/u_wb_host/clkbuf_leaf_19_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.02                           mprj/u_wb_host/clknet_leaf_19_u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.72 ^ mprj/u_wb_host/_6308_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.10    0.35    1.07 ^ mprj/u_wb_host/_6308_/Q (sky130_fd_sc_hd__dfrtp_1)
+     3    0.01                           mprj/u_wb_host/u_uart2wb.reg_wdata[4] (net)
+                  0.10    0.00    1.07 ^ mprj/u_wb_host/_4928_/B2 (sky130_fd_sc_hd__a22o_1)
+                  0.05    0.13    1.20 ^ mprj/u_wb_host/_4928_/X (sky130_fd_sc_hd__a22o_1)
+     1    0.00                           mprj/u_wb_host/_0832_ (net)
+                  0.05    0.00    1.20 ^ mprj/u_wb_host/_6312_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  1.20   data arrival time
 
                           0.00    0.00   clock uart_clk (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.17    0.17 ^ mprj/u_wb_host/_6352_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    0.27   clock uncertainty
-                          0.00    0.27   clock reconvergence pessimism
-                          0.19    0.46   library hold time
-                                  0.46   data required time
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_0_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_0_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_0_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.25    0.28    0.56 ^ mprj/u_wb_host/clkbuf_1_0_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.14                           mprj/u_wb_host/clknet_1_0_1_u_uart2wb.baud_clk_16x (net)
+                  0.25    0.01    0.57 ^ mprj/u_wb_host/clkbuf_leaf_18_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.25    0.82 ^ mprj/u_wb_host/clkbuf_leaf_18_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           mprj/u_wb_host/clknet_leaf_18_u_uart2wb.baud_clk_16x (net)
+                  0.11    0.00    0.82 ^ mprj/u_wb_host/_6312_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.92   clock uncertainty
+                         -0.04    0.88   clock reconvergence pessimism
+                         -0.03    0.85   library hold time
+                                  0.85   data required time
 -----------------------------------------------------------------------------
-                                  0.46   data required time
-                                 -0.90   data arrival time
+                                  0.85   data required time
+                                 -1.20   data arrival time
 -----------------------------------------------------------------------------
-                                  0.44   slack (MET)
+                                  0.35   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_6472_
+Startpoint: mprj/u_wb_host/_6314_
             (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6472_
+Endpoint: mprj/u_wb_host/_6318_
           (rising edge-triggered flip-flop clocked by uart_clk)
 Path Group: uart_clk
 Path Type: min
@@ -57189,36 +70023,60 @@
 -----------------------------------------------------------------------------
                           0.00    0.00   clock uart_clk (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.28    0.07    0.07 ^ mprj/u_wb_host/_6472_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.13    0.68    0.76 ^ mprj/u_wb_host/_6472_/Q (sky130_fd_sc_hd__dfrtp_1)
-     4    0.01                           mprj/u_wb_host/u_uart2wb.u_async_reg_bus.in_timer[6] (net)
-                  0.13    0.00    0.76 ^ mprj/u_wb_host/_5331_/A1 (sky130_fd_sc_hd__a21oi_1)
-                  0.03    0.07    0.83 v mprj/u_wb_host/_5331_/Y (sky130_fd_sc_hd__a21oi_1)
-     1    0.00                           mprj/u_wb_host/_0972_ (net)
-                  0.03    0.00    0.83 v mprj/u_wb_host/_6472_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  0.83   data arrival time
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14    0.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.14 ^ mprj/u_wb_host/clkbuf_1_0_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    0.26 ^ mprj/u_wb_host/clkbuf_1_0_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.26 ^ mprj/u_wb_host/clkbuf_1_0_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.25    0.26    0.52 ^ mprj/u_wb_host/clkbuf_1_0_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.14                           mprj/u_wb_host/clknet_1_0_1_u_uart2wb.baud_clk_16x (net)
+                  0.25    0.01    0.53 ^ mprj/u_wb_host/clkbuf_leaf_19_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.19    0.72 ^ mprj/u_wb_host/clkbuf_leaf_19_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.02                           mprj/u_wb_host/clknet_leaf_19_u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.72 ^ mprj/u_wb_host/_6314_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.11    0.36    1.07 ^ mprj/u_wb_host/_6314_/Q (sky130_fd_sc_hd__dfrtp_1)
+     3    0.01                           mprj/u_wb_host/u_uart2wb.reg_wdata[10] (net)
+                  0.11    0.00    1.07 ^ mprj/u_wb_host/_4934_/B2 (sky130_fd_sc_hd__a22o_1)
+                  0.04    0.13    1.20 ^ mprj/u_wb_host/_4934_/X (sky130_fd_sc_hd__a22o_1)
+     1    0.00                           mprj/u_wb_host/_0838_ (net)
+                  0.04    0.00    1.20 ^ mprj/u_wb_host/_6318_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  1.20   data arrival time
 
                           0.00    0.00   clock uart_clk (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.28    0.08    0.08 ^ mprj/u_wb_host/_6472_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    0.18   clock uncertainty
-                          0.00    0.18   clock reconvergence pessimism
-                          0.20    0.38   library hold time
-                                  0.38   data required time
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_0_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_0_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_0_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.25    0.28    0.56 ^ mprj/u_wb_host/clkbuf_1_0_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.14                           mprj/u_wb_host/clknet_1_0_1_u_uart2wb.baud_clk_16x (net)
+                  0.25    0.01    0.57 ^ mprj/u_wb_host/clkbuf_leaf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.25    0.82 ^ mprj/u_wb_host/clkbuf_leaf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_0_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.82 ^ mprj/u_wb_host/_6318_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.92   clock uncertainty
+                         -0.04    0.88   clock reconvergence pessimism
+                         -0.03    0.85   library hold time
+                                  0.85   data required time
 -----------------------------------------------------------------------------
-                                  0.38   data required time
-                                 -0.83   data arrival time
+                                  0.85   data required time
+                                 -1.20   data arrival time
 -----------------------------------------------------------------------------
-                                  0.45   slack (MET)
+                                  0.35   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_6378_
+Startpoint: mprj/u_wb_host/_5546_
             (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6378_
+Endpoint: mprj/u_wb_host/_6343_
           (rising edge-triggered flip-flop clocked by uart_clk)
 Path Group: uart_clk
 Path Type: min
@@ -57227,338 +70085,55 @@
 -----------------------------------------------------------------------------
                           0.00    0.00   clock uart_clk (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.15    0.15 ^ mprj/u_wb_host/_6378_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.07    0.61    0.76 v mprj/u_wb_host/_6378_/Q (sky130_fd_sc_hd__dfxtp_1)
-     3    0.01                           mprj/u_wb_host/u_uart2wb.u_msg.TxMsgBuf[12] (net)
-                  0.07    0.00    0.76 v mprj/u_wb_host/_5066_/A1 (sky130_fd_sc_hd__a21o_1)
-                  0.03    0.15    0.91 v mprj/u_wb_host/_5066_/X (sky130_fd_sc_hd__a21o_1)
-     1    0.00                           mprj/u_wb_host/_0892_ (net)
-                  0.03    0.00    0.91 v mprj/u_wb_host/_6378_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  0.91   data arrival time
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14    0.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    0.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31    0.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.58 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.24    0.82 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_12_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.82 ^ mprj/u_wb_host/_5546_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.07    0.33    1.15 ^ mprj/u_wb_host/_5546_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.01                           mprj/u_wb_host/u_uart2wb.tx_data[5] (net)
+                  0.07    0.00    1.15 ^ mprj/u_wb_host/_4962_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.27 ^ mprj/u_wb_host/_4962_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0863_ (net)
+                  0.04    0.00    1.27 ^ mprj/u_wb_host/_6343_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  1.27   data arrival time
 
                           0.00    0.00   clock uart_clk (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.17    0.17 ^ mprj/u_wb_host/_6378_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    0.27   clock uncertainty
-                          0.00    0.27   clock reconvergence pessimism
-                          0.19    0.46   library hold time
-                                  0.46   data required time
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.63 ^ mprj/u_wb_host/clkbuf_leaf_13_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    0.89 ^ mprj/u_wb_host/clkbuf_leaf_13_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_13_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.89 ^ mprj/u_wb_host/_6343_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.99   clock uncertainty
+                         -0.04    0.95   clock reconvergence pessimism
+                         -0.03    0.92   library hold time
+                                  0.92   data required time
 -----------------------------------------------------------------------------
-                                  0.46   data required time
-                                 -0.91   data arrival time
+                                  0.92   data required time
+                                 -1.27   data arrival time
 -----------------------------------------------------------------------------
-                                  0.45   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6474_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6474_
-          (rising edge-triggered flip-flop clocked by uart_clk)
-Path Group: uart_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.28    0.07    0.07 ^ mprj/u_wb_host/_6474_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.07    0.67    0.74 v mprj/u_wb_host/_6474_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_wb_host/u_uart2wb.u_async_reg_bus.in_timer[8] (net)
-                  0.07    0.00    0.74 v mprj/u_wb_host/_2712_/A (sky130_fd_sc_hd__inv_2)
-                  0.03    0.05    0.79 ^ mprj/u_wb_host/_2712_/Y (sky130_fd_sc_hd__inv_2)
-     1    0.00                           mprj/u_wb_host/_1128_ (net)
-                  0.03    0.00    0.79 ^ mprj/u_wb_host/_5335_/A1 (sky130_fd_sc_hd__a21boi_1)
-                  0.04    0.04    0.83 v mprj/u_wb_host/_5335_/Y (sky130_fd_sc_hd__a21boi_1)
-     1    0.00                           mprj/u_wb_host/_0974_ (net)
-                  0.04    0.00    0.83 v mprj/u_wb_host/_6474_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  0.83   data arrival time
-
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.28    0.08    0.08 ^ mprj/u_wb_host/_6474_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    0.18   clock uncertainty
-                          0.00    0.18   clock reconvergence pessimism
-                          0.20    0.38   library hold time
-                                  0.38   data required time
------------------------------------------------------------------------------
-                                  0.38   data required time
-                                 -0.83   data arrival time
------------------------------------------------------------------------------
-                                  0.45   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6387_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6394_
-          (rising edge-triggered flip-flop clocked by uart_clk)
-Path Group: uart_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.16    0.16 ^ mprj/u_wb_host/_6387_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.04    0.58    0.74 v mprj/u_wb_host/_6387_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.01                           mprj/u_wb_host/u_uart2wb.u_msg.TxMsgBuf[34] (net)
-                  0.04    0.00    0.74 v mprj/u_wb_host/_5088_/B2 (sky130_fd_sc_hd__a22o_1)
-                  0.04    0.18    0.92 v mprj/u_wb_host/_5088_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_wb_host/_0908_ (net)
-                  0.04    0.00    0.92 v mprj/u_wb_host/_6394_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  0.92   data arrival time
-
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.17    0.17 ^ mprj/u_wb_host/_6394_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    0.27   clock uncertainty
-                          0.00    0.27   clock reconvergence pessimism
-                          0.19    0.46   library hold time
-                                  0.46   data required time
------------------------------------------------------------------------------
-                                  0.46   data required time
-                                 -0.92   data arrival time
------------------------------------------------------------------------------
-                                  0.45   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5595_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_5595_
-          (rising edge-triggered flip-flop clocked by uart_clk)
-Path Group: uart_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.17    0.17 ^ mprj/u_wb_host/_5595_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.05    0.59    0.76 v mprj/u_wb_host/_5595_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.01                           mprj/u_wb_host/u_uart2wb.u_msg.TxMsgBuf[57] (net)
-                  0.05    0.00    0.76 v mprj/u_wb_host/_3400_/A1 (sky130_fd_sc_hd__o21a_1)
-                  0.03    0.17    0.93 v mprj/u_wb_host/_3400_/X (sky130_fd_sc_hd__o21a_1)
-     1    0.00                           mprj/u_wb_host/_0127_ (net)
-                  0.03    0.00    0.93 v mprj/u_wb_host/_5595_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  0.93   data arrival time
-
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.19    0.19 ^ mprj/u_wb_host/_5595_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    0.29   clock uncertainty
-                          0.00    0.29   clock reconvergence pessimism
-                          0.19    0.48   library hold time
-                                  0.48   data required time
------------------------------------------------------------------------------
-                                  0.48   data required time
-                                 -0.93   data arrival time
------------------------------------------------------------------------------
-                                  0.45   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6437_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6437_
-          (rising edge-triggered flip-flop clocked by uart_clk)
-Path Group: uart_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.16    0.16 ^ mprj/u_wb_host/_6437_/CLK (sky130_fd_sc_hd__dfrtp_2)
-                  0.11    0.70    0.86 ^ mprj/u_wb_host/_6437_/Q (sky130_fd_sc_hd__dfrtp_2)
-     5    0.02                           mprj/u_wb_host/u_uart2wb.u_core.u_rxfsm.offset[1] (net)
-                  0.11    0.00    0.86 ^ mprj/u_wb_host/_3098_/B (sky130_fd_sc_hd__xor2_1)
-                  0.04    0.07    0.93 v mprj/u_wb_host/_3098_/X (sky130_fd_sc_hd__xor2_1)
-     1    0.00                           mprj/u_wb_host/_0021_ (net)
-                  0.04    0.00    0.93 v mprj/u_wb_host/_6437_/D (sky130_fd_sc_hd__dfrtp_2)
-                                  0.93   data arrival time
-
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.17    0.17 ^ mprj/u_wb_host/_6437_/CLK (sky130_fd_sc_hd__dfrtp_2)
-                          0.10    0.27   clock uncertainty
-                          0.00    0.27   clock reconvergence pessimism
-                          0.21    0.48   library hold time
-                                  0.48   data required time
------------------------------------------------------------------------------
-                                  0.48   data required time
-                                 -0.93   data arrival time
------------------------------------------------------------------------------
-                                  0.45   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5597_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_5597_
-          (rising edge-triggered flip-flop clocked by uart_clk)
-Path Group: uart_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.28    0.13    0.13 ^ mprj/u_wb_host/_5597_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.05    0.59    0.72 v mprj/u_wb_host/_5597_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.01                           mprj/u_wb_host/u_uart2wb.u_msg.TxMsgBuf[59] (net)
-                  0.05    0.00    0.72 v mprj/u_wb_host/_3406_/A1 (sky130_fd_sc_hd__o21a_1)
-                  0.03    0.17    0.89 v mprj/u_wb_host/_3406_/X (sky130_fd_sc_hd__o21a_1)
-     1    0.00                           mprj/u_wb_host/_0129_ (net)
-                  0.03    0.00    0.89 v mprj/u_wb_host/_5597_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  0.89   data arrival time
-
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.28    0.14    0.14 ^ mprj/u_wb_host/_5597_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    0.24   clock uncertainty
-                          0.00    0.24   clock reconvergence pessimism
-                          0.20    0.43   library hold time
-                                  0.43   data required time
------------------------------------------------------------------------------
-                                  0.43   data required time
-                                 -0.89   data arrival time
------------------------------------------------------------------------------
-                                  0.46   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6360_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6360_
-          (rising edge-triggered flip-flop clocked by uart_clk)
-Path Group: uart_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.16    0.16 ^ mprj/u_wb_host/_6360_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.05    0.59    0.75 v mprj/u_wb_host/_6360_/Q (sky130_fd_sc_hd__dfxtp_1)
-     3    0.01                           mprj/u_wb_host/u_uart2wb.u_msg.TxMsgSize[2] (net)
-                  0.05    0.00    0.75 v mprj/u_wb_host/_5005_/A1 (sky130_fd_sc_hd__o21a_1)
-                  0.03    0.17    0.93 v mprj/u_wb_host/_5005_/X (sky130_fd_sc_hd__o21a_1)
-     1    0.00                           mprj/u_wb_host/_0874_ (net)
-                  0.03    0.00    0.93 v mprj/u_wb_host/_6360_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  0.93   data arrival time
-
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.17    0.17 ^ mprj/u_wb_host/_6360_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    0.27   clock uncertainty
-                          0.00    0.27   clock reconvergence pessimism
-                          0.19    0.47   library hold time
-                                  0.47   data required time
------------------------------------------------------------------------------
-                                  0.47   data required time
-                                 -0.93   data arrival time
------------------------------------------------------------------------------
-                                  0.46   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5630_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_5630_
-          (rising edge-triggered flip-flop clocked by uart_clk)
-Path Group: uart_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.27    0.03    0.03 ^ mprj/u_wb_host/_5630_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.04    0.59    0.61 v mprj/u_wb_host/_5630_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.01                           mprj/u_wb_host/u_uart2wb.u_msg.TxMsgBuf[105] (net)
-                  0.04    0.00    0.61 v mprj/u_wb_host/_3522_/A1 (sky130_fd_sc_hd__o21a_1)
-                  0.03    0.17    0.78 v mprj/u_wb_host/_3522_/X (sky130_fd_sc_hd__o21a_1)
-     1    0.00                           mprj/u_wb_host/_0162_ (net)
-                  0.03    0.00    0.78 v mprj/u_wb_host/_5630_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  0.78   data arrival time
-
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.27    0.03    0.03 ^ mprj/u_wb_host/_5630_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    0.13   clock uncertainty
-                          0.00    0.13   clock reconvergence pessimism
-                          0.19    0.32   library hold time
-                                  0.32   data required time
------------------------------------------------------------------------------
-                                  0.32   data required time
-                                 -0.78   data arrival time
------------------------------------------------------------------------------
-                                  0.46   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6436_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6436_
-          (rising edge-triggered flip-flop clocked by uart_clk)
-Path Group: uart_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.15    0.15 ^ mprj/u_wb_host/_6436_/CLK (sky130_fd_sc_hd__dfrtp_2)
-                  0.14    0.72    0.88 ^ mprj/u_wb_host/_6436_/Q (sky130_fd_sc_hd__dfrtp_2)
-     6    0.03                           mprj/u_wb_host/u_uart2wb.u_core.u_rxfsm.offset[0] (net)
-                  0.14    0.00    0.88 ^ mprj/u_wb_host/_2690_/A (sky130_fd_sc_hd__clkinv_2)
-                  0.04    0.05    0.93 v mprj/u_wb_host/_2690_/Y (sky130_fd_sc_hd__clkinv_2)
-     1    0.00                           mprj/u_wb_host/_0020_ (net)
-                  0.04    0.00    0.93 v mprj/u_wb_host/_6436_/D (sky130_fd_sc_hd__dfrtp_2)
-                                  0.93   data arrival time
-
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.17    0.17 ^ mprj/u_wb_host/_6436_/CLK (sky130_fd_sc_hd__dfrtp_2)
-                          0.10    0.27   clock uncertainty
-                          0.00    0.27   clock reconvergence pessimism
-                          0.21    0.47   library hold time
-                                  0.47   data required time
------------------------------------------------------------------------------
-                                  0.47   data required time
-                                 -0.93   data arrival time
------------------------------------------------------------------------------
-                                  0.46   slack (MET)
+                                  0.35   slack (MET)
 
 
 Startpoint: mprj/u_wb_host/_5615_
@@ -57572,36 +70147,60 @@
 -----------------------------------------------------------------------------
                           0.00    0.00   clock uart_clk (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.27    0.03    0.03 ^ mprj/u_wb_host/_5615_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.04    0.59    0.62 v mprj/u_wb_host/_5615_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.01                           mprj/u_wb_host/u_uart2wb.u_msg.TxMsgBuf[80] (net)
-                  0.04    0.00    0.62 v mprj/u_wb_host/_3469_/A1 (sky130_fd_sc_hd__o21a_1)
-                  0.03    0.17    0.78 v mprj/u_wb_host/_3469_/X (sky130_fd_sc_hd__o21a_1)
-     1    0.00                           mprj/u_wb_host/_0147_ (net)
-                  0.03    0.00    0.78 v mprj/u_wb_host/_5615_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  0.78   data arrival time
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14    0.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    0.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31    0.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.58 ^ mprj/u_wb_host/clkbuf_leaf_6_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.25    0.82 ^ mprj/u_wb_host/clkbuf_leaf_6_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_6_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.82 ^ mprj/u_wb_host/_5615_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.07    0.33    1.16 ^ mprj/u_wb_host/_5615_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.01                           mprj/u_wb_host/u_uart2wb.u_msg.TxMsgBuf[86] (net)
+                  0.07    0.00    1.16 ^ mprj/u_wb_host/_3476_/A1 (sky130_fd_sc_hd__a21o_1)
+                  0.03    0.10    1.25 ^ mprj/u_wb_host/_3476_/X (sky130_fd_sc_hd__a21o_1)
+     1    0.00                           mprj/u_wb_host/_0153_ (net)
+                  0.03    0.00    1.25 ^ mprj/u_wb_host/_5615_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  1.25   data arrival time
 
                           0.00    0.00   clock uart_clk (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.27    0.03    0.03 ^ mprj/u_wb_host/_5615_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    0.13   clock uncertainty
-                          0.00    0.13   clock reconvergence pessimism
-                          0.19    0.33   library hold time
-                                  0.33   data required time
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.62 ^ mprj/u_wb_host/clkbuf_leaf_6_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.27    0.89 ^ mprj/u_wb_host/clkbuf_leaf_6_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_6_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.89 ^ mprj/u_wb_host/_5615_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    0.99   clock uncertainty
+                         -0.06    0.93   clock reconvergence pessimism
+                         -0.02    0.90   library hold time
+                                  0.90   data required time
 -----------------------------------------------------------------------------
-                                  0.33   data required time
-                                 -0.78   data arrival time
+                                  0.90   data required time
+                                 -1.25   data arrival time
 -----------------------------------------------------------------------------
-                                  0.46   slack (MET)
+                                  0.35   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_6355_
+Startpoint: mprj/u_wb_host/_5601_
             (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6355_
+Endpoint: mprj/u_wb_host/_5601_
           (rising edge-triggered flip-flop clocked by uart_clk)
 Path Group: uart_clk
 Path Type: min
@@ -57610,36 +70209,60 @@
 -----------------------------------------------------------------------------
                           0.00    0.00   clock uart_clk (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.14    0.14 ^ mprj/u_wb_host/_6355_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.05    0.60    0.73 v mprj/u_wb_host/_6355_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.01                           mprj/u_wb_host/u_uart2wb.u_msg.TxMsgBuf[68] (net)
-                  0.05    0.00    0.73 v mprj/u_wb_host/_4992_/A1 (sky130_fd_sc_hd__o21a_1)
-                  0.02    0.17    0.90 v mprj/u_wb_host/_4992_/X (sky130_fd_sc_hd__o21a_1)
-     1    0.00                           mprj/u_wb_host/_0869_ (net)
-                  0.02    0.00    0.90 v mprj/u_wb_host/_6355_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  0.90   data arrival time
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14    0.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    0.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31    0.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.58 ^ mprj/u_wb_host/clkbuf_leaf_10_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.25    0.83 ^ mprj/u_wb_host/clkbuf_leaf_10_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           mprj/u_wb_host/clknet_leaf_10_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.83 ^ mprj/u_wb_host/_5601_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.07    0.33    1.16 ^ mprj/u_wb_host/_5601_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.01                           mprj/u_wb_host/u_uart2wb.u_msg.TxMsgBuf[126] (net)
+                  0.07    0.00    1.16 ^ mprj/u_wb_host/_3428_/A1 (sky130_fd_sc_hd__a21o_1)
+                  0.03    0.10    1.26 ^ mprj/u_wb_host/_3428_/X (sky130_fd_sc_hd__a21o_1)
+     1    0.00                           mprj/u_wb_host/_0139_ (net)
+                  0.03    0.00    1.26 ^ mprj/u_wb_host/_5601_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  1.26   data arrival time
 
                           0.00    0.00   clock uart_clk (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.15    0.15 ^ mprj/u_wb_host/_6355_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    0.25   clock uncertainty
-                          0.00    0.25   clock reconvergence pessimism
-                          0.20    0.44   library hold time
-                                  0.44   data required time
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.62 ^ mprj/u_wb_host/clkbuf_leaf_10_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.27    0.89 ^ mprj/u_wb_host/clkbuf_leaf_10_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           mprj/u_wb_host/clknet_leaf_10_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.89 ^ mprj/u_wb_host/_5601_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    0.99   clock uncertainty
+                         -0.06    0.93   clock reconvergence pessimism
+                         -0.02    0.91   library hold time
+                                  0.91   data required time
 -----------------------------------------------------------------------------
-                                  0.44   data required time
-                                 -0.90   data arrival time
+                                  0.91   data required time
+                                 -1.26   data arrival time
 -----------------------------------------------------------------------------
-                                  0.46   slack (MET)
+                                  0.35   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_5594_
+Startpoint: mprj/u_wb_host/_5601_
             (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_5594_
+Endpoint: mprj/u_wb_host/_5547_
           (rising edge-triggered flip-flop clocked by uart_clk)
 Path Group: uart_clk
 Path Type: min
@@ -57648,36 +70271,745 @@
 -----------------------------------------------------------------------------
                           0.00    0.00   clock uart_clk (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.14    0.14 ^ mprj/u_wb_host/_5594_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.05    0.60    0.74 v mprj/u_wb_host/_5594_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.01                           mprj/u_wb_host/u_uart2wb.u_msg.TxMsgBuf[56] (net)
-                  0.05    0.00    0.74 v mprj/u_wb_host/_3388_/A1 (sky130_fd_sc_hd__o21a_1)
-                  0.02    0.17    0.90 v mprj/u_wb_host/_3388_/X (sky130_fd_sc_hd__o21a_1)
-     1    0.00                           mprj/u_wb_host/_0126_ (net)
-                  0.02    0.00    0.90 v mprj/u_wb_host/_5594_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  0.90   data arrival time
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14    0.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    0.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31    0.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.58 ^ mprj/u_wb_host/clkbuf_leaf_10_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.25    0.83 ^ mprj/u_wb_host/clkbuf_leaf_10_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           mprj/u_wb_host/clknet_leaf_10_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.83 ^ mprj/u_wb_host/_5601_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.07    0.33    1.16 ^ mprj/u_wb_host/_5601_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.01                           mprj/u_wb_host/u_uart2wb.u_msg.TxMsgBuf[126] (net)
+                  0.07    0.00    1.16 ^ mprj/u_wb_host/_3299_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.27 ^ mprj/u_wb_host/_3299_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0085_ (net)
+                  0.04    0.00    1.27 ^ mprj/u_wb_host/_5547_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  1.27   data arrival time
 
                           0.00    0.00   clock uart_clk (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.15    0.15 ^ mprj/u_wb_host/_5594_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    0.25   clock uncertainty
-                          0.00    0.25   clock reconvergence pessimism
-                          0.20    0.45   library hold time
-                                  0.45   data required time
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.62 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    0.89 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_12_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.89 ^ mprj/u_wb_host/_5547_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    0.99   clock uncertainty
+                         -0.04    0.94   clock reconvergence pessimism
+                         -0.02    0.92   library hold time
+                                  0.92   data required time
 -----------------------------------------------------------------------------
-                                  0.45   data required time
-                                 -0.90   data arrival time
+                                  0.92   data required time
+                                 -1.27   data arrival time
 -----------------------------------------------------------------------------
-                                  0.46   slack (MET)
+                                  0.35   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5545_
+            (rising edge-triggered flip-flop clocked by uart_clk)
+Endpoint: mprj/u_wb_host/_6342_
+          (rising edge-triggered flip-flop clocked by uart_clk)
+Path Group: uart_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14    0.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    0.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31    0.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.58 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.24    0.82 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_12_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.82 ^ mprj/u_wb_host/_5545_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.07    0.33    1.15 ^ mprj/u_wb_host/_5545_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.01                           mprj/u_wb_host/u_uart2wb.tx_data[4] (net)
+                  0.07    0.00    1.15 ^ mprj/u_wb_host/_4961_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.27 ^ mprj/u_wb_host/_4961_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0862_ (net)
+                  0.04    0.00    1.27 ^ mprj/u_wb_host/_6342_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  1.27   data arrival time
+
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.63 ^ mprj/u_wb_host/clkbuf_leaf_13_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    0.89 ^ mprj/u_wb_host/clkbuf_leaf_13_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_13_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.89 ^ mprj/u_wb_host/_6342_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.99   clock uncertainty
+                         -0.04    0.95   clock reconvergence pessimism
+                         -0.03    0.92   library hold time
+                                  0.92   data required time
+-----------------------------------------------------------------------------
+                                  0.92   data required time
+                                 -1.27   data arrival time
+-----------------------------------------------------------------------------
+                                  0.35   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5544_
+            (rising edge-triggered flip-flop clocked by uart_clk)
+Endpoint: mprj/u_wb_host/_6341_
+          (rising edge-triggered flip-flop clocked by uart_clk)
+Path Group: uart_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14    0.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    0.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31    0.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.58 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.24    0.82 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_12_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.82 ^ mprj/u_wb_host/_5544_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.07    0.33    1.15 ^ mprj/u_wb_host/_5544_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.01                           mprj/u_wb_host/u_uart2wb.tx_data[3] (net)
+                  0.07    0.00    1.15 ^ mprj/u_wb_host/_4960_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.27 ^ mprj/u_wb_host/_4960_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0861_ (net)
+                  0.04    0.00    1.27 ^ mprj/u_wb_host/_6341_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  1.27   data arrival time
+
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.63 ^ mprj/u_wb_host/clkbuf_leaf_13_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    0.89 ^ mprj/u_wb_host/clkbuf_leaf_13_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_13_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.89 ^ mprj/u_wb_host/_6341_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.99   clock uncertainty
+                         -0.04    0.95   clock reconvergence pessimism
+                         -0.03    0.92   library hold time
+                                  0.92   data required time
+-----------------------------------------------------------------------------
+                                  0.92   data required time
+                                 -1.27   data arrival time
+-----------------------------------------------------------------------------
+                                  0.35   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5895_
+            (rising edge-triggered flip-flop clocked by uart_clk)
+Endpoint: mprj/u_wb_host/_5895_
+          (rising edge-triggered flip-flop clocked by uart_clk)
+Path Group: uart_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14    0.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    0.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31    0.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.58 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.24    0.82 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_12_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.83 ^ mprj/u_wb_host/_5895_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.32    1.15 ^ mprj/u_wb_host/_5895_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_wb_host/u_uart2wb.u_msg.cmd[13] (net)
+                  0.06    0.00    1.15 ^ mprj/u_wb_host/_4312_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.25 ^ mprj/u_wb_host/_4312_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0424_ (net)
+                  0.04    0.00    1.25 ^ mprj/u_wb_host/_5895_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  1.25   data arrival time
+
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.62 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    0.89 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_12_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.89 ^ mprj/u_wb_host/_5895_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    0.99   clock uncertainty
+                         -0.06    0.93   clock reconvergence pessimism
+                         -0.02    0.90   library hold time
+                                  0.90   data required time
+-----------------------------------------------------------------------------
+                                  0.90   data required time
+                                 -1.25   data arrival time
+-----------------------------------------------------------------------------
+                                  0.35   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5542_
+            (rising edge-triggered flip-flop clocked by uart_clk)
+Endpoint: mprj/u_wb_host/_6339_
+          (rising edge-triggered flip-flop clocked by uart_clk)
+Path Group: uart_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14    0.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    0.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31    0.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.58 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.24    0.82 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_12_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.82 ^ mprj/u_wb_host/_5542_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.32    1.15 ^ mprj/u_wb_host/_5542_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.01                           mprj/u_wb_host/u_uart2wb.tx_data[1] (net)
+                  0.06    0.00    1.15 ^ mprj/u_wb_host/_4958_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.27 ^ mprj/u_wb_host/_4958_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0859_ (net)
+                  0.04    0.00    1.27 ^ mprj/u_wb_host/_6339_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  1.27   data arrival time
+
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.63 ^ mprj/u_wb_host/clkbuf_leaf_13_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    0.89 ^ mprj/u_wb_host/clkbuf_leaf_13_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_13_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.89 ^ mprj/u_wb_host/_6339_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.99   clock uncertainty
+                         -0.04    0.94   clock reconvergence pessimism
+                         -0.03    0.92   library hold time
+                                  0.92   data required time
+-----------------------------------------------------------------------------
+                                  0.92   data required time
+                                 -1.27   data arrival time
+-----------------------------------------------------------------------------
+                                  0.35   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6384_
+            (rising edge-triggered flip-flop clocked by uart_clk)
+Endpoint: mprj/u_wb_host/_6391_
+          (rising edge-triggered flip-flop clocked by uart_clk)
+Path Group: uart_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14    0.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    0.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31    0.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.58 ^ mprj/u_wb_host/clkbuf_leaf_9_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    0.80 ^ mprj/u_wb_host/clkbuf_leaf_9_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.05                           mprj/u_wb_host/clknet_leaf_9_u_uart2wb.baud_clk_16x (net)
+                  0.07    0.00    0.80 ^ mprj/u_wb_host/_6384_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.07    0.32    1.13 ^ mprj/u_wb_host/_6384_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.01                           mprj/u_wb_host/u_uart2wb.u_msg.TxMsgBuf[37] (net)
+                  0.07    0.00    1.13 ^ mprj/u_wb_host/_5086_/B2 (sky130_fd_sc_hd__o22a_1)
+                  0.04    0.11    1.23 ^ mprj/u_wb_host/_5086_/X (sky130_fd_sc_hd__o22a_1)
+     1    0.00                           mprj/u_wb_host/_0911_ (net)
+                  0.04    0.00    1.23 ^ mprj/u_wb_host/_6391_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  1.23   data arrival time
+
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.63 ^ mprj/u_wb_host/clkbuf_leaf_9_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.24    0.87 ^ mprj/u_wb_host/clkbuf_leaf_9_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.05                           mprj/u_wb_host/clknet_leaf_9_u_uart2wb.baud_clk_16x (net)
+                  0.07    0.00    0.87 ^ mprj/u_wb_host/_6391_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    0.97   clock uncertainty
+                         -0.06    0.90   clock reconvergence pessimism
+                         -0.03    0.88   library hold time
+                                  0.88   data required time
+-----------------------------------------------------------------------------
+                                  0.88   data required time
+                                 -1.23   data arrival time
+-----------------------------------------------------------------------------
+                                  0.35   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5581_
+            (rising edge-triggered flip-flop clocked by uart_clk)
+Endpoint: mprj/u_wb_host/_5581_
+          (rising edge-triggered flip-flop clocked by uart_clk)
+Path Group: uart_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14    0.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    0.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31    0.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.00    0.57 ^ mprj/u_wb_host/clkbuf_leaf_5_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.25    0.82 ^ mprj/u_wb_host/clkbuf_leaf_5_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_5_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.82 ^ mprj/u_wb_host/_5581_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.07    0.33    1.16 ^ mprj/u_wb_host/_5581_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.01                           mprj/u_wb_host/u_uart2wb.u_msg.TxMsgBuf[88] (net)
+                  0.07    0.00    1.16 ^ mprj/u_wb_host/_3360_/A1 (sky130_fd_sc_hd__a21o_1)
+                  0.03    0.10    1.26 ^ mprj/u_wb_host/_3360_/X (sky130_fd_sc_hd__a21o_1)
+     1    0.00                           mprj/u_wb_host/_0119_ (net)
+                  0.03    0.00    1.26 ^ mprj/u_wb_host/_5581_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  1.26   data arrival time
+
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.00    0.62 ^ mprj/u_wb_host/clkbuf_leaf_5_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.27    0.89 ^ mprj/u_wb_host/clkbuf_leaf_5_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_5_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.89 ^ mprj/u_wb_host/_5581_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    0.99   clock uncertainty
+                         -0.06    0.92   clock reconvergence pessimism
+                         -0.02    0.90   library hold time
+                                  0.90   data required time
+-----------------------------------------------------------------------------
+                                  0.90   data required time
+                                 -1.26   data arrival time
+-----------------------------------------------------------------------------
+                                  0.35   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6361_
+            (rising edge-triggered flip-flop clocked by uart_clk)
+Endpoint: mprj/u_wb_host/_6361_
+          (rising edge-triggered flip-flop clocked by uart_clk)
+Path Group: uart_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14    0.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    0.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31    0.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.58 ^ mprj/u_wb_host/clkbuf_leaf_13_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.24    0.82 ^ mprj/u_wb_host/clkbuf_leaf_13_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_13_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.83 ^ mprj/u_wb_host/_6361_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.33    1.16 v mprj/u_wb_host/_6361_/Q (sky130_fd_sc_hd__dfxtp_1)
+     3    0.01                           mprj/u_wb_host/u_uart2wb.u_msg.RxMsgCnt[4] (net)
+                  0.06    0.00    1.16 v mprj/u_wb_host/_2691_/A (sky130_fd_sc_hd__inv_2)
+                  0.03    0.05    1.20 ^ mprj/u_wb_host/_2691_/Y (sky130_fd_sc_hd__inv_2)
+     1    0.00                           mprj/u_wb_host/_1111_ (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/_5023_/A1 (sky130_fd_sc_hd__a21oi_1)
+                  0.02    0.04    1.25 v mprj/u_wb_host/_5023_/Y (sky130_fd_sc_hd__a21oi_1)
+     1    0.00                           mprj/u_wb_host/_0881_ (net)
+                  0.02    0.00    1.25 v mprj/u_wb_host/_6361_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  1.25   data arrival time
+
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.63 ^ mprj/u_wb_host/clkbuf_leaf_13_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    0.89 ^ mprj/u_wb_host/clkbuf_leaf_13_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_13_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.89 ^ mprj/u_wb_host/_6361_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    0.99   clock uncertainty
+                         -0.06    0.93   clock reconvergence pessimism
+                         -0.03    0.89   library hold time
+                                  0.89   data required time
+-----------------------------------------------------------------------------
+                                  0.89   data required time
+                                 -1.25   data arrival time
+-----------------------------------------------------------------------------
+                                  0.35   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5896_
+            (rising edge-triggered flip-flop clocked by uart_clk)
+Endpoint: mprj/u_wb_host/_5896_
+          (rising edge-triggered flip-flop clocked by uart_clk)
+Path Group: uart_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14    0.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    0.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31    0.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.58 ^ mprj/u_wb_host/clkbuf_leaf_13_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.24    0.82 ^ mprj/u_wb_host/clkbuf_leaf_13_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_13_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.83 ^ mprj/u_wb_host/_5896_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.32    1.15 ^ mprj/u_wb_host/_5896_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_wb_host/u_uart2wb.u_msg.cmd[14] (net)
+                  0.06    0.00    1.15 ^ mprj/u_wb_host/_4313_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.26 ^ mprj/u_wb_host/_4313_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0425_ (net)
+                  0.04    0.00    1.26 ^ mprj/u_wb_host/_5896_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  1.26   data arrival time
+
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.63 ^ mprj/u_wb_host/clkbuf_leaf_13_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    0.89 ^ mprj/u_wb_host/clkbuf_leaf_13_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_13_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.89 ^ mprj/u_wb_host/_5896_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    0.99   clock uncertainty
+                         -0.06    0.93   clock reconvergence pessimism
+                         -0.02    0.90   library hold time
+                                  0.90   data required time
+-----------------------------------------------------------------------------
+                                  0.90   data required time
+                                 -1.26   data arrival time
+-----------------------------------------------------------------------------
+                                  0.35   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5629_
+            (rising edge-triggered flip-flop clocked by uart_clk)
+Endpoint: mprj/u_wb_host/_5629_
+          (rising edge-triggered flip-flop clocked by uart_clk)
+Path Group: uart_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14    0.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    0.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31    0.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.58 ^ mprj/u_wb_host/clkbuf_leaf_10_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.25    0.83 ^ mprj/u_wb_host/clkbuf_leaf_10_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           mprj/u_wb_host/clknet_leaf_10_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.83 ^ mprj/u_wb_host/_5629_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.32    1.15 ^ mprj/u_wb_host/_5629_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_wb_host/u_uart2wb.u_msg.TxMsgBuf[110] (net)
+                  0.06    0.00    1.15 ^ mprj/u_wb_host/_3523_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.26 ^ mprj/u_wb_host/_3523_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0167_ (net)
+                  0.04    0.00    1.26 ^ mprj/u_wb_host/_5629_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  1.26   data arrival time
+
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.62 ^ mprj/u_wb_host/clkbuf_leaf_10_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.27    0.89 ^ mprj/u_wb_host/clkbuf_leaf_10_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           mprj/u_wb_host/clknet_leaf_10_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.89 ^ mprj/u_wb_host/_5629_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    0.99   clock uncertainty
+                         -0.06    0.93   clock reconvergence pessimism
+                         -0.02    0.91   library hold time
+                                  0.91   data required time
+-----------------------------------------------------------------------------
+                                  0.91   data required time
+                                 -1.26   data arrival time
+-----------------------------------------------------------------------------
+                                  0.35   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5897_
+            (rising edge-triggered flip-flop clocked by uart_clk)
+Endpoint: mprj/u_wb_host/_5897_
+          (rising edge-triggered flip-flop clocked by uart_clk)
+Path Group: uart_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14    0.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    0.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31    0.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.00    0.57 ^ mprj/u_wb_host/clkbuf_leaf_15_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.24    0.82 ^ mprj/u_wb_host/clkbuf_leaf_15_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_15_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.82 ^ mprj/u_wb_host/_5897_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.32    1.14 ^ mprj/u_wb_host/_5897_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_wb_host/u_uart2wb.u_msg.cmd[15] (net)
+                  0.06    0.00    1.14 ^ mprj/u_wb_host/_4314_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.25 ^ mprj/u_wb_host/_4314_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0426_ (net)
+                  0.04    0.00    1.25 ^ mprj/u_wb_host/_5897_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  1.25   data arrival time
+
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.00    0.62 ^ mprj/u_wb_host/clkbuf_leaf_15_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    0.88 ^ mprj/u_wb_host/clkbuf_leaf_15_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_15_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.88 ^ mprj/u_wb_host/_5897_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    0.98   clock uncertainty
+                         -0.06    0.92   clock reconvergence pessimism
+                         -0.02    0.90   library hold time
+                                  0.90   data required time
+-----------------------------------------------------------------------------
+                                  0.90   data required time
+                                 -1.25   data arrival time
+-----------------------------------------------------------------------------
+                                  0.35   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5541_
+            (rising edge-triggered flip-flop clocked by uart_clk)
+Endpoint: mprj/u_wb_host/_6338_
+          (rising edge-triggered flip-flop clocked by uart_clk)
+Path Group: uart_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14    0.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    0.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31    0.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.58 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.24    0.82 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_12_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.82 ^ mprj/u_wb_host/_5541_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.07    0.33    1.15 ^ mprj/u_wb_host/_5541_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.01                           mprj/u_wb_host/u_uart2wb.tx_data[0] (net)
+                  0.07    0.00    1.15 ^ mprj/u_wb_host/_4957_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.27 ^ mprj/u_wb_host/_4957_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0858_ (net)
+                  0.04    0.00    1.27 ^ mprj/u_wb_host/_6338_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  1.27   data arrival time
+
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.63 ^ mprj/u_wb_host/clkbuf_leaf_13_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    0.89 ^ mprj/u_wb_host/clkbuf_leaf_13_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_13_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.89 ^ mprj/u_wb_host/_6338_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.99   clock uncertainty
+                         -0.04    0.94   clock reconvergence pessimism
+                         -0.03    0.92   library hold time
+                                  0.92   data required time
+-----------------------------------------------------------------------------
+                                  0.92   data required time
+                                 -1.27   data arrival time
+-----------------------------------------------------------------------------
+                                  0.36   slack (MET)
 
 
 Startpoint: mprj/u_wb_host/_6367_
             (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6367_
+Endpoint: mprj/u_wb_host/_6371_
           (rising edge-triggered flip-flop clocked by uart_clk)
 Path Group: uart_clk
 Path Type: min
@@ -57686,36 +71018,60 @@
 -----------------------------------------------------------------------------
                           0.00    0.00   clock uart_clk (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.27    0.05    0.05 ^ mprj/u_wb_host/_6367_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.05    0.59    0.64 v mprj/u_wb_host/_6367_/Q (sky130_fd_sc_hd__dfxtp_1)
-     3    0.01                           mprj/u_wb_host/u_uart2wb.u_msg.RxMsgCnt[4] (net)
-                  0.05    0.00    0.64 v mprj/u_wb_host/_5031_/A1 (sky130_fd_sc_hd__o21a_1)
-                  0.03    0.17    0.81 v mprj/u_wb_host/_5031_/X (sky130_fd_sc_hd__o21a_1)
-     1    0.00                           mprj/u_wb_host/_0881_ (net)
-                  0.03    0.00    0.81 v mprj/u_wb_host/_6367_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  0.81   data arrival time
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14    0.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    0.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31    0.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.58 ^ mprj/u_wb_host/clkbuf_leaf_9_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    0.80 ^ mprj/u_wb_host/clkbuf_leaf_9_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.05                           mprj/u_wb_host/clknet_leaf_9_u_uart2wb.baud_clk_16x (net)
+                  0.07    0.00    0.81 ^ mprj/u_wb_host/_6367_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.07    0.32    1.12 ^ mprj/u_wb_host/_6367_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.01                           mprj/u_wb_host/u_uart2wb.u_msg.TxMsgBuf[13] (net)
+                  0.07    0.00    1.12 ^ mprj/u_wb_host/_5060_/B2 (sky130_fd_sc_hd__o22a_1)
+                  0.04    0.11    1.23 ^ mprj/u_wb_host/_5060_/X (sky130_fd_sc_hd__o22a_1)
+     1    0.00                           mprj/u_wb_host/_0891_ (net)
+                  0.04    0.00    1.23 ^ mprj/u_wb_host/_6371_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  1.23   data arrival time
 
                           0.00    0.00   clock uart_clk (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.27    0.05    0.05 ^ mprj/u_wb_host/_6367_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    0.15   clock uncertainty
-                          0.00    0.15   clock reconvergence pessimism
-                          0.19    0.35   library hold time
-                                  0.35   data required time
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.63 ^ mprj/u_wb_host/clkbuf_leaf_9_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.24    0.87 ^ mprj/u_wb_host/clkbuf_leaf_9_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.05                           mprj/u_wb_host/clknet_leaf_9_u_uart2wb.baud_clk_16x (net)
+                  0.07    0.00    0.87 ^ mprj/u_wb_host/_6371_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    0.97   clock uncertainty
+                         -0.06    0.91   clock reconvergence pessimism
+                         -0.03    0.88   library hold time
+                                  0.88   data required time
 -----------------------------------------------------------------------------
-                                  0.35   data required time
-                                 -0.81   data arrival time
+                                  0.88   data required time
+                                 -1.23   data arrival time
 -----------------------------------------------------------------------------
-                                  0.46   slack (MET)
+                                  0.36   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_5640_
+Startpoint: mprj/u_wb_host/_5621_
             (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_5640_
+Endpoint: mprj/u_wb_host/_5621_
           (rising edge-triggered flip-flop clocked by uart_clk)
 Path Group: uart_clk
 Path Type: min
@@ -57724,36 +71080,60 @@
 -----------------------------------------------------------------------------
                           0.00    0.00   clock uart_clk (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.14    0.14 ^ mprj/u_wb_host/_5640_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.06    0.60    0.74 v mprj/u_wb_host/_5640_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.01                           mprj/u_wb_host/u_uart2wb.u_msg.TxMsgBuf[116] (net)
-                  0.06    0.00    0.74 v mprj/u_wb_host/_3553_/A1 (sky130_fd_sc_hd__o21a_1)
-                  0.03    0.17    0.91 v mprj/u_wb_host/_3553_/X (sky130_fd_sc_hd__o21a_1)
-     1    0.00                           mprj/u_wb_host/_0172_ (net)
-                  0.03    0.00    0.91 v mprj/u_wb_host/_5640_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  0.91   data arrival time
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14    0.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    0.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31    0.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.58 ^ mprj/u_wb_host/clkbuf_leaf_10_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.25    0.83 ^ mprj/u_wb_host/clkbuf_leaf_10_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           mprj/u_wb_host/clknet_leaf_10_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.83 ^ mprj/u_wb_host/_5621_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.08    0.34    1.17 ^ mprj/u_wb_host/_5621_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.01                           mprj/u_wb_host/u_uart2wb.u_msg.TxMsgBuf[101] (net)
+                  0.08    0.00    1.17 ^ mprj/u_wb_host/_3497_/A1 (sky130_fd_sc_hd__a21o_1)
+                  0.03    0.10    1.26 ^ mprj/u_wb_host/_3497_/X (sky130_fd_sc_hd__a21o_1)
+     1    0.00                           mprj/u_wb_host/_0159_ (net)
+                  0.03    0.00    1.26 ^ mprj/u_wb_host/_5621_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  1.26   data arrival time
 
                           0.00    0.00   clock uart_clk (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.15    0.15 ^ mprj/u_wb_host/_5640_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    0.25   clock uncertainty
-                          0.00    0.25   clock reconvergence pessimism
-                          0.20    0.45   library hold time
-                                  0.45   data required time
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.62 ^ mprj/u_wb_host/clkbuf_leaf_10_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.27    0.89 ^ mprj/u_wb_host/clkbuf_leaf_10_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           mprj/u_wb_host/clknet_leaf_10_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.89 ^ mprj/u_wb_host/_5621_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    0.99   clock uncertainty
+                         -0.06    0.93   clock reconvergence pessimism
+                         -0.02    0.91   library hold time
+                                  0.91   data required time
 -----------------------------------------------------------------------------
-                                  0.45   data required time
-                                 -0.91   data arrival time
+                                  0.91   data required time
+                                 -1.26   data arrival time
 -----------------------------------------------------------------------------
-                                  0.46   slack (MET)
+                                  0.36   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_6395_
+Startpoint: mprj/u_wb_host/_5600_
             (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6395_
+Endpoint: mprj/u_wb_host/_5600_
           (rising edge-triggered flip-flop clocked by uart_clk)
 Path Group: uart_clk
 Path Type: min
@@ -57762,36 +71142,60 @@
 -----------------------------------------------------------------------------
                           0.00    0.00   clock uart_clk (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.15    0.15 ^ mprj/u_wb_host/_6395_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.04    0.58    0.74 v mprj/u_wb_host/_6395_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.01                           mprj/u_wb_host/u_uart2wb.u_msg.TxMsgBuf[43] (net)
-                  0.04    0.00    0.74 v mprj/u_wb_host/_5089_/A1 (sky130_fd_sc_hd__a22o_1)
-                  0.04    0.19    0.92 v mprj/u_wb_host/_5089_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_wb_host/_0909_ (net)
-                  0.04    0.00    0.92 v mprj/u_wb_host/_6395_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  0.92   data arrival time
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14    0.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    0.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31    0.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.58 ^ mprj/u_wb_host/clkbuf_leaf_9_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    0.80 ^ mprj/u_wb_host/clkbuf_leaf_9_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.05                           mprj/u_wb_host/clknet_leaf_9_u_uart2wb.baud_clk_16x (net)
+                  0.07    0.00    0.81 ^ mprj/u_wb_host/_5600_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.07    0.32    1.12 ^ mprj/u_wb_host/_5600_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.01                           mprj/u_wb_host/u_uart2wb.u_msg.TxMsgBuf[125] (net)
+                  0.07    0.00    1.12 ^ mprj/u_wb_host/_3426_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.23 ^ mprj/u_wb_host/_3426_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0138_ (net)
+                  0.04    0.00    1.24 ^ mprj/u_wb_host/_5600_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  1.24   data arrival time
 
                           0.00    0.00   clock uart_clk (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.17    0.17 ^ mprj/u_wb_host/_6395_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    0.27   clock uncertainty
-                          0.00    0.27   clock reconvergence pessimism
-                          0.19    0.46   library hold time
-                                  0.46   data required time
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.63 ^ mprj/u_wb_host/clkbuf_leaf_9_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.24    0.87 ^ mprj/u_wb_host/clkbuf_leaf_9_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.05                           mprj/u_wb_host/clknet_leaf_9_u_uart2wb.baud_clk_16x (net)
+                  0.07    0.00    0.87 ^ mprj/u_wb_host/_5600_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    0.97   clock uncertainty
+                         -0.06    0.91   clock reconvergence pessimism
+                         -0.03    0.88   library hold time
+                                  0.88   data required time
 -----------------------------------------------------------------------------
-                                  0.46   data required time
-                                 -0.92   data arrival time
+                                  0.88   data required time
+                                 -1.24   data arrival time
 -----------------------------------------------------------------------------
-                                  0.47   slack (MET)
+                                  0.36   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_5599_
+Startpoint: mprj/u_wb_host/_5543_
             (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_5599_
+Endpoint: mprj/u_wb_host/_6340_
           (rising edge-triggered flip-flop clocked by uart_clk)
 Path Group: uart_clk
 Path Type: min
@@ -57800,36 +71204,60 @@
 -----------------------------------------------------------------------------
                           0.00    0.00   clock uart_clk (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.15    0.15 ^ mprj/u_wb_host/_5599_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.06    0.60    0.76 v mprj/u_wb_host/_5599_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.01                           mprj/u_wb_host/u_uart2wb.u_msg.TxMsgBuf[61] (net)
-                  0.06    0.00    0.76 v mprj/u_wb_host/_3410_/A1 (sky130_fd_sc_hd__o21a_1)
-                  0.02    0.17    0.93 v mprj/u_wb_host/_3410_/X (sky130_fd_sc_hd__o21a_1)
-     1    0.00                           mprj/u_wb_host/_0131_ (net)
-                  0.02    0.00    0.93 v mprj/u_wb_host/_5599_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  0.93   data arrival time
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14    0.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    0.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31    0.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.58 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.24    0.82 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_12_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.82 ^ mprj/u_wb_host/_5543_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.32    1.15 ^ mprj/u_wb_host/_5543_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.01                           mprj/u_wb_host/u_uart2wb.tx_data[2] (net)
+                  0.06    0.00    1.15 ^ mprj/u_wb_host/_4959_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12    1.27 ^ mprj/u_wb_host/_4959_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0860_ (net)
+                  0.05    0.00    1.27 ^ mprj/u_wb_host/_6340_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  1.27   data arrival time
 
                           0.00    0.00   clock uart_clk (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.16    0.16 ^ mprj/u_wb_host/_5599_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    0.26   clock uncertainty
-                          0.00    0.26   clock reconvergence pessimism
-                          0.20    0.46   library hold time
-                                  0.46   data required time
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.63 ^ mprj/u_wb_host/clkbuf_leaf_13_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    0.89 ^ mprj/u_wb_host/clkbuf_leaf_13_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_13_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.89 ^ mprj/u_wb_host/_6340_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.99   clock uncertainty
+                         -0.04    0.95   clock reconvergence pessimism
+                         -0.03    0.92   library hold time
+                                  0.92   data required time
 -----------------------------------------------------------------------------
-                                  0.46   data required time
-                                 -0.93   data arrival time
+                                  0.92   data required time
+                                 -1.27   data arrival time
 -----------------------------------------------------------------------------
-                                  0.47   slack (MET)
+                                  0.36   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_6375_
+Startpoint: mprj/u_wb_host/_5630_
             (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6375_
+Endpoint: mprj/u_wb_host/_5630_
           (rising edge-triggered flip-flop clocked by uart_clk)
 Path Group: uart_clk
 Path Type: min
@@ -57838,36 +71266,60 @@
 -----------------------------------------------------------------------------
                           0.00    0.00   clock uart_clk (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.15    0.15 ^ mprj/u_wb_host/_6375_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.04    0.58    0.74 v mprj/u_wb_host/_6375_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.01                           mprj/u_wb_host/u_uart2wb.u_msg.TxMsgBuf[17] (net)
-                  0.04    0.00    0.74 v mprj/u_wb_host/_5061_/A1 (sky130_fd_sc_hd__o22a_1)
-                  0.04    0.19    0.93 v mprj/u_wb_host/_5061_/X (sky130_fd_sc_hd__o22a_1)
-     1    0.00                           mprj/u_wb_host/_0889_ (net)
-                  0.04    0.00    0.93 v mprj/u_wb_host/_6375_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  0.93   data arrival time
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14    0.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    0.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31    0.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.00    0.57 ^ mprj/u_wb_host/clkbuf_leaf_11_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.25    0.82 ^ mprj/u_wb_host/clkbuf_leaf_11_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_11_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.82 ^ mprj/u_wb_host/_5630_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.08    0.33    1.16 ^ mprj/u_wb_host/_5630_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.01                           mprj/u_wb_host/u_uart2wb.u_msg.TxMsgBuf[112] (net)
+                  0.08    0.00    1.16 ^ mprj/u_wb_host/_3530_/A1 (sky130_fd_sc_hd__a21o_1)
+                  0.03    0.10    1.26 ^ mprj/u_wb_host/_3530_/X (sky130_fd_sc_hd__a21o_1)
+     1    0.00                           mprj/u_wb_host/_0168_ (net)
+                  0.03    0.00    1.26 ^ mprj/u_wb_host/_5630_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  1.26   data arrival time
 
                           0.00    0.00   clock uart_clk (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.17    0.17 ^ mprj/u_wb_host/_6375_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    0.27   clock uncertainty
-                          0.00    0.27   clock reconvergence pessimism
-                          0.19    0.46   library hold time
-                                  0.46   data required time
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.00    0.62 ^ mprj/u_wb_host/clkbuf_leaf_11_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.27    0.88 ^ mprj/u_wb_host/clkbuf_leaf_11_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_11_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.89 ^ mprj/u_wb_host/_5630_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    0.99   clock uncertainty
+                         -0.06    0.92   clock reconvergence pessimism
+                         -0.02    0.90   library hold time
+                                  0.90   data required time
 -----------------------------------------------------------------------------
-                                  0.46   data required time
-                                 -0.93   data arrival time
+                                  0.90   data required time
+                                 -1.26   data arrival time
 -----------------------------------------------------------------------------
-                                  0.47   slack (MET)
+                                  0.36   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_6364_
+Startpoint: mprj/u_wb_host/_5583_
             (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6364_
+Endpoint: mprj/u_wb_host/_5583_
           (rising edge-triggered flip-flop clocked by uart_clk)
 Path Group: uart_clk
 Path Type: min
@@ -57876,36 +71328,60 @@
 -----------------------------------------------------------------------------
                           0.00    0.00   clock uart_clk (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.27    0.03    0.03 ^ mprj/u_wb_host/_6364_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.05    0.60    0.62 v mprj/u_wb_host/_6364_/Q (sky130_fd_sc_hd__dfxtp_1)
-     4    0.01                           mprj/u_wb_host/u_uart2wb.u_msg.RxMsgCnt[1] (net)
-                  0.05    0.00    0.62 v mprj/u_wb_host/_5024_/A1 (sky130_fd_sc_hd__o21a_1)
-                  0.03    0.17    0.79 v mprj/u_wb_host/_5024_/X (sky130_fd_sc_hd__o21a_1)
-     1    0.00                           mprj/u_wb_host/_0878_ (net)
-                  0.03    0.00    0.79 v mprj/u_wb_host/_6364_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  0.79   data arrival time
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14    0.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    0.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31    0.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.00    0.57 ^ mprj/u_wb_host/clkbuf_leaf_5_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.25    0.82 ^ mprj/u_wb_host/clkbuf_leaf_5_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_5_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.83 ^ mprj/u_wb_host/_5583_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.33    1.15 ^ mprj/u_wb_host/_5583_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.01                           mprj/u_wb_host/u_uart2wb.u_msg.TxMsgBuf[90] (net)
+                  0.06    0.00    1.15 ^ mprj/u_wb_host/_3368_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.26 ^ mprj/u_wb_host/_3368_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0121_ (net)
+                  0.04    0.00    1.26 ^ mprj/u_wb_host/_5583_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  1.26   data arrival time
 
                           0.00    0.00   clock uart_clk (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.27    0.03    0.03 ^ mprj/u_wb_host/_6364_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    0.13   clock uncertainty
-                          0.00    0.13   clock reconvergence pessimism
-                          0.19    0.32   library hold time
-                                  0.32   data required time
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.00    0.62 ^ mprj/u_wb_host/clkbuf_leaf_5_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.27    0.89 ^ mprj/u_wb_host/clkbuf_leaf_5_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_5_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.89 ^ mprj/u_wb_host/_5583_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    0.99   clock uncertainty
+                         -0.06    0.93   clock reconvergence pessimism
+                         -0.02    0.90   library hold time
+                                  0.90   data required time
 -----------------------------------------------------------------------------
-                                  0.32   data required time
-                                 -0.79   data arrival time
+                                  0.90   data required time
+                                 -1.26   data arrival time
 -----------------------------------------------------------------------------
-                                  0.47   slack (MET)
+                                  0.36   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_6425_
+Startpoint: mprj/u_wb_host/_5632_
             (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6425_
+Endpoint: mprj/u_wb_host/_5632_
           (rising edge-triggered flip-flop clocked by uart_clk)
 Path Group: uart_clk
 Path Type: min
@@ -57914,36 +71390,60 @@
 -----------------------------------------------------------------------------
                           0.00    0.00   clock uart_clk (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.17    0.17 ^ mprj/u_wb_host/_6425_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.16    0.71    0.88 ^ mprj/u_wb_host/_6425_/Q (sky130_fd_sc_hd__dfrtp_1)
-     3    0.02                           mprj/u_wb_host/u_uart2wb.u_core.u_txfsm.divcnt[3] (net)
-                  0.16    0.00    0.88 ^ mprj/u_wb_host/_3097_/A (sky130_fd_sc_hd__xor2_1)
-                  0.03    0.08    0.96 v mprj/u_wb_host/_3097_/X (sky130_fd_sc_hd__xor2_1)
-     1    0.00                           mprj/u_wb_host/_0027_ (net)
-                  0.03    0.00    0.96 v mprj/u_wb_host/_6425_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  0.96   data arrival time
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14    0.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    0.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31    0.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.58 ^ mprj/u_wb_host/clkbuf_leaf_10_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.25    0.83 ^ mprj/u_wb_host/clkbuf_leaf_10_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           mprj/u_wb_host/clknet_leaf_10_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.83 ^ mprj/u_wb_host/_5632_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.08    0.34    1.17 ^ mprj/u_wb_host/_5632_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.01                           mprj/u_wb_host/u_uart2wb.u_msg.TxMsgBuf[114] (net)
+                  0.08    0.00    1.17 ^ mprj/u_wb_host/_3538_/A1 (sky130_fd_sc_hd__a21o_1)
+                  0.03    0.10    1.27 ^ mprj/u_wb_host/_3538_/X (sky130_fd_sc_hd__a21o_1)
+     1    0.00                           mprj/u_wb_host/_0170_ (net)
+                  0.03    0.00    1.27 ^ mprj/u_wb_host/_5632_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  1.27   data arrival time
 
                           0.00    0.00   clock uart_clk (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.19    0.19 ^ mprj/u_wb_host/_6425_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    0.29   clock uncertainty
-                          0.00    0.29   clock reconvergence pessimism
-                          0.20    0.49   library hold time
-                                  0.49   data required time
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.62 ^ mprj/u_wb_host/clkbuf_leaf_10_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.27    0.89 ^ mprj/u_wb_host/clkbuf_leaf_10_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           mprj/u_wb_host/clknet_leaf_10_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.89 ^ mprj/u_wb_host/_5632_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    0.99   clock uncertainty
+                         -0.06    0.93   clock reconvergence pessimism
+                         -0.02    0.91   library hold time
+                                  0.91   data required time
 -----------------------------------------------------------------------------
-                                  0.49   data required time
-                                 -0.96   data arrival time
+                                  0.91   data required time
+                                 -1.27   data arrival time
 -----------------------------------------------------------------------------
-                                  0.47   slack (MET)
+                                  0.36   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_6467_
+Startpoint: mprj/u_wb_host/_5636_
             (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6467_
+Endpoint: mprj/u_wb_host/_5636_
           (rising edge-triggered flip-flop clocked by uart_clk)
 Path Group: uart_clk
 Path Type: min
@@ -57952,39 +71452,60 @@
 -----------------------------------------------------------------------------
                           0.00    0.00   clock uart_clk (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.27    0.05    0.05 ^ mprj/u_wb_host/_6467_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.07    0.67    0.72 v mprj/u_wb_host/_6467_/Q (sky130_fd_sc_hd__dfrtp_1)
-     3    0.01                           mprj/u_wb_host/u_uart2wb.u_async_reg_bus.in_timer[1] (net)
-                  0.07    0.00    0.72 v mprj/u_wb_host/_2710_/A (sky130_fd_sc_hd__inv_2)
-                  0.04    0.06    0.78 ^ mprj/u_wb_host/_2710_/Y (sky130_fd_sc_hd__inv_2)
-     2    0.01                           mprj/u_wb_host/_1126_ (net)
-                  0.04    0.00    0.78 ^ mprj/u_wb_host/_5317_/A1 (sky130_fd_sc_hd__a21boi_1)
-                  0.04    0.05    0.83 v mprj/u_wb_host/_5317_/Y (sky130_fd_sc_hd__a21boi_1)
-     1    0.00                           mprj/u_wb_host/_0967_ (net)
-                  0.04    0.00    0.83 v mprj/u_wb_host/_6467_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  0.83   data arrival time
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14    0.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    0.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31    0.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.58 ^ mprj/u_wb_host/clkbuf_leaf_10_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.25    0.83 ^ mprj/u_wb_host/clkbuf_leaf_10_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           mprj/u_wb_host/clknet_leaf_10_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.83 ^ mprj/u_wb_host/_5636_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.33    1.15 ^ mprj/u_wb_host/_5636_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.01                           mprj/u_wb_host/u_uart2wb.u_msg.TxMsgBuf[118] (net)
+                  0.06    0.00    1.15 ^ mprj/u_wb_host/_3547_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.03    0.11    1.26 ^ mprj/u_wb_host/_3547_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0174_ (net)
+                  0.03    0.00    1.26 ^ mprj/u_wb_host/_5636_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  1.26   data arrival time
 
                           0.00    0.00   clock uart_clk (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.27    0.05    0.05 ^ mprj/u_wb_host/_6467_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    0.15   clock uncertainty
-                          0.00    0.15   clock reconvergence pessimism
-                          0.20    0.35   library hold time
-                                  0.35   data required time
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.62 ^ mprj/u_wb_host/clkbuf_leaf_10_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.27    0.89 ^ mprj/u_wb_host/clkbuf_leaf_10_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           mprj/u_wb_host/clknet_leaf_10_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.89 ^ mprj/u_wb_host/_5636_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    0.99   clock uncertainty
+                         -0.06    0.93   clock reconvergence pessimism
+                         -0.02    0.91   library hold time
+                                  0.91   data required time
 -----------------------------------------------------------------------------
-                                  0.35   data required time
-                                 -0.83   data arrival time
+                                  0.91   data required time
+                                 -1.26   data arrival time
 -----------------------------------------------------------------------------
-                                  0.47   slack (MET)
+                                  0.36   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_6423_
+Startpoint: mprj/u_wb_host/_6366_
             (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6423_
+Endpoint: mprj/u_wb_host/_6366_
           (rising edge-triggered flip-flop clocked by uart_clk)
 Path Group: uart_clk
 Path Type: min
@@ -57993,34 +71514,58 @@
 -----------------------------------------------------------------------------
                           0.00    0.00   clock uart_clk (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.17    0.17 ^ mprj/u_wb_host/_6423_/CLK (sky130_fd_sc_hd__dfrtp_2)
-                  0.13    0.71    0.89 ^ mprj/u_wb_host/_6423_/Q (sky130_fd_sc_hd__dfrtp_2)
-     5    0.02                           mprj/u_wb_host/u_uart2wb.u_core.u_txfsm.divcnt[1] (net)
-                  0.13    0.00    0.89 ^ mprj/u_wb_host/_3093_/A (sky130_fd_sc_hd__xor2_1)
-                  0.04    0.08    0.97 v mprj/u_wb_host/_3093_/X (sky130_fd_sc_hd__xor2_1)
-     1    0.00                           mprj/u_wb_host/_0025_ (net)
-                  0.04    0.00    0.97 v mprj/u_wb_host/_6423_/D (sky130_fd_sc_hd__dfrtp_2)
-                                  0.97   data arrival time
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14    0.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    0.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31    0.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.58 ^ mprj/u_wb_host/clkbuf_leaf_9_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    0.80 ^ mprj/u_wb_host/clkbuf_leaf_9_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.05                           mprj/u_wb_host/clknet_leaf_9_u_uart2wb.baud_clk_16x (net)
+                  0.07    0.00    0.81 ^ mprj/u_wb_host/_6366_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.07    0.32    1.13 ^ mprj/u_wb_host/_6366_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.01                           mprj/u_wb_host/u_uart2wb.u_msg.TxMsgBuf[5] (net)
+                  0.07    0.00    1.13 ^ mprj/u_wb_host/_5048_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.24 ^ mprj/u_wb_host/_5048_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0886_ (net)
+                  0.04    0.00    1.24 ^ mprj/u_wb_host/_6366_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  1.24   data arrival time
 
                           0.00    0.00   clock uart_clk (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.19    0.19 ^ mprj/u_wb_host/_6423_/CLK (sky130_fd_sc_hd__dfrtp_2)
-                          0.10    0.29   clock uncertainty
-                          0.00    0.29   clock reconvergence pessimism
-                          0.21    0.49   library hold time
-                                  0.49   data required time
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.63 ^ mprj/u_wb_host/clkbuf_leaf_9_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.24    0.87 ^ mprj/u_wb_host/clkbuf_leaf_9_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.05                           mprj/u_wb_host/clknet_leaf_9_u_uart2wb.baud_clk_16x (net)
+                  0.07    0.00    0.87 ^ mprj/u_wb_host/_6366_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    0.97   clock uncertainty
+                         -0.06    0.91   clock reconvergence pessimism
+                         -0.03    0.88   library hold time
+                                  0.88   data required time
 -----------------------------------------------------------------------------
-                                  0.49   data required time
-                                 -0.97   data arrival time
+                                  0.88   data required time
+                                 -1.24   data arrival time
 -----------------------------------------------------------------------------
-                                  0.47   slack (MET)
+                                  0.36   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_5631_
+Startpoint: mprj/u_wb_host/_5624_
             (rising edge-triggered flip-flop clocked by uart_clk)
 Endpoint: mprj/u_wb_host/_5631_
           (rising edge-triggered flip-flop clocked by uart_clk)
@@ -58031,36 +71576,63 @@
 -----------------------------------------------------------------------------
                           0.00    0.00   clock uart_clk (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.27    0.03    0.03 ^ mprj/u_wb_host/_5631_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.04    0.58    0.61 v mprj/u_wb_host/_5631_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.01                           mprj/u_wb_host/u_uart2wb.u_msg.TxMsgBuf[106] (net)
-                  0.04    0.00    0.61 v mprj/u_wb_host/_3525_/A1 (sky130_fd_sc_hd__o22a_1)
-                  0.03    0.19    0.80 v mprj/u_wb_host/_3525_/X (sky130_fd_sc_hd__o22a_1)
-     1    0.00                           mprj/u_wb_host/_0163_ (net)
-                  0.03    0.00    0.80 v mprj/u_wb_host/_5631_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  0.80   data arrival time
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14    0.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.14 ^ mprj/u_wb_host/clkbuf_1_0_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    0.26 ^ mprj/u_wb_host/clkbuf_1_0_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.26 ^ mprj/u_wb_host/clkbuf_1_0_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.25    0.26    0.52 ^ mprj/u_wb_host/clkbuf_1_0_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.14                           mprj/u_wb_host/clknet_1_0_1_u_uart2wb.baud_clk_16x (net)
+                  0.25    0.00    0.52 ^ mprj/u_wb_host/clkbuf_leaf_4_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.23    0.75 ^ mprj/u_wb_host/clkbuf_leaf_4_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_4_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.76 ^ mprj/u_wb_host/_5624_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.07    0.33    1.09 ^ mprj/u_wb_host/_5624_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.01                           mprj/u_wb_host/u_uart2wb.u_msg.TxMsgBuf[105] (net)
+                  0.07    0.00    1.09 ^ mprj/u_wb_host/_3534_/A1 (sky130_fd_sc_hd__a211o_1)
+                  0.04    0.11    1.20 ^ mprj/u_wb_host/_3534_/X (sky130_fd_sc_hd__a211o_1)
+     1    0.00                           mprj/u_wb_host/_1666_ (net)
+                  0.04    0.00    1.20 ^ mprj/u_wb_host/_3535_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.31 ^ mprj/u_wb_host/_3535_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0169_ (net)
+                  0.04    0.00    1.31 ^ mprj/u_wb_host/_5631_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  1.31   data arrival time
 
                           0.00    0.00   clock uart_clk (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.27    0.03    0.03 ^ mprj/u_wb_host/_5631_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    0.13   clock uncertainty
-                          0.00    0.13   clock reconvergence pessimism
-                          0.19    0.32   library hold time
-                                  0.32   data required time
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.00    0.62 ^ mprj/u_wb_host/clkbuf_leaf_11_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.27    0.88 ^ mprj/u_wb_host/clkbuf_leaf_11_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_11_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.89 ^ mprj/u_wb_host/_5631_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    0.99   clock uncertainty
+                         -0.01    0.98   clock reconvergence pessimism
+                         -0.02    0.95   library hold time
+                                  0.95   data required time
 -----------------------------------------------------------------------------
-                                  0.32   data required time
-                                 -0.80   data arrival time
+                                  0.95   data required time
+                                 -1.31   data arrival time
 -----------------------------------------------------------------------------
-                                  0.48   slack (MET)
+                                  0.36   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_6439_
+Startpoint: mprj/u_wb_host/_5618_
             (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6439_
+Endpoint: mprj/u_wb_host/_5618_
           (rising edge-triggered flip-flop clocked by uart_clk)
 Path Group: uart_clk
 Path Type: min
@@ -58069,39 +71641,60 @@
 -----------------------------------------------------------------------------
                           0.00    0.00   clock uart_clk (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.17    0.17 ^ mprj/u_wb_host/_6439_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.07    0.67    0.84 v mprj/u_wb_host/_6439_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_wb_host/u_uart2wb.u_core.u_rxfsm.offset[3] (net)
-                  0.07    0.00    0.84 v mprj/u_wb_host/_2682_/A (sky130_fd_sc_hd__inv_2)
-                  0.05    0.07    0.90 ^ mprj/u_wb_host/_2682_/Y (sky130_fd_sc_hd__inv_2)
-     2    0.01                           mprj/u_wb_host/_1100_ (net)
-                  0.05    0.00    0.90 ^ mprj/u_wb_host/_3102_/A (sky130_fd_sc_hd__xnor2_1)
-                  0.03    0.06    0.96 v mprj/u_wb_host/_3102_/Y (sky130_fd_sc_hd__xnor2_1)
-     1    0.00                           mprj/u_wb_host/_0023_ (net)
-                  0.03    0.00    0.96 v mprj/u_wb_host/_6439_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  0.96   data arrival time
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14    0.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    0.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31    0.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.00    0.57 ^ mprj/u_wb_host/clkbuf_leaf_5_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.25    0.82 ^ mprj/u_wb_host/clkbuf_leaf_5_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_5_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.83 ^ mprj/u_wb_host/_5618_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.33    1.15 ^ mprj/u_wb_host/_5618_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.01                           mprj/u_wb_host/u_uart2wb.u_msg.TxMsgBuf[98] (net)
+                  0.06    0.00    1.15 ^ mprj/u_wb_host/_3490_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.26 ^ mprj/u_wb_host/_3490_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0156_ (net)
+                  0.04    0.00    1.26 ^ mprj/u_wb_host/_5618_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  1.26   data arrival time
 
                           0.00    0.00   clock uart_clk (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.18    0.18 ^ mprj/u_wb_host/_6439_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    0.28   clock uncertainty
-                          0.00    0.28   clock reconvergence pessimism
-                          0.20    0.48   library hold time
-                                  0.48   data required time
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.00    0.62 ^ mprj/u_wb_host/clkbuf_leaf_5_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.27    0.89 ^ mprj/u_wb_host/clkbuf_leaf_5_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_5_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.89 ^ mprj/u_wb_host/_5618_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    0.99   clock uncertainty
+                         -0.06    0.93   clock reconvergence pessimism
+                         -0.02    0.90   library hold time
+                                  0.90   data required time
 -----------------------------------------------------------------------------
-                                  0.48   data required time
-                                 -0.96   data arrival time
+                                  0.90   data required time
+                                 -1.26   data arrival time
 -----------------------------------------------------------------------------
-                                  0.48   slack (MET)
+                                  0.36   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_6422_
+Startpoint: mprj/u_wb_host/_6384_
             (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6422_
+Endpoint: mprj/u_wb_host/_6384_
           (rising edge-triggered flip-flop clocked by uart_clk)
 Path Group: uart_clk
 Path Type: min
@@ -58110,31 +71703,3215 @@
 -----------------------------------------------------------------------------
                           0.00    0.00   clock uart_clk (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.17    0.17 ^ mprj/u_wb_host/_6422_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.11    0.75    0.93 ^ mprj/u_wb_host/_6422_/Q (sky130_fd_sc_hd__dfrtp_4)
-     6    0.03                           mprj/u_wb_host/u_uart2wb.u_core.u_txfsm.divcnt[0] (net)
-                  0.11    0.00    0.93 ^ mprj/u_wb_host/_2693_/A (sky130_fd_sc_hd__clkinv_2)
-                  0.03    0.05    0.98 v mprj/u_wb_host/_2693_/Y (sky130_fd_sc_hd__clkinv_2)
-     1    0.00                           mprj/u_wb_host/_0024_ (net)
-                  0.03    0.00    0.98 v mprj/u_wb_host/_6422_/D (sky130_fd_sc_hd__dfrtp_4)
-                                  0.98   data arrival time
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14    0.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    0.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31    0.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.58 ^ mprj/u_wb_host/clkbuf_leaf_9_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    0.80 ^ mprj/u_wb_host/clkbuf_leaf_9_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.05                           mprj/u_wb_host/clknet_leaf_9_u_uart2wb.baud_clk_16x (net)
+                  0.07    0.00    0.80 ^ mprj/u_wb_host/_6384_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.07    0.32    1.13 ^ mprj/u_wb_host/_6384_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.01                           mprj/u_wb_host/u_uart2wb.u_msg.TxMsgBuf[37] (net)
+                  0.07    0.00    1.13 ^ mprj/u_wb_host/_5077_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.24 ^ mprj/u_wb_host/_5077_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0904_ (net)
+                  0.04    0.00    1.24 ^ mprj/u_wb_host/_6384_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  1.24   data arrival time
 
                           0.00    0.00   clock uart_clk (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.19    0.19 ^ mprj/u_wb_host/_6422_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                          0.10    0.29   clock uncertainty
-                          0.00    0.29   clock reconvergence pessimism
-                          0.21    0.49   library hold time
-                                  0.49   data required time
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.63 ^ mprj/u_wb_host/clkbuf_leaf_9_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.24    0.87 ^ mprj/u_wb_host/clkbuf_leaf_9_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.05                           mprj/u_wb_host/clknet_leaf_9_u_uart2wb.baud_clk_16x (net)
+                  0.07    0.00    0.87 ^ mprj/u_wb_host/_6384_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    0.97   clock uncertainty
+                         -0.06    0.90   clock reconvergence pessimism
+                         -0.03    0.88   library hold time
+                                  0.88   data required time
 -----------------------------------------------------------------------------
-                                  0.49   data required time
-                                 -0.98   data arrival time
+                                  0.88   data required time
+                                 -1.24   data arrival time
 -----------------------------------------------------------------------------
-                                  0.49   slack (MET)
+                                  0.36   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5616_
+            (rising edge-triggered flip-flop clocked by uart_clk)
+Endpoint: mprj/u_wb_host/_5616_
+          (rising edge-triggered flip-flop clocked by uart_clk)
+Path Group: uart_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14    0.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    0.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31    0.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.00    0.57 ^ mprj/u_wb_host/clkbuf_leaf_5_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.25    0.82 ^ mprj/u_wb_host/clkbuf_leaf_5_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_5_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.82 ^ mprj/u_wb_host/_5616_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.33    1.15 ^ mprj/u_wb_host/_5616_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.01                           mprj/u_wb_host/u_uart2wb.u_msg.TxMsgBuf[96] (net)
+                  0.06    0.00    1.15 ^ mprj/u_wb_host/_3482_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.26 ^ mprj/u_wb_host/_3482_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0154_ (net)
+                  0.04    0.00    1.26 ^ mprj/u_wb_host/_5616_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  1.26   data arrival time
+
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.00    0.62 ^ mprj/u_wb_host/clkbuf_leaf_5_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.27    0.89 ^ mprj/u_wb_host/clkbuf_leaf_5_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_5_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.89 ^ mprj/u_wb_host/_5616_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    0.99   clock uncertainty
+                         -0.06    0.92   clock reconvergence pessimism
+                         -0.02    0.90   library hold time
+                                  0.90   data required time
+-----------------------------------------------------------------------------
+                                  0.90   data required time
+                                 -1.26   data arrival time
+-----------------------------------------------------------------------------
+                                  0.36   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5620_
+            (rising edge-triggered flip-flop clocked by uart_clk)
+Endpoint: mprj/u_wb_host/_5620_
+          (rising edge-triggered flip-flop clocked by uart_clk)
+Path Group: uart_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14    0.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    0.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31    0.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.58 ^ mprj/u_wb_host/clkbuf_leaf_10_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.25    0.83 ^ mprj/u_wb_host/clkbuf_leaf_10_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           mprj/u_wb_host/clknet_leaf_10_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.83 ^ mprj/u_wb_host/_5620_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.33    1.16 ^ mprj/u_wb_host/_5620_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.01                           mprj/u_wb_host/u_uart2wb.u_msg.TxMsgBuf[100] (net)
+                  0.06    0.00    1.16 ^ mprj/u_wb_host/_3495_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.27 ^ mprj/u_wb_host/_3495_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0158_ (net)
+                  0.04    0.00    1.27 ^ mprj/u_wb_host/_5620_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  1.27   data arrival time
+
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.62 ^ mprj/u_wb_host/clkbuf_leaf_10_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.27    0.89 ^ mprj/u_wb_host/clkbuf_leaf_10_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           mprj/u_wb_host/clknet_leaf_10_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.89 ^ mprj/u_wb_host/_5620_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    0.99   clock uncertainty
+                         -0.06    0.93   clock reconvergence pessimism
+                         -0.02    0.91   library hold time
+                                  0.91   data required time
+-----------------------------------------------------------------------------
+                                  0.91   data required time
+                                 -1.27   data arrival time
+-----------------------------------------------------------------------------
+                                  0.36   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5623_
+            (rising edge-triggered flip-flop clocked by uart_clk)
+Endpoint: mprj/u_wb_host/_5623_
+          (rising edge-triggered flip-flop clocked by uart_clk)
+Path Group: uart_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14    0.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.14 ^ mprj/u_wb_host/clkbuf_1_0_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    0.26 ^ mprj/u_wb_host/clkbuf_1_0_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.26 ^ mprj/u_wb_host/clkbuf_1_0_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.25    0.26    0.52 ^ mprj/u_wb_host/clkbuf_1_0_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.14                           mprj/u_wb_host/clknet_1_0_1_u_uart2wb.baud_clk_16x (net)
+                  0.25    0.00    0.52 ^ mprj/u_wb_host/clkbuf_leaf_4_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.23    0.75 ^ mprj/u_wb_host/clkbuf_leaf_4_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_4_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.76 ^ mprj/u_wb_host/_5623_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.33    1.08 ^ mprj/u_wb_host/_5623_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.01                           mprj/u_wb_host/u_uart2wb.u_msg.TxMsgBuf[104] (net)
+                  0.06    0.00    1.09 ^ mprj/u_wb_host/_3505_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.20 ^ mprj/u_wb_host/_3505_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0161_ (net)
+                  0.04    0.00    1.20 ^ mprj/u_wb_host/_5623_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  1.20   data arrival time
+
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_0_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_0_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_0_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.25    0.28    0.56 ^ mprj/u_wb_host/clkbuf_1_0_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.14                           mprj/u_wb_host/clknet_1_0_1_u_uart2wb.baud_clk_16x (net)
+                  0.25    0.01    0.56 ^ mprj/u_wb_host/clkbuf_leaf_4_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.25    0.81 ^ mprj/u_wb_host/clkbuf_leaf_4_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_4_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.82 ^ mprj/u_wb_host/_5623_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    0.92   clock uncertainty
+                         -0.06    0.86   clock reconvergence pessimism
+                         -0.02    0.84   library hold time
+                                  0.84   data required time
+-----------------------------------------------------------------------------
+                                  0.84   data required time
+                                 -1.20   data arrival time
+-----------------------------------------------------------------------------
+                                  0.36   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5597_
+            (rising edge-triggered flip-flop clocked by uart_clk)
+Endpoint: mprj/u_wb_host/_5597_
+          (rising edge-triggered flip-flop clocked by uart_clk)
+Path Group: uart_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14    0.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    0.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31    0.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.58 ^ mprj/u_wb_host/clkbuf_leaf_9_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    0.80 ^ mprj/u_wb_host/clkbuf_leaf_9_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.05                           mprj/u_wb_host/clknet_leaf_9_u_uart2wb.baud_clk_16x (net)
+                  0.07    0.00    0.81 ^ mprj/u_wb_host/_5597_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.07    0.32    1.13 ^ mprj/u_wb_host/_5597_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.01                           mprj/u_wb_host/u_uart2wb.u_msg.TxMsgBuf[122] (net)
+                  0.07    0.00    1.13 ^ mprj/u_wb_host/_3419_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.24 ^ mprj/u_wb_host/_3419_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0135_ (net)
+                  0.04    0.00    1.24 ^ mprj/u_wb_host/_5597_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  1.24   data arrival time
+
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.63 ^ mprj/u_wb_host/clkbuf_leaf_9_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.24    0.87 ^ mprj/u_wb_host/clkbuf_leaf_9_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.05                           mprj/u_wb_host/clknet_leaf_9_u_uart2wb.baud_clk_16x (net)
+                  0.07    0.00    0.87 ^ mprj/u_wb_host/_5597_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    0.97   clock uncertainty
+                         -0.06    0.91   clock reconvergence pessimism
+                         -0.03    0.88   library hold time
+                                  0.88   data required time
+-----------------------------------------------------------------------------
+                                  0.88   data required time
+                                 -1.24   data arrival time
+-----------------------------------------------------------------------------
+                                  0.36   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5627_
+            (rising edge-triggered flip-flop clocked by uart_clk)
+Endpoint: mprj/u_wb_host/_5627_
+          (rising edge-triggered flip-flop clocked by uart_clk)
+Path Group: uart_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14    0.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    0.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31    0.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.58 ^ mprj/u_wb_host/clkbuf_leaf_10_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.25    0.83 ^ mprj/u_wb_host/clkbuf_leaf_10_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           mprj/u_wb_host/clknet_leaf_10_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.83 ^ mprj/u_wb_host/_5627_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.33    1.15 ^ mprj/u_wb_host/_5627_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.01                           mprj/u_wb_host/u_uart2wb.u_msg.TxMsgBuf[108] (net)
+                  0.06    0.00    1.15 ^ mprj/u_wb_host/_3519_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.27 ^ mprj/u_wb_host/_3519_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0165_ (net)
+                  0.04    0.00    1.27 ^ mprj/u_wb_host/_5627_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  1.27   data arrival time
+
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.62 ^ mprj/u_wb_host/clkbuf_leaf_10_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.27    0.89 ^ mprj/u_wb_host/clkbuf_leaf_10_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           mprj/u_wb_host/clknet_leaf_10_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.89 ^ mprj/u_wb_host/_5627_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    0.99   clock uncertainty
+                         -0.06    0.93   clock reconvergence pessimism
+                         -0.02    0.91   library hold time
+                                  0.91   data required time
+-----------------------------------------------------------------------------
+                                  0.91   data required time
+                                 -1.27   data arrival time
+-----------------------------------------------------------------------------
+                                  0.36   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6381_
+            (rising edge-triggered flip-flop clocked by uart_clk)
+Endpoint: mprj/u_wb_host/_6388_
+          (rising edge-triggered flip-flop clocked by uart_clk)
+Path Group: uart_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14    0.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    0.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31    0.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.58 ^ mprj/u_wb_host/clkbuf_leaf_9_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    0.80 ^ mprj/u_wb_host/clkbuf_leaf_9_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.05                           mprj/u_wb_host/clknet_leaf_9_u_uart2wb.baud_clk_16x (net)
+                  0.07    0.00    0.80 ^ mprj/u_wb_host/_6381_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.07    0.32    1.12 ^ mprj/u_wb_host/_6381_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.01                           mprj/u_wb_host/u_uart2wb.u_msg.TxMsgBuf[34] (net)
+                  0.07    0.00    1.12 ^ mprj/u_wb_host/_5083_/B2 (sky130_fd_sc_hd__a22o_1)
+                  0.04    0.11    1.24 ^ mprj/u_wb_host/_5083_/X (sky130_fd_sc_hd__a22o_1)
+     1    0.00                           mprj/u_wb_host/_0908_ (net)
+                  0.04    0.00    1.24 ^ mprj/u_wb_host/_6388_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  1.24   data arrival time
+
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.63 ^ mprj/u_wb_host/clkbuf_leaf_9_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.24    0.87 ^ mprj/u_wb_host/clkbuf_leaf_9_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.05                           mprj/u_wb_host/clknet_leaf_9_u_uart2wb.baud_clk_16x (net)
+                  0.07    0.00    0.87 ^ mprj/u_wb_host/_6388_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    0.97   clock uncertainty
+                         -0.06    0.90   clock reconvergence pessimism
+                         -0.03    0.88   library hold time
+                                  0.88   data required time
+-----------------------------------------------------------------------------
+                                  0.88   data required time
+                                 -1.24   data arrival time
+-----------------------------------------------------------------------------
+                                  0.36   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5592_
+            (rising edge-triggered flip-flop clocked by uart_clk)
+Endpoint: mprj/u_wb_host/_5592_
+          (rising edge-triggered flip-flop clocked by uart_clk)
+Path Group: uart_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14    0.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    0.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31    0.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.58 ^ mprj/u_wb_host/clkbuf_leaf_7_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.09    0.24    0.82 ^ mprj/u_wb_host/clkbuf_leaf_7_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.07                           mprj/u_wb_host/clknet_leaf_7_u_uart2wb.baud_clk_16x (net)
+                  0.09    0.00    0.82 ^ mprj/u_wb_host/_5592_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.07    0.32    1.14 ^ mprj/u_wb_host/_5592_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.01                           mprj/u_wb_host/u_uart2wb.u_msg.TxMsgBuf[60] (net)
+                  0.07    0.00    1.14 ^ mprj/u_wb_host/_3400_/A1 (sky130_fd_sc_hd__o21a_1)
+                  0.04    0.11    1.26 ^ mprj/u_wb_host/_3400_/X (sky130_fd_sc_hd__o21a_1)
+     1    0.00                           mprj/u_wb_host/_0130_ (net)
+                  0.04    0.00    1.26 ^ mprj/u_wb_host/_5592_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  1.26   data arrival time
+
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.63 ^ mprj/u_wb_host/clkbuf_leaf_7_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.09    0.26    0.88 ^ mprj/u_wb_host/clkbuf_leaf_7_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.07                           mprj/u_wb_host/clknet_leaf_7_u_uart2wb.baud_clk_16x (net)
+                  0.09    0.00    0.88 ^ mprj/u_wb_host/_5592_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    0.98   clock uncertainty
+                         -0.06    0.92   clock reconvergence pessimism
+                         -0.02    0.90   library hold time
+                                  0.90   data required time
+-----------------------------------------------------------------------------
+                                  0.90   data required time
+                                 -1.26   data arrival time
+-----------------------------------------------------------------------------
+                                  0.36   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6369_
+            (rising edge-triggered flip-flop clocked by uart_clk)
+Endpoint: mprj/u_wb_host/_6369_
+          (rising edge-triggered flip-flop clocked by uart_clk)
+Path Group: uart_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14    0.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    0.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31    0.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.58 ^ mprj/u_wb_host/clkbuf_leaf_7_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.09    0.24    0.82 ^ mprj/u_wb_host/clkbuf_leaf_7_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.07                           mprj/u_wb_host/clknet_leaf_7_u_uart2wb.baud_clk_16x (net)
+                  0.09    0.00    0.82 ^ mprj/u_wb_host/_6369_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.07    0.32    1.14 ^ mprj/u_wb_host/_6369_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.01                           mprj/u_wb_host/u_uart2wb.u_msg.TxMsgBuf[17] (net)
+                  0.07    0.00    1.14 ^ mprj/u_wb_host/_5056_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.26 ^ mprj/u_wb_host/_5056_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0889_ (net)
+                  0.04    0.00    1.26 ^ mprj/u_wb_host/_6369_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  1.26   data arrival time
+
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.63 ^ mprj/u_wb_host/clkbuf_leaf_7_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.09    0.26    0.88 ^ mprj/u_wb_host/clkbuf_leaf_7_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.07                           mprj/u_wb_host/clknet_leaf_7_u_uart2wb.baud_clk_16x (net)
+                  0.09    0.00    0.88 ^ mprj/u_wb_host/_6369_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    0.98   clock uncertainty
+                         -0.06    0.92   clock reconvergence pessimism
+                         -0.02    0.90   library hold time
+                                  0.90   data required time
+-----------------------------------------------------------------------------
+                                  0.90   data required time
+                                 -1.26   data arrival time
+-----------------------------------------------------------------------------
+                                  0.36   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5585_
+            (rising edge-triggered flip-flop clocked by uart_clk)
+Endpoint: mprj/u_wb_host/_5585_
+          (rising edge-triggered flip-flop clocked by uart_clk)
+Path Group: uart_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14    0.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    0.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31    0.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.00    0.57 ^ mprj/u_wb_host/clkbuf_leaf_5_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.25    0.82 ^ mprj/u_wb_host/clkbuf_leaf_5_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_5_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.82 ^ mprj/u_wb_host/_5585_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.32    1.15 ^ mprj/u_wb_host/_5585_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.01                           mprj/u_wb_host/u_uart2wb.u_msg.TxMsgBuf[92] (net)
+                  0.06    0.00    1.15 ^ mprj/u_wb_host/_3374_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.26 ^ mprj/u_wb_host/_3374_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0123_ (net)
+                  0.04    0.00    1.26 ^ mprj/u_wb_host/_5585_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  1.26   data arrival time
+
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.00    0.62 ^ mprj/u_wb_host/clkbuf_leaf_5_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.27    0.89 ^ mprj/u_wb_host/clkbuf_leaf_5_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_5_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.89 ^ mprj/u_wb_host/_5585_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    0.99   clock uncertainty
+                         -0.06    0.93   clock reconvergence pessimism
+                         -0.02    0.90   library hold time
+                                  0.90   data required time
+-----------------------------------------------------------------------------
+                                  0.90   data required time
+                                 -1.26   data arrival time
+-----------------------------------------------------------------------------
+                                  0.36   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6371_
+            (rising edge-triggered flip-flop clocked by uart_clk)
+Endpoint: mprj/u_wb_host/_6377_
+          (rising edge-triggered flip-flop clocked by uart_clk)
+Path Group: uart_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14    0.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    0.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31    0.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.58 ^ mprj/u_wb_host/clkbuf_leaf_9_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    0.80 ^ mprj/u_wb_host/clkbuf_leaf_9_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.05                           mprj/u_wb_host/clknet_leaf_9_u_uart2wb.baud_clk_16x (net)
+                  0.07    0.00    0.81 ^ mprj/u_wb_host/_6371_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.08    0.33    1.13 ^ mprj/u_wb_host/_6371_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.01                           mprj/u_wb_host/u_uart2wb.u_msg.TxMsgBuf[21] (net)
+                  0.08    0.00    1.13 ^ mprj/u_wb_host/_5067_/B2 (sky130_fd_sc_hd__o22a_1)
+                  0.04    0.11    1.24 ^ mprj/u_wb_host/_5067_/X (sky130_fd_sc_hd__o22a_1)
+     1    0.00                           mprj/u_wb_host/_0897_ (net)
+                  0.04    0.00    1.24 ^ mprj/u_wb_host/_6377_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  1.24   data arrival time
+
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.63 ^ mprj/u_wb_host/clkbuf_leaf_9_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.24    0.87 ^ mprj/u_wb_host/clkbuf_leaf_9_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.05                           mprj/u_wb_host/clknet_leaf_9_u_uart2wb.baud_clk_16x (net)
+                  0.07    0.00    0.87 ^ mprj/u_wb_host/_6377_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    0.97   clock uncertainty
+                         -0.06    0.91   clock reconvergence pessimism
+                         -0.03    0.88   library hold time
+                                  0.88   data required time
+-----------------------------------------------------------------------------
+                                  0.88   data required time
+                                 -1.24   data arrival time
+-----------------------------------------------------------------------------
+                                  0.36   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6309_
+            (rising edge-triggered flip-flop clocked by uart_clk)
+Endpoint: mprj/u_wb_host/_6313_
+          (rising edge-triggered flip-flop clocked by uart_clk)
+Path Group: uart_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14    0.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.14 ^ mprj/u_wb_host/clkbuf_1_0_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    0.26 ^ mprj/u_wb_host/clkbuf_1_0_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.26 ^ mprj/u_wb_host/clkbuf_1_0_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.25    0.26    0.52 ^ mprj/u_wb_host/clkbuf_1_0_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.14                           mprj/u_wb_host/clknet_1_0_1_u_uart2wb.baud_clk_16x (net)
+                  0.25    0.01    0.53 ^ mprj/u_wb_host/clkbuf_leaf_19_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.19    0.72 ^ mprj/u_wb_host/clkbuf_leaf_19_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.02                           mprj/u_wb_host/clknet_leaf_19_u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.72 ^ mprj/u_wb_host/_6309_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.12    0.37    1.08 ^ mprj/u_wb_host/_6309_/Q (sky130_fd_sc_hd__dfrtp_1)
+     3    0.01                           mprj/u_wb_host/u_uart2wb.reg_wdata[5] (net)
+                  0.12    0.00    1.08 ^ mprj/u_wb_host/_4929_/B2 (sky130_fd_sc_hd__a22o_1)
+                  0.04    0.13    1.22 ^ mprj/u_wb_host/_4929_/X (sky130_fd_sc_hd__a22o_1)
+     1    0.00                           mprj/u_wb_host/_0833_ (net)
+                  0.04    0.00    1.22 ^ mprj/u_wb_host/_6313_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  1.22   data arrival time
+
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_0_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_0_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_0_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.25    0.28    0.56 ^ mprj/u_wb_host/clkbuf_1_0_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.14                           mprj/u_wb_host/clknet_1_0_1_u_uart2wb.baud_clk_16x (net)
+                  0.25    0.01    0.57 ^ mprj/u_wb_host/clkbuf_leaf_18_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.25    0.82 ^ mprj/u_wb_host/clkbuf_leaf_18_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           mprj/u_wb_host/clknet_leaf_18_u_uart2wb.baud_clk_16x (net)
+                  0.11    0.00    0.82 ^ mprj/u_wb_host/_6313_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.92   clock uncertainty
+                         -0.04    0.88   clock reconvergence pessimism
+                         -0.03    0.86   library hold time
+                                  0.86   data required time
+-----------------------------------------------------------------------------
+                                  0.86   data required time
+                                 -1.22   data arrival time
+-----------------------------------------------------------------------------
+                                  0.36   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5542_
+            (rising edge-triggered flip-flop clocked by uart_clk)
+Endpoint: mprj/u_wb_host/_5542_
+          (rising edge-triggered flip-flop clocked by uart_clk)
+Path Group: uart_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14    0.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    0.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31    0.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.58 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.24    0.82 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_12_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.82 ^ mprj/u_wb_host/_5542_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.32    1.15 ^ mprj/u_wb_host/_5542_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.01                           mprj/u_wb_host/u_uart2wb.tx_data[1] (net)
+                  0.06    0.00    1.15 ^ mprj/u_wb_host/_3294_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.26 ^ mprj/u_wb_host/_3294_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0080_ (net)
+                  0.04    0.00    1.26 ^ mprj/u_wb_host/_5542_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  1.26   data arrival time
+
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.62 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    0.89 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_12_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.89 ^ mprj/u_wb_host/_5542_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    0.99   clock uncertainty
+                         -0.06    0.92   clock reconvergence pessimism
+                         -0.02    0.90   library hold time
+                                  0.90   data required time
+-----------------------------------------------------------------------------
+                                  0.90   data required time
+                                 -1.26   data arrival time
+-----------------------------------------------------------------------------
+                                  0.36   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6253_
+            (rising edge-triggered flip-flop clocked by uart_clk)
+Endpoint: mprj/u_wb_host/_6362_
+          (rising edge-triggered flip-flop clocked by uart_clk)
+Path Group: uart_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14    0.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    0.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31    0.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.58 ^ mprj/u_wb_host/clkbuf_leaf_10_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.25    0.83 ^ mprj/u_wb_host/clkbuf_leaf_10_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           mprj/u_wb_host/clknet_leaf_10_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.83 ^ mprj/u_wb_host/_6253_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.07    0.34    1.17 ^ mprj/u_wb_host/_6253_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_wb_host/u_uart2wb.u_msg.NextState[0] (net)
+                  0.07    0.00    1.17 ^ mprj/u_wb_host/_5033_/A1 (sky130_fd_sc_hd__a21o_1)
+                  0.04    0.11    1.28 ^ mprj/u_wb_host/_5033_/X (sky130_fd_sc_hd__a21o_1)
+     1    0.00                           mprj/u_wb_host/_0882_ (net)
+                  0.04    0.00    1.28 ^ mprj/u_wb_host/_6362_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  1.28   data arrival time
+
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.62 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    0.89 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_12_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.89 ^ mprj/u_wb_host/_6362_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    0.99   clock uncertainty
+                         -0.04    0.95   clock reconvergence pessimism
+                         -0.03    0.92   library hold time
+                                  0.92   data required time
+-----------------------------------------------------------------------------
+                                  0.92   data required time
+                                 -1.28   data arrival time
+-----------------------------------------------------------------------------
+                                  0.36   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5913_
+            (rising edge-triggered flip-flop clocked by wb_clk)
+Endpoint: mprj/u_wb_host/_5913_
+          (rising edge-triggered flip-flop clocked by wb_clk)
+Path Group: wb_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09    4.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    4.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27    4.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    4.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37    4.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20    5.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35    5.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    5.65 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.79 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
+                  0.06    0.00    5.79 ^ mprj/u_wb_host/clkbuf_2_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.92 ^ mprj/u_wb_host/clkbuf_2_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_2_0_wbm_clk_i (net)
+                  0.06    0.00    5.92 ^ mprj/u_wb_host/clkbuf_3_4_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.19    0.23    6.16 ^ mprj/u_wb_host/clkbuf_3_4_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_wb_host/clknet_3_4_0_wbm_clk_i (net)
+                  0.19    0.00    6.16 ^ mprj/u_wb_host/clkbuf_leaf_43_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.18    6.33 ^ mprj/u_wb_host/clkbuf_leaf_43_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.03                           mprj/u_wb_host/clknet_leaf_43_wbm_clk_i (net)
+                  0.05    0.00    6.33 ^ mprj/u_wb_host/_5913_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.05    0.31    6.64 ^ mprj/u_wb_host/_5913_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.00                           mprj/u_wb_host/wb_reg_ack (net)
+                  0.05    0.00    6.64 ^ mprj/u_wb_host/_2791_/A (sky130_fd_sc_hd__nor2_1)
+                  0.07    0.07    6.71 v mprj/u_wb_host/_2791_/Y (sky130_fd_sc_hd__nor2_1)
+     3    0.01                           mprj/u_wb_host/_0000_ (net)
+                  0.07    0.00    6.71 v mprj/u_wb_host/_5913_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  6.71   data arrival time
+
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.10    5.31 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    5.31 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.30    5.61 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    5.64 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.40    6.04 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.21    6.26 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.37    6.63 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    6.63 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.84 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    6.84 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.99 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
+                  0.06    0.00    6.99 ^ mprj/u_wb_host/clkbuf_2_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.14 ^ mprj/u_wb_host/clkbuf_2_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_2_0_wbm_clk_i (net)
+                  0.06    0.00    7.14 ^ mprj/u_wb_host/clkbuf_3_4_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.19    0.25    7.39 ^ mprj/u_wb_host/clkbuf_3_4_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_wb_host/clknet_3_4_0_wbm_clk_i (net)
+                  0.19    0.00    7.39 ^ mprj/u_wb_host/clkbuf_leaf_43_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.19    7.58 ^ mprj/u_wb_host/clkbuf_leaf_43_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.03                           mprj/u_wb_host/clknet_leaf_43_wbm_clk_i (net)
+                  0.05    0.00    7.58 ^ mprj/u_wb_host/_5913_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    7.68   clock uncertainty
+                         -1.24    6.43   clock reconvergence pessimism
+                         -0.05    6.38   library hold time
+                                  6.38   data required time
+-----------------------------------------------------------------------------
+                                  6.38   data required time
+                                 -6.71   data arrival time
+-----------------------------------------------------------------------------
+                                  0.33   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6041_
+            (rising edge-triggered flip-flop clocked by wb_clk)
+Endpoint: mprj/u_wb_host/_6041_
+          (rising edge-triggered flip-flop clocked by wb_clk)
+Path Group: wb_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09    4.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    4.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27    4.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    4.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37    4.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20    5.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35    5.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    5.65 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15    5.80 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00    5.80 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.94 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    5.94 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.25    0.27    6.21 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
+                  0.25    0.00    6.21 ^ mprj/u_wb_host/clkbuf_leaf_19_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.19    6.41 ^ mprj/u_wb_host/clkbuf_leaf_19_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_wb_host/clknet_leaf_19_wbm_clk_i (net)
+                  0.05    0.00    6.41 ^ mprj/u_wb_host/_6041_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.05    0.30    6.71 ^ mprj/u_wb_host/_6041_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[3][64] (net)
+                  0.05    0.00    6.71 ^ mprj/u_wb_host/_4588_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    6.82 ^ mprj/u_wb_host/_4588_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0561_ (net)
+                  0.04    0.00    6.82 ^ mprj/u_wb_host/_6041_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  6.82   data arrival time
+
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.10    5.31 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    5.31 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.30    5.61 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    5.64 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.40    6.04 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.21    6.26 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.37    6.63 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    6.63 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.84 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    6.84 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.16    7.00 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00    7.00 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.15 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    7.15 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.25    0.29    7.44 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
+                  0.25    0.00    7.45 ^ mprj/u_wb_host/clkbuf_leaf_19_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.21    7.66 ^ mprj/u_wb_host/clkbuf_leaf_19_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_wb_host/clknet_leaf_19_wbm_clk_i (net)
+                  0.05    0.00    7.66 ^ mprj/u_wb_host/_6041_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.76   clock uncertainty
+                         -1.25    6.51   clock reconvergence pessimism
+                         -0.03    6.48   library hold time
+                                  6.48   data required time
+-----------------------------------------------------------------------------
+                                  6.48   data required time
+                                 -6.82   data arrival time
+-----------------------------------------------------------------------------
+                                  0.34   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6016_
+            (rising edge-triggered flip-flop clocked by wb_clk)
+Endpoint: mprj/u_wb_host/_6016_
+          (rising edge-triggered flip-flop clocked by wb_clk)
+Path Group: wb_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09    4.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    4.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27    4.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    4.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37    4.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20    5.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35    5.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    5.65 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15    5.80 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00    5.80 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.94 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    5.94 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.24    0.26    6.20 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
+                  0.24    0.01    6.21 ^ mprj/u_wb_host/clkbuf_leaf_28_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.19    6.40 ^ mprj/u_wb_host/clkbuf_leaf_28_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.03                           mprj/u_wb_host/clknet_leaf_28_wbm_clk_i (net)
+                  0.05    0.00    6.40 ^ mprj/u_wb_host/_6016_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.30    6.70 ^ mprj/u_wb_host/_6016_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[3][39] (net)
+                  0.06    0.00    6.70 ^ mprj/u_wb_host/_4549_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    6.81 ^ mprj/u_wb_host/_4549_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0536_ (net)
+                  0.04    0.00    6.81 ^ mprj/u_wb_host/_6016_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  6.81   data arrival time
+
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.10    5.31 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    5.31 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.30    5.61 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    5.64 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.40    6.04 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.21    6.26 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.37    6.63 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    6.63 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.84 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    6.84 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.16    7.00 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00    7.00 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.15 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    7.15 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.24    0.28    7.43 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
+                  0.24    0.01    7.44 ^ mprj/u_wb_host/clkbuf_leaf_28_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.20    7.65 ^ mprj/u_wb_host/clkbuf_leaf_28_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.03                           mprj/u_wb_host/clknet_leaf_28_wbm_clk_i (net)
+                  0.05    0.00    7.65 ^ mprj/u_wb_host/_6016_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.75   clock uncertainty
+                         -1.25    6.50   clock reconvergence pessimism
+                         -0.03    6.47   library hold time
+                                  6.47   data required time
+-----------------------------------------------------------------------------
+                                  6.47   data required time
+                                 -6.81   data arrival time
+-----------------------------------------------------------------------------
+                                  0.34   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6059_
+            (rising edge-triggered flip-flop clocked by wb_clk)
+Endpoint: mprj/u_wb_host/_6059_
+          (rising edge-triggered flip-flop clocked by wb_clk)
+Path Group: wb_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09    4.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    4.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27    4.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    4.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37    4.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20    5.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35    5.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    5.65 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15    5.80 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00    5.80 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.94 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    5.94 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.24    0.26    6.20 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
+                  0.24    0.01    6.21 ^ mprj/u_wb_host/clkbuf_leaf_23_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.41 ^ mprj/u_wb_host/clkbuf_leaf_23_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    12    0.04                           mprj/u_wb_host/clknet_leaf_23_wbm_clk_i (net)
+                  0.06    0.00    6.41 ^ mprj/u_wb_host/_6059_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.05    0.31    6.71 ^ mprj/u_wb_host/_6059_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[2][13] (net)
+                  0.05    0.00    6.71 ^ mprj/u_wb_host/_4607_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    6.82 ^ mprj/u_wb_host/_4607_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0579_ (net)
+                  0.04    0.00    6.82 ^ mprj/u_wb_host/_6059_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  6.82   data arrival time
+
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.10    5.31 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    5.31 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.30    5.61 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    5.64 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.40    6.04 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.21    6.26 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.37    6.63 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    6.63 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.84 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    6.84 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.16    7.00 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00    7.00 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.15 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    7.15 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.24    0.28    7.43 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
+                  0.24    0.01    7.45 ^ mprj/u_wb_host/clkbuf_leaf_23_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    7.66 ^ mprj/u_wb_host/clkbuf_leaf_23_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    12    0.04                           mprj/u_wb_host/clknet_leaf_23_wbm_clk_i (net)
+                  0.06    0.00    7.66 ^ mprj/u_wb_host/_6059_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.76   clock uncertainty
+                         -1.25    6.51   clock reconvergence pessimism
+                         -0.03    6.48   library hold time
+                                  6.48   data required time
+-----------------------------------------------------------------------------
+                                  6.48   data required time
+                                 -6.82   data arrival time
+-----------------------------------------------------------------------------
+                                  0.34   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6021_
+            (rising edge-triggered flip-flop clocked by wb_clk)
+Endpoint: mprj/u_wb_host/_6021_
+          (rising edge-triggered flip-flop clocked by wb_clk)
+Path Group: wb_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09    4.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    4.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27    4.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    4.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37    4.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20    5.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35    5.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    5.65 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15    5.80 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00    5.80 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.94 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    5.94 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.25    0.27    6.21 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
+                  0.25    0.00    6.21 ^ mprj/u_wb_host/clkbuf_leaf_17_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    6.41 ^ mprj/u_wb_host/clkbuf_leaf_17_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_wb_host/clknet_leaf_17_wbm_clk_i (net)
+                  0.06    0.00    6.41 ^ mprj/u_wb_host/_6021_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.30    6.71 ^ mprj/u_wb_host/_6021_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[3][44] (net)
+                  0.06    0.00    6.71 ^ mprj/u_wb_host/_4558_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    6.82 ^ mprj/u_wb_host/_4558_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0541_ (net)
+                  0.04    0.00    6.82 ^ mprj/u_wb_host/_6021_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  6.82   data arrival time
+
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.10    5.31 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    5.31 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.30    5.61 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    5.64 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.40    6.04 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.21    6.26 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.37    6.63 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    6.63 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.84 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    6.84 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.16    7.00 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00    7.00 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.15 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    7.15 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.25    0.29    7.44 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
+                  0.25    0.00    7.44 ^ mprj/u_wb_host/clkbuf_leaf_17_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    7.65 ^ mprj/u_wb_host/clkbuf_leaf_17_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_wb_host/clknet_leaf_17_wbm_clk_i (net)
+                  0.06    0.00    7.66 ^ mprj/u_wb_host/_6021_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.76   clock uncertainty
+                         -1.25    6.51   clock reconvergence pessimism
+                         -0.03    6.48   library hold time
+                                  6.48   data required time
+-----------------------------------------------------------------------------
+                                  6.48   data required time
+                                 -6.82   data arrival time
+-----------------------------------------------------------------------------
+                                  0.34   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5879_
+            (rising edge-triggered flip-flop clocked by wb_clk)
+Endpoint: mprj/u_wb_host/_5879_
+          (rising edge-triggered flip-flop clocked by wb_clk)
+Path Group: wb_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09    4.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    4.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27    4.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    4.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37    4.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20    5.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35    5.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    5.65 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15    5.80 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00    5.80 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.94 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    5.94 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.24    0.26    6.20 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
+                  0.24    0.01    6.20 ^ mprj/u_wb_host/clkbuf_leaf_10_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20    6.41 ^ mprj/u_wb_host/clkbuf_leaf_10_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.05                           mprj/u_wb_host/clknet_leaf_10_wbm_clk_i (net)
+                  0.07    0.00    6.41 ^ mprj/u_wb_host/_5879_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.10    0.36    6.77 ^ mprj/u_wb_host/_5879_/Q (sky130_fd_sc_hd__dfrtp_1)
+     3    0.01                           mprj/u_wb_host/u_wbclk.high_count[0] (net)
+                  0.10    0.00    6.77 ^ mprj/u_wb_host/_4276_/B1 (sky130_fd_sc_hd__a21oi_1)
+                  0.04    0.05    6.82 v mprj/u_wb_host/_4276_/Y (sky130_fd_sc_hd__a21oi_1)
+     1    0.00                           mprj/u_wb_host/_0408_ (net)
+                  0.04    0.00    6.82 v mprj/u_wb_host/_5879_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  6.82   data arrival time
+
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.10    5.31 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    5.31 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.30    5.61 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    5.64 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.40    6.04 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.21    6.26 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.37    6.63 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    6.63 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.84 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    6.84 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.16    7.00 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00    7.00 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.15 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    7.15 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.24    0.28    7.43 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
+                  0.24    0.01    7.44 ^ mprj/u_wb_host/clkbuf_leaf_10_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    7.66 ^ mprj/u_wb_host/clkbuf_leaf_10_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.05                           mprj/u_wb_host/clknet_leaf_10_wbm_clk_i (net)
+                  0.07    0.00    7.66 ^ mprj/u_wb_host/_5879_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    7.76   clock uncertainty
+                         -1.25    6.51   clock reconvergence pessimism
+                         -0.04    6.47   library hold time
+                                  6.47   data required time
+-----------------------------------------------------------------------------
+                                  6.47   data required time
+                                 -6.82   data arrival time
+-----------------------------------------------------------------------------
+                                  0.34   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6070_
+            (rising edge-triggered flip-flop clocked by wb_clk)
+Endpoint: mprj/u_wb_host/_6070_
+          (rising edge-triggered flip-flop clocked by wb_clk)
+Path Group: wb_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09    4.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    4.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27    4.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    4.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37    4.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20    5.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35    5.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    5.65 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.79 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
+                  0.06    0.00    5.79 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.92 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_3_0_wbm_clk_i (net)
+                  0.05    0.00    5.92 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.15    0.20    6.13 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    12    0.08                           mprj/u_wb_host/clknet_3_6_0_wbm_clk_i (net)
+                  0.15    0.00    6.13 ^ mprj/u_wb_host/clkbuf_leaf_30_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17    6.30 ^ mprj/u_wb_host/clkbuf_leaf_30_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    11    0.04                           mprj/u_wb_host/clknet_leaf_30_wbm_clk_i (net)
+                  0.06    0.00    6.30 ^ mprj/u_wb_host/_6070_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.30    6.60 ^ mprj/u_wb_host/_6070_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[2][24] (net)
+                  0.06    0.00    6.60 ^ mprj/u_wb_host/_4618_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    6.71 ^ mprj/u_wb_host/_4618_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0590_ (net)
+                  0.04    0.00    6.71 ^ mprj/u_wb_host/_6070_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  6.71   data arrival time
+
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.10    5.31 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    5.31 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.30    5.61 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    5.64 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.40    6.04 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.21    6.26 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.37    6.63 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    6.63 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.84 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    6.84 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.99 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
+                  0.06    0.00    6.99 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    7.13 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_3_0_wbm_clk_i (net)
+                  0.05    0.00    7.13 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.15    0.22    7.35 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    12    0.08                           mprj/u_wb_host/clknet_3_6_0_wbm_clk_i (net)
+                  0.15    0.00    7.35 ^ mprj/u_wb_host/clkbuf_leaf_30_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.18    7.54 ^ mprj/u_wb_host/clkbuf_leaf_30_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    11    0.04                           mprj/u_wb_host/clknet_leaf_30_wbm_clk_i (net)
+                  0.06    0.00    7.54 ^ mprj/u_wb_host/_6070_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.64   clock uncertainty
+                         -1.24    6.40   clock reconvergence pessimism
+                         -0.03    6.37   library hold time
+                                  6.37   data required time
+-----------------------------------------------------------------------------
+                                  6.37   data required time
+                                 -6.71   data arrival time
+-----------------------------------------------------------------------------
+                                  0.34   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6232_
+            (rising edge-triggered flip-flop clocked by wb_clk)
+Endpoint: mprj/u_wb_host/_6232_
+          (rising edge-triggered flip-flop clocked by wb_clk)
+Path Group: wb_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09    4.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    4.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27    4.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    4.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37    4.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20    5.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35    5.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    5.65 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15    5.80 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00    5.80 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.94 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    5.94 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.24    0.26    6.20 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
+                  0.24    0.00    6.20 ^ mprj/u_wb_host/clkbuf_leaf_11_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.40 ^ mprj/u_wb_host/clkbuf_leaf_11_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    11    0.04                           mprj/u_wb_host/clknet_leaf_11_wbm_clk_i (net)
+                  0.06    0.00    6.40 ^ mprj/u_wb_host/_6232_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.31    6.71 ^ mprj/u_wb_host/_6232_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[0][48] (net)
+                  0.06    0.00    6.71 ^ mprj/u_wb_host/_4781_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    6.82 ^ mprj/u_wb_host/_4781_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0752_ (net)
+                  0.04    0.00    6.82 ^ mprj/u_wb_host/_6232_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  6.82   data arrival time
+
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.10    5.31 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    5.31 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.30    5.61 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    5.64 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.40    6.04 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.21    6.26 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.37    6.63 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    6.63 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.84 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    6.84 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.16    7.00 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00    7.00 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.15 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    7.15 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.24    0.28    7.43 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
+                  0.24    0.00    7.44 ^ mprj/u_wb_host/clkbuf_leaf_11_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    7.65 ^ mprj/u_wb_host/clkbuf_leaf_11_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    11    0.04                           mprj/u_wb_host/clknet_leaf_11_wbm_clk_i (net)
+                  0.06    0.00    7.65 ^ mprj/u_wb_host/_6232_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.75   clock uncertainty
+                         -1.25    6.50   clock reconvergence pessimism
+                         -0.03    6.47   library hold time
+                                  6.47   data required time
+-----------------------------------------------------------------------------
+                                  6.47   data required time
+                                 -6.82   data arrival time
+-----------------------------------------------------------------------------
+                                  0.34   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6057_
+            (rising edge-triggered flip-flop clocked by wb_clk)
+Endpoint: mprj/u_wb_host/_6057_
+          (rising edge-triggered flip-flop clocked by wb_clk)
+Path Group: wb_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09    4.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    4.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27    4.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    4.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37    4.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20    5.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35    5.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    5.65 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.79 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
+                  0.06    0.00    5.79 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.92 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_3_0_wbm_clk_i (net)
+                  0.05    0.00    5.92 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.15    0.20    6.13 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    12    0.08                           mprj/u_wb_host/clknet_3_6_0_wbm_clk_i (net)
+                  0.15    0.00    6.13 ^ mprj/u_wb_host/clkbuf_leaf_32_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.29 ^ mprj/u_wb_host/clkbuf_leaf_32_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_wb_host/clknet_leaf_32_wbm_clk_i (net)
+                  0.05    0.00    6.29 ^ mprj/u_wb_host/_6057_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.31    6.60 ^ mprj/u_wb_host/_6057_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[2][11] (net)
+                  0.06    0.00    6.60 ^ mprj/u_wb_host/_4605_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    6.71 ^ mprj/u_wb_host/_4605_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0577_ (net)
+                  0.04    0.00    6.71 ^ mprj/u_wb_host/_6057_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  6.71   data arrival time
+
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.10    5.31 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    5.31 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.30    5.61 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    5.64 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.40    6.04 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.21    6.26 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.37    6.63 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    6.63 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.84 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    6.84 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.99 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
+                  0.06    0.00    6.99 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    7.13 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_3_0_wbm_clk_i (net)
+                  0.05    0.00    7.13 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.15    0.22    7.35 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    12    0.08                           mprj/u_wb_host/clknet_3_6_0_wbm_clk_i (net)
+                  0.15    0.00    7.35 ^ mprj/u_wb_host/clkbuf_leaf_32_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.18    7.53 ^ mprj/u_wb_host/clkbuf_leaf_32_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_wb_host/clknet_leaf_32_wbm_clk_i (net)
+                  0.05    0.00    7.53 ^ mprj/u_wb_host/_6057_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.63   clock uncertainty
+                         -1.24    6.39   clock reconvergence pessimism
+                         -0.03    6.36   library hold time
+                                  6.36   data required time
+-----------------------------------------------------------------------------
+                                  6.36   data required time
+                                 -6.71   data arrival time
+-----------------------------------------------------------------------------
+                                  0.34   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6163_
+            (rising edge-triggered flip-flop clocked by wb_clk)
+Endpoint: mprj/u_wb_host/_6163_
+          (rising edge-triggered flip-flop clocked by wb_clk)
+Path Group: wb_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09    4.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    4.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27    4.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    4.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37    4.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20    5.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35    5.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    5.65 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15    5.80 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00    5.80 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.94 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    5.94 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.24    0.26    6.20 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
+                  0.24    0.00    6.20 ^ mprj/u_wb_host/clkbuf_leaf_11_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.40 ^ mprj/u_wb_host/clkbuf_leaf_11_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    11    0.04                           mprj/u_wb_host/clknet_leaf_11_wbm_clk_i (net)
+                  0.06    0.00    6.40 ^ mprj/u_wb_host/_6163_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.31    6.71 ^ mprj/u_wb_host/_6163_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[1][48] (net)
+                  0.06    0.00    6.71 ^ mprj/u_wb_host/_4711_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    6.82 ^ mprj/u_wb_host/_4711_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0683_ (net)
+                  0.04    0.00    6.82 ^ mprj/u_wb_host/_6163_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  6.82   data arrival time
+
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.10    5.31 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    5.31 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.30    5.61 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    5.64 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.40    6.04 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.21    6.26 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.37    6.63 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    6.63 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.84 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    6.84 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.16    7.00 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00    7.00 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.15 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    7.15 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.24    0.28    7.43 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
+                  0.24    0.00    7.44 ^ mprj/u_wb_host/clkbuf_leaf_11_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    7.65 ^ mprj/u_wb_host/clkbuf_leaf_11_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    11    0.04                           mprj/u_wb_host/clknet_leaf_11_wbm_clk_i (net)
+                  0.06    0.00    7.65 ^ mprj/u_wb_host/_6163_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.75   clock uncertainty
+                         -1.25    6.50   clock reconvergence pessimism
+                         -0.03    6.47   library hold time
+                                  6.47   data required time
+-----------------------------------------------------------------------------
+                                  6.47   data required time
+                                 -6.82   data arrival time
+-----------------------------------------------------------------------------
+                                  0.34   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6050_
+            (rising edge-triggered flip-flop clocked by wb_clk)
+Endpoint: mprj/u_wb_host/_6050_
+          (rising edge-triggered flip-flop clocked by wb_clk)
+Path Group: wb_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09    4.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    4.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27    4.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    4.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37    4.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20    5.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35    5.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    5.65 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.79 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
+                  0.06    0.00    5.79 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.92 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_3_0_wbm_clk_i (net)
+                  0.05    0.00    5.92 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.15    0.20    6.13 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    12    0.08                           mprj/u_wb_host/clknet_3_6_0_wbm_clk_i (net)
+                  0.15    0.00    6.13 ^ mprj/u_wb_host/clkbuf_leaf_32_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.29 ^ mprj/u_wb_host/clkbuf_leaf_32_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_wb_host/clknet_leaf_32_wbm_clk_i (net)
+                  0.05    0.00    6.29 ^ mprj/u_wb_host/_6050_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.30    6.60 ^ mprj/u_wb_host/_6050_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[2][4] (net)
+                  0.06    0.00    6.60 ^ mprj/u_wb_host/_4598_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    6.71 ^ mprj/u_wb_host/_4598_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0570_ (net)
+                  0.04    0.00    6.71 ^ mprj/u_wb_host/_6050_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  6.71   data arrival time
+
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.10    5.31 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    5.31 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.30    5.61 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    5.64 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.40    6.04 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.21    6.26 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.37    6.63 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    6.63 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.84 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    6.84 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.99 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
+                  0.06    0.00    6.99 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    7.13 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_3_0_wbm_clk_i (net)
+                  0.05    0.00    7.13 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.15    0.22    7.35 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    12    0.08                           mprj/u_wb_host/clknet_3_6_0_wbm_clk_i (net)
+                  0.15    0.00    7.35 ^ mprj/u_wb_host/clkbuf_leaf_32_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.18    7.53 ^ mprj/u_wb_host/clkbuf_leaf_32_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_wb_host/clknet_leaf_32_wbm_clk_i (net)
+                  0.05    0.00    7.53 ^ mprj/u_wb_host/_6050_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.63   clock uncertainty
+                         -1.24    6.39   clock reconvergence pessimism
+                         -0.03    6.36   library hold time
+                                  6.36   data required time
+-----------------------------------------------------------------------------
+                                  6.36   data required time
+                                 -6.71   data arrival time
+-----------------------------------------------------------------------------
+                                  0.34   slack (MET)
 
 
 Startpoint: mprj/u_wb_host/_5989_
@@ -58212,31 +74989,468 @@
                   0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
      2    0.05                           mgmt_buffers/net1453 (net)
                   0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10    4.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    4.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    4.37 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    4.50 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.02    0.09    4.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    4.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27    4.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    4.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37    4.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20    5.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35    5.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    5.65 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15    5.80 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00    5.80 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.94 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    5.94 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.24    0.26    6.20 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
+                  0.24    0.00    6.20 ^ mprj/u_wb_host/clkbuf_leaf_25_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    6.39 ^ mprj/u_wb_host/clkbuf_leaf_25_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_wb_host/clknet_leaf_25_wbm_clk_i (net)
+                  0.06    0.00    6.39 ^ mprj/u_wb_host/_5989_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.31    6.70 ^ mprj/u_wb_host/_5989_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[3][12] (net)
+                  0.06    0.00    6.70 ^ mprj/u_wb_host/_4520_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    6.81 ^ mprj/u_wb_host/_4520_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0509_ (net)
+                  0.04    0.00    6.81 ^ mprj/u_wb_host/_5989_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  6.81   data arrival time
+
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.10    5.31 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    5.31 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.30    5.61 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    5.64 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.40    6.04 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.21    6.26 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.37    6.63 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    6.63 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.84 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    6.84 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.16    7.00 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00    7.00 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.15 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    7.15 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.24    0.28    7.43 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
+                  0.24    0.00    7.44 ^ mprj/u_wb_host/clkbuf_leaf_25_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    7.64 ^ mprj/u_wb_host/clkbuf_leaf_25_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_wb_host/clknet_leaf_25_wbm_clk_i (net)
+                  0.06    0.00    7.64 ^ mprj/u_wb_host/_5989_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.74   clock uncertainty
+                         -1.25    6.49   clock reconvergence pessimism
+                         -0.03    6.47   library hold time
+                                  6.47   data required time
+-----------------------------------------------------------------------------
+                                  6.47   data required time
+                                 -6.81   data arrival time
+-----------------------------------------------------------------------------
+                                  0.34   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6027_
+            (rising edge-triggered flip-flop clocked by wb_clk)
+Endpoint: mprj/u_wb_host/_6027_
+          (rising edge-triggered flip-flop clocked by wb_clk)
+Path Group: wb_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09    4.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    4.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27    4.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    4.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37    4.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20    5.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35    5.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    5.65 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15    5.80 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00    5.80 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.94 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    5.94 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.25    0.27    6.21 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
+                  0.25    0.00    6.21 ^ mprj/u_wb_host/clkbuf_leaf_21_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.41 ^ mprj/u_wb_host/clkbuf_leaf_21_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    12    0.04                           mprj/u_wb_host/clknet_leaf_21_wbm_clk_i (net)
+                  0.06    0.00    6.41 ^ mprj/u_wb_host/_6027_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.05    0.31    6.72 ^ mprj/u_wb_host/_6027_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[3][50] (net)
+                  0.05    0.00    6.72 ^ mprj/u_wb_host/_4570_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    6.83 ^ mprj/u_wb_host/_4570_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0547_ (net)
+                  0.04    0.00    6.83 ^ mprj/u_wb_host/_6027_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  6.83   data arrival time
+
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.10    5.31 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    5.31 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.30    5.61 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    5.64 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.40    6.04 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.21    6.26 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.37    6.63 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    6.63 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.84 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    6.84 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.16    7.00 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00    7.00 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.15 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    7.15 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.25    0.29    7.44 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
+                  0.25    0.00    7.45 ^ mprj/u_wb_host/clkbuf_leaf_21_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.22    7.66 ^ mprj/u_wb_host/clkbuf_leaf_21_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    12    0.04                           mprj/u_wb_host/clknet_leaf_21_wbm_clk_i (net)
+                  0.06    0.00    7.66 ^ mprj/u_wb_host/_6027_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.76   clock uncertainty
+                         -1.25    6.51   clock reconvergence pessimism
+                         -0.03    6.49   library hold time
+                                  6.49   data required time
+-----------------------------------------------------------------------------
+                                  6.49   data required time
+                                 -6.83   data arrival time
+-----------------------------------------------------------------------------
+                                  0.34   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6207_
+            (rising edge-triggered flip-flop clocked by wb_clk)
+Endpoint: mprj/u_wb_host/_6207_
+          (rising edge-triggered flip-flop clocked by wb_clk)
+Path Group: wb_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09    4.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    4.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27    4.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    4.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37    4.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20    5.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35    5.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    5.65 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.79 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
-                  0.06    0.00    4.50 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.64 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    5.79 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.92 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      2    0.02                           mprj/u_wb_host/clknet_2_3_0_wbm_clk_i (net)
-                  0.05    0.00    4.64 ^ mprj/u_wb_host/clkbuf_3_7_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.19    0.23    4.87 ^ mprj/u_wb_host/clkbuf_3_7_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.11                           mprj/u_wb_host/clknet_3_7_0_wbm_clk_i (net)
-                  0.19    0.00    4.87 ^ mprj/u_wb_host/clkbuf_leaf_34_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.18    5.05 ^ mprj/u_wb_host/clkbuf_leaf_34_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.03                           mprj/u_wb_host/clknet_leaf_34_wbm_clk_i (net)
-                  0.06    0.00    5.05 ^ mprj/u_wb_host/_5989_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.05    0.30    5.36 ^ mprj/u_wb_host/_5989_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[3][6] (net)
-                  0.05    0.00    5.36 ^ mprj/u_wb_host/_4519_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    5.46 ^ mprj/u_wb_host/_4519_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0503_ (net)
-                  0.04    0.00    5.46 ^ mprj/u_wb_host/_5989_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  5.46   data arrival time
+                  0.05    0.00    5.92 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.15    0.20    6.13 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    12    0.08                           mprj/u_wb_host/clknet_3_6_0_wbm_clk_i (net)
+                  0.15    0.00    6.13 ^ mprj/u_wb_host/clkbuf_leaf_32_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.29 ^ mprj/u_wb_host/clkbuf_leaf_32_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_wb_host/clknet_leaf_32_wbm_clk_i (net)
+                  0.05    0.00    6.29 ^ mprj/u_wb_host/_6207_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.31    6.60 ^ mprj/u_wb_host/_6207_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[0][23] (net)
+                  0.06    0.00    6.60 ^ mprj/u_wb_host/_4756_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    6.71 ^ mprj/u_wb_host/_4756_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0727_ (net)
+                  0.04    0.00    6.71 ^ mprj/u_wb_host/_6207_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  6.71   data arrival time
 
                           0.00    0.00   clock wb_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -58304,38 +75518,47 @@
                   0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
      2    0.05                           mgmt_buffers/net1453 (net)
                   0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.11    5.32 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    5.32 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    5.46 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.60 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.02    0.10    5.31 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    5.31 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.30    5.61 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    5.64 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.40    6.04 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.21    6.26 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.37    6.63 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    6.63 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.84 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    6.84 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.99 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
-                  0.06    0.00    5.60 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.75 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    6.99 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    7.13 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      2    0.02                           mprj/u_wb_host/clknet_2_3_0_wbm_clk_i (net)
-                  0.05    0.00    5.75 ^ mprj/u_wb_host/clkbuf_3_7_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.19    0.25    6.00 ^ mprj/u_wb_host/clkbuf_3_7_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.11                           mprj/u_wb_host/clknet_3_7_0_wbm_clk_i (net)
-                  0.19    0.00    6.00 ^ mprj/u_wb_host/clkbuf_leaf_34_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.19    6.20 ^ mprj/u_wb_host/clkbuf_leaf_34_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.03                           mprj/u_wb_host/clknet_leaf_34_wbm_clk_i (net)
-                  0.06    0.00    6.20 ^ mprj/u_wb_host/_5989_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    6.30   clock uncertainty
-                         -1.14    5.15   clock reconvergence pessimism
-                         -0.03    5.13   library hold time
-                                  5.13   data required time
+                  0.05    0.00    7.13 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.15    0.22    7.35 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    12    0.08                           mprj/u_wb_host/clknet_3_6_0_wbm_clk_i (net)
+                  0.15    0.00    7.35 ^ mprj/u_wb_host/clkbuf_leaf_32_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.18    7.53 ^ mprj/u_wb_host/clkbuf_leaf_32_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_wb_host/clknet_leaf_32_wbm_clk_i (net)
+                  0.05    0.00    7.53 ^ mprj/u_wb_host/_6207_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.63   clock uncertainty
+                         -1.24    6.39   clock reconvergence pessimism
+                         -0.03    6.36   library hold time
+                                  6.36   data required time
 -----------------------------------------------------------------------------
-                                  5.13   data required time
-                                 -5.46   data arrival time
+                                  6.36   data required time
+                                 -6.71   data arrival time
 -----------------------------------------------------------------------------
                                   0.34   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_6216_
+Startpoint: mprj/u_wb_host/_6026_
             (rising edge-triggered flip-flop clocked by wb_clk)
-Endpoint: mprj/u_wb_host/_6216_
+Endpoint: mprj/u_wb_host/_6026_
           (rising edge-triggered flip-flop clocked by wb_clk)
 Path Group: wb_clk
 Path Type: min
@@ -58408,31 +75631,40 @@
                   0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
      2    0.05                           mgmt_buffers/net1453 (net)
                   0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10    4.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    4.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    4.37 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    4.50 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
-                  0.06    0.00    4.50 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.64 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_3_0_wbm_clk_i (net)
-                  0.05    0.00    4.64 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.17    0.21    4.85 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.09                           mprj/u_wb_host/clknet_3_6_0_wbm_clk_i (net)
-                  0.17    0.00    4.85 ^ mprj/u_wb_host/clkbuf_leaf_28_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.17    5.02 ^ mprj/u_wb_host/clkbuf_leaf_28_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.03                           mprj/u_wb_host/clknet_leaf_28_wbm_clk_i (net)
-                  0.05    0.00    5.02 ^ mprj/u_wb_host/_6216_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.05    0.30    5.32 ^ mprj/u_wb_host/_6216_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[0][26] (net)
-                  0.05    0.00    5.32 ^ mprj/u_wb_host/_4764_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    5.43 ^ mprj/u_wb_host/_4764_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0730_ (net)
-                  0.04    0.00    5.43 ^ mprj/u_wb_host/_6216_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  5.43   data arrival time
+                  0.02    0.09    4.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    4.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27    4.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    4.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37    4.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20    5.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35    5.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    5.65 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15    5.80 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00    5.80 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.94 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    5.94 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.24    0.26    6.20 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
+                  0.24    0.00    6.20 ^ mprj/u_wb_host/clkbuf_leaf_26_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.19    6.39 ^ mprj/u_wb_host/clkbuf_leaf_26_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_wb_host/clknet_leaf_26_wbm_clk_i (net)
+                  0.05    0.00    6.39 ^ mprj/u_wb_host/_6026_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.30    6.70 ^ mprj/u_wb_host/_6026_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[3][49] (net)
+                  0.06    0.00    6.70 ^ mprj/u_wb_host/_4568_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    6.81 ^ mprj/u_wb_host/_4568_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0546_ (net)
+                  0.04    0.00    6.81 ^ mprj/u_wb_host/_6026_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  6.81   data arrival time
 
                           0.00    0.00   clock wb_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -58500,2775 +75732,40 @@
                   0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
      2    0.05                           mgmt_buffers/net1453 (net)
                   0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.11    5.32 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    5.32 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    5.46 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.60 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
-                  0.06    0.00    5.60 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.75 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_3_0_wbm_clk_i (net)
-                  0.05    0.00    5.75 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.17    0.23    5.98 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.09                           mprj/u_wb_host/clknet_3_6_0_wbm_clk_i (net)
-                  0.17    0.00    5.98 ^ mprj/u_wb_host/clkbuf_leaf_28_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.18    6.16 ^ mprj/u_wb_host/clkbuf_leaf_28_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.03                           mprj/u_wb_host/clknet_leaf_28_wbm_clk_i (net)
-                  0.05    0.00    6.16 ^ mprj/u_wb_host/_6216_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    6.26   clock uncertainty
-                         -1.14    5.12   clock reconvergence pessimism
-                         -0.03    5.09   library hold time
-                                  5.09   data required time
------------------------------------------------------------------------------
-                                  5.09   data required time
-                                 -5.43   data arrival time
------------------------------------------------------------------------------
-                                  0.34   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6103_
-            (rising edge-triggered flip-flop clocked by wb_clk)
-Endpoint: mprj/u_wb_host/_6103_
-          (rising edge-triggered flip-flop clocked by wb_clk)
-Path Group: wb_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wb_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10    4.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    4.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    4.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14    4.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.02    0.10    5.31 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    5.31 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.30    5.61 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    5.64 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.40    6.04 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.21    6.26 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.37    6.63 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    6.63 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.84 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    6.84 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.16    7.00 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00    4.51 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    4.65 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
-                  0.06    0.00    4.65 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.25    4.90 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.13                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
-                  0.22    0.00    4.90 ^ mprj/u_wb_host/clkbuf_leaf_10_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.18    5.09 ^ mprj/u_wb_host/clkbuf_leaf_10_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.03                           mprj/u_wb_host/clknet_leaf_10_wbm_clk_i (net)
-                  0.05    0.00    5.09 ^ mprj/u_wb_host/_6103_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.06    0.30    5.39 ^ mprj/u_wb_host/_6103_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[2][51] (net)
-                  0.06    0.00    5.39 ^ mprj/u_wb_host/_4650_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    5.50 ^ mprj/u_wb_host/_4650_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0617_ (net)
-                  0.04    0.00    5.50 ^ mprj/u_wb_host/_6103_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  5.50   data arrival time
-
-                          0.00    0.00   clock wb_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.11    5.32 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    5.32 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    5.46 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.15    5.61 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00    5.61 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.76 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
-                  0.06    0.00    5.76 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.27    6.03 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.13                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
-                  0.22    0.00    6.03 ^ mprj/u_wb_host/clkbuf_leaf_10_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.20    6.23 ^ mprj/u_wb_host/clkbuf_leaf_10_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.03                           mprj/u_wb_host/clknet_leaf_10_wbm_clk_i (net)
-                  0.05    0.00    6.23 ^ mprj/u_wb_host/_6103_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    6.33   clock uncertainty
-                         -1.15    5.19   clock reconvergence pessimism
-                         -0.03    5.16   library hold time
-                                  5.16   data required time
+                  0.07    0.00    7.00 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.15 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    7.15 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.24    0.28    7.43 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
+                  0.24    0.00    7.43 ^ mprj/u_wb_host/clkbuf_leaf_26_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.20    7.64 ^ mprj/u_wb_host/clkbuf_leaf_26_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_wb_host/clknet_leaf_26_wbm_clk_i (net)
+                  0.05    0.00    7.64 ^ mprj/u_wb_host/_6026_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.74   clock uncertainty
+                         -1.25    6.49   clock reconvergence pessimism
+                         -0.03    6.46   library hold time
+                                  6.46   data required time
 -----------------------------------------------------------------------------
-                                  5.16   data required time
-                                 -5.50   data arrival time
------------------------------------------------------------------------------
-                                  0.34   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5993_
-            (rising edge-triggered flip-flop clocked by wb_clk)
-Endpoint: mprj/u_wb_host/_5993_
-          (rising edge-triggered flip-flop clocked by wb_clk)
-Path Group: wb_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wb_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10    4.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    4.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    4.37 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    4.50 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
-                  0.06    0.00    4.50 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.64 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_3_0_wbm_clk_i (net)
-                  0.05    0.00    4.64 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.17    0.21    4.85 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.09                           mprj/u_wb_host/clknet_3_6_0_wbm_clk_i (net)
-                  0.17    0.00    4.86 ^ mprj/u_wb_host/clkbuf_leaf_29_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.17    5.02 ^ mprj/u_wb_host/clkbuf_leaf_29_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     9    0.03                           mprj/u_wb_host/clknet_leaf_29_wbm_clk_i (net)
-                  0.05    0.00    5.02 ^ mprj/u_wb_host/_5993_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.06    0.30    5.33 ^ mprj/u_wb_host/_5993_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[3][10] (net)
-                  0.06    0.00    5.33 ^ mprj/u_wb_host/_4523_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    5.44 ^ mprj/u_wb_host/_4523_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0507_ (net)
-                  0.04    0.00    5.44 ^ mprj/u_wb_host/_5993_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  5.44   data arrival time
-
-                          0.00    0.00   clock wb_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.11    5.32 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    5.32 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    5.46 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.60 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
-                  0.06    0.00    5.60 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.75 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_3_0_wbm_clk_i (net)
-                  0.05    0.00    5.75 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.17    0.23    5.98 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.09                           mprj/u_wb_host/clknet_3_6_0_wbm_clk_i (net)
-                  0.17    0.00    5.98 ^ mprj/u_wb_host/clkbuf_leaf_29_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.18    6.16 ^ mprj/u_wb_host/clkbuf_leaf_29_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     9    0.03                           mprj/u_wb_host/clknet_leaf_29_wbm_clk_i (net)
-                  0.05    0.00    6.16 ^ mprj/u_wb_host/_5993_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    6.26   clock uncertainty
-                         -1.14    5.12   clock reconvergence pessimism
-                         -0.03    5.09   library hold time
-                                  5.09   data required time
------------------------------------------------------------------------------
-                                  5.09   data required time
-                                 -5.44   data arrival time
------------------------------------------------------------------------------
-                                  0.34   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5997_
-            (rising edge-triggered flip-flop clocked by wb_clk)
-Endpoint: mprj/u_wb_host/_5997_
-          (rising edge-triggered flip-flop clocked by wb_clk)
-Path Group: wb_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wb_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10    4.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    4.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    4.37 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    4.50 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
-                  0.06    0.00    4.50 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.64 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_3_0_wbm_clk_i (net)
-                  0.05    0.00    4.64 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.17    0.21    4.85 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.09                           mprj/u_wb_host/clknet_3_6_0_wbm_clk_i (net)
-                  0.17    0.00    4.85 ^ mprj/u_wb_host/clkbuf_leaf_28_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.17    5.02 ^ mprj/u_wb_host/clkbuf_leaf_28_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.03                           mprj/u_wb_host/clknet_leaf_28_wbm_clk_i (net)
-                  0.05    0.00    5.02 ^ mprj/u_wb_host/_5997_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.05    0.30    5.32 ^ mprj/u_wb_host/_5997_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[3][14] (net)
-                  0.05    0.00    5.32 ^ mprj/u_wb_host/_4527_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    5.43 ^ mprj/u_wb_host/_4527_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0511_ (net)
-                  0.04    0.00    5.43 ^ mprj/u_wb_host/_5997_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  5.43   data arrival time
-
-                          0.00    0.00   clock wb_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.11    5.32 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    5.32 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    5.46 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.60 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
-                  0.06    0.00    5.60 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.75 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_3_0_wbm_clk_i (net)
-                  0.05    0.00    5.75 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.17    0.23    5.98 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.09                           mprj/u_wb_host/clknet_3_6_0_wbm_clk_i (net)
-                  0.17    0.00    5.98 ^ mprj/u_wb_host/clkbuf_leaf_28_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.18    6.16 ^ mprj/u_wb_host/clkbuf_leaf_28_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.03                           mprj/u_wb_host/clknet_leaf_28_wbm_clk_i (net)
-                  0.05    0.00    6.16 ^ mprj/u_wb_host/_5997_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    6.26   clock uncertainty
-                         -1.14    5.12   clock reconvergence pessimism
-                         -0.03    5.09   library hold time
-                                  5.09   data required time
------------------------------------------------------------------------------
-                                  5.09   data required time
-                                 -5.43   data arrival time
------------------------------------------------------------------------------
-                                  0.34   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6121_
-            (rising edge-triggered flip-flop clocked by wb_clk)
-Endpoint: mprj/u_wb_host/_6121_
-          (rising edge-triggered flip-flop clocked by wb_clk)
-Path Group: wb_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wb_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10    4.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    4.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    4.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14    4.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00    4.51 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    4.65 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
-                  0.06    0.00    4.65 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.25    4.90 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.13                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
-                  0.22    0.00    4.91 ^ mprj/u_wb_host/clkbuf_leaf_14_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.19    5.10 ^ mprj/u_wb_host/clkbuf_leaf_14_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    12    0.04                           mprj/u_wb_host/clknet_leaf_14_wbm_clk_i (net)
-                  0.06    0.00    5.10 ^ mprj/u_wb_host/_6121_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.05    0.31    5.40 ^ mprj/u_wb_host/_6121_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[1][0] (net)
-                  0.05    0.00    5.40 ^ mprj/u_wb_host/_4668_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    5.51 ^ mprj/u_wb_host/_4668_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0635_ (net)
-                  0.04    0.00    5.51 ^ mprj/u_wb_host/_6121_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  5.51   data arrival time
-
-                          0.00    0.00   clock wb_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.11    5.32 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    5.32 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    5.46 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.15    5.61 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00    5.61 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.76 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
-                  0.06    0.00    5.76 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.27    6.03 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.13                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
-                  0.22    0.00    6.04 ^ mprj/u_wb_host/clkbuf_leaf_14_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.21    6.24 ^ mprj/u_wb_host/clkbuf_leaf_14_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    12    0.04                           mprj/u_wb_host/clknet_leaf_14_wbm_clk_i (net)
-                  0.06    0.00    6.25 ^ mprj/u_wb_host/_6121_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    6.35   clock uncertainty
-                         -1.15    5.20   clock reconvergence pessimism
-                         -0.03    5.17   library hold time
-                                  5.17   data required time
------------------------------------------------------------------------------
-                                  5.17   data required time
-                                 -5.51   data arrival time
------------------------------------------------------------------------------
-                                  0.34   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6042_
-            (rising edge-triggered flip-flop clocked by wb_clk)
-Endpoint: mprj/u_wb_host/_6042_
-          (rising edge-triggered flip-flop clocked by wb_clk)
-Path Group: wb_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wb_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10    4.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    4.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    4.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14    4.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00    4.51 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    4.65 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
-                  0.06    0.00    4.65 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.25    4.90 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.13                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
-                  0.22    0.00    4.91 ^ mprj/u_wb_host/clkbuf_leaf_16_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.20    5.10 ^ mprj/u_wb_host/clkbuf_leaf_16_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    15    0.05                           mprj/u_wb_host/clknet_leaf_16_wbm_clk_i (net)
-                  0.07    0.00    5.11 ^ mprj/u_wb_host/_6042_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.05    0.31    5.41 ^ mprj/u_wb_host/_6042_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[3][59] (net)
-                  0.05    0.00    5.41 ^ mprj/u_wb_host/_4588_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    5.52 ^ mprj/u_wb_host/_4588_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0556_ (net)
-                  0.04    0.00    5.52 ^ mprj/u_wb_host/_6042_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  5.52   data arrival time
-
-                          0.00    0.00   clock wb_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.11    5.32 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    5.32 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    5.46 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.15    5.61 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00    5.61 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.76 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
-                  0.06    0.00    5.76 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.27    6.03 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.13                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
-                  0.22    0.00    6.04 ^ mprj/u_wb_host/clkbuf_leaf_16_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.21    6.25 ^ mprj/u_wb_host/clkbuf_leaf_16_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    15    0.05                           mprj/u_wb_host/clknet_leaf_16_wbm_clk_i (net)
-                  0.07    0.00    6.25 ^ mprj/u_wb_host/_6042_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    6.35   clock uncertainty
-                         -1.15    5.21   clock reconvergence pessimism
-                         -0.03    5.18   library hold time
-                                  5.18   data required time
------------------------------------------------------------------------------
-                                  5.18   data required time
-                                 -5.52   data arrival time
------------------------------------------------------------------------------
-                                  0.34   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6100_
-            (rising edge-triggered flip-flop clocked by wb_clk)
-Endpoint: mprj/u_wb_host/_6100_
-          (rising edge-triggered flip-flop clocked by wb_clk)
-Path Group: wb_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wb_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10    4.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    4.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    4.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14    4.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00    4.51 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    4.65 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
-                  0.06    0.00    4.65 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.25    4.90 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.13                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
-                  0.22    0.00    4.90 ^ mprj/u_wb_host/clkbuf_leaf_10_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.18    5.09 ^ mprj/u_wb_host/clkbuf_leaf_10_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.03                           mprj/u_wb_host/clknet_leaf_10_wbm_clk_i (net)
-                  0.05    0.00    5.09 ^ mprj/u_wb_host/_6100_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.05    0.30    5.39 ^ mprj/u_wb_host/_6100_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[2][48] (net)
-                  0.05    0.00    5.39 ^ mprj/u_wb_host/_4647_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    5.50 ^ mprj/u_wb_host/_4647_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0614_ (net)
-                  0.04    0.00    5.50 ^ mprj/u_wb_host/_6100_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  5.50   data arrival time
-
-                          0.00    0.00   clock wb_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.11    5.32 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    5.32 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    5.46 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.15    5.61 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00    5.61 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.76 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
-                  0.06    0.00    5.76 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.27    6.03 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.13                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
-                  0.22    0.00    6.03 ^ mprj/u_wb_host/clkbuf_leaf_10_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.20    6.23 ^ mprj/u_wb_host/clkbuf_leaf_10_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.03                           mprj/u_wb_host/clknet_leaf_10_wbm_clk_i (net)
-                  0.05    0.00    6.23 ^ mprj/u_wb_host/_6100_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    6.33   clock uncertainty
-                         -1.15    5.19   clock reconvergence pessimism
-                         -0.03    5.16   library hold time
-                                  5.16   data required time
------------------------------------------------------------------------------
-                                  5.16   data required time
-                                 -5.50   data arrival time
------------------------------------------------------------------------------
-                                  0.34   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6005_
-            (rising edge-triggered flip-flop clocked by wb_clk)
-Endpoint: mprj/u_wb_host/_6005_
-          (rising edge-triggered flip-flop clocked by wb_clk)
-Path Group: wb_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wb_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10    4.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    4.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    4.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14    4.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00    4.51 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    4.65 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
-                  0.06    0.00    4.65 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.19    0.23    4.88 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.11                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
-                  0.19    0.00    4.88 ^ mprj/u_wb_host/clkbuf_leaf_19_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.18    5.06 ^ mprj/u_wb_host/clkbuf_leaf_19_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    12    0.04                           mprj/u_wb_host/clknet_leaf_19_wbm_clk_i (net)
-                  0.06    0.00    5.07 ^ mprj/u_wb_host/_6005_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.06    0.31    5.37 ^ mprj/u_wb_host/_6005_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[3][22] (net)
-                  0.06    0.00    5.37 ^ mprj/u_wb_host/_4535_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    5.48 ^ mprj/u_wb_host/_4535_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0519_ (net)
-                  0.04    0.00    5.48 ^ mprj/u_wb_host/_6005_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  5.48   data arrival time
-
-                          0.00    0.00   clock wb_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.11    5.32 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    5.32 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    5.46 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.15    5.61 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00    5.61 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.76 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
-                  0.06    0.00    5.76 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.19    0.25    6.01 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.11                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
-                  0.19    0.00    6.01 ^ mprj/u_wb_host/clkbuf_leaf_19_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.20    6.21 ^ mprj/u_wb_host/clkbuf_leaf_19_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    12    0.04                           mprj/u_wb_host/clknet_leaf_19_wbm_clk_i (net)
-                  0.06    0.00    6.21 ^ mprj/u_wb_host/_6005_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    6.31   clock uncertainty
-                         -1.14    5.17   clock reconvergence pessimism
-                         -0.03    5.14   library hold time
-                                  5.14   data required time
------------------------------------------------------------------------------
-                                  5.14   data required time
-                                 -5.48   data arrival time
------------------------------------------------------------------------------
-                                  0.34   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6064_
-            (rising edge-triggered flip-flop clocked by wb_clk)
-Endpoint: mprj/u_wb_host/_6064_
-          (rising edge-triggered flip-flop clocked by wb_clk)
-Path Group: wb_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wb_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10    4.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    4.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    4.37 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    4.50 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
-                  0.06    0.00    4.50 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.64 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_3_0_wbm_clk_i (net)
-                  0.05    0.00    4.64 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.17    0.21    4.85 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.09                           mprj/u_wb_host/clknet_3_6_0_wbm_clk_i (net)
-                  0.17    0.00    4.85 ^ mprj/u_wb_host/clkbuf_leaf_27_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.18    5.03 ^ mprj/u_wb_host/clkbuf_leaf_27_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    13    0.05                           mprj/u_wb_host/clknet_leaf_27_wbm_clk_i (net)
-                  0.07    0.00    5.03 ^ mprj/u_wb_host/_6064_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.05    0.30    5.34 ^ mprj/u_wb_host/_6064_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[2][12] (net)
-                  0.05    0.00    5.34 ^ mprj/u_wb_host/_4611_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    5.45 ^ mprj/u_wb_host/_4611_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0578_ (net)
-                  0.04    0.00    5.45 ^ mprj/u_wb_host/_6064_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  5.45   data arrival time
-
-                          0.00    0.00   clock wb_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.11    5.32 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    5.32 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    5.46 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.60 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
-                  0.06    0.00    5.60 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.75 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_3_0_wbm_clk_i (net)
-                  0.05    0.00    5.75 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.17    0.23    5.98 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.09                           mprj/u_wb_host/clknet_3_6_0_wbm_clk_i (net)
-                  0.17    0.00    5.98 ^ mprj/u_wb_host/clkbuf_leaf_27_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.19    6.18 ^ mprj/u_wb_host/clkbuf_leaf_27_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    13    0.05                           mprj/u_wb_host/clknet_leaf_27_wbm_clk_i (net)
-                  0.07    0.00    6.18 ^ mprj/u_wb_host/_6064_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    6.28   clock uncertainty
-                         -1.14    5.13   clock reconvergence pessimism
-                         -0.03    5.11   library hold time
-                                  5.11   data required time
------------------------------------------------------------------------------
-                                  5.11   data required time
-                                 -5.45   data arrival time
------------------------------------------------------------------------------
-                                  0.34   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6047_
-            (rising edge-triggered flip-flop clocked by wb_clk)
-Endpoint: mprj/u_wb_host/_6047_
-          (rising edge-triggered flip-flop clocked by wb_clk)
-Path Group: wb_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wb_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10    4.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    4.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    4.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14    4.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00    4.51 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    4.65 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
-                  0.06    0.00    4.65 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.25    4.90 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.13                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
-                  0.22    0.00    4.90 ^ mprj/u_wb_host/clkbuf_leaf_18_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.19    5.10 ^ mprj/u_wb_host/clkbuf_leaf_18_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    13    0.04                           mprj/u_wb_host/clknet_leaf_18_wbm_clk_i (net)
-                  0.06    0.00    5.10 ^ mprj/u_wb_host/_6047_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.06    0.31    5.41 ^ mprj/u_wb_host/_6047_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[3][64] (net)
-                  0.06    0.00    5.41 ^ mprj/u_wb_host/_4593_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    5.51 ^ mprj/u_wb_host/_4593_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0561_ (net)
-                  0.04    0.00    5.51 ^ mprj/u_wb_host/_6047_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  5.51   data arrival time
-
-                          0.00    0.00   clock wb_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.11    5.32 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    5.32 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    5.46 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.15    5.61 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00    5.61 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.76 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
-                  0.06    0.00    5.76 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.27    6.03 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.13                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
-                  0.22    0.00    6.04 ^ mprj/u_wb_host/clkbuf_leaf_18_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.21    6.25 ^ mprj/u_wb_host/clkbuf_leaf_18_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    13    0.04                           mprj/u_wb_host/clknet_leaf_18_wbm_clk_i (net)
-                  0.06    0.00    6.25 ^ mprj/u_wb_host/_6047_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    6.35   clock uncertainty
-                         -1.15    5.20   clock reconvergence pessimism
-                         -0.03    5.17   library hold time
-                                  5.17   data required time
------------------------------------------------------------------------------
-                                  5.17   data required time
-                                 -5.51   data arrival time
------------------------------------------------------------------------------
-                                  0.34   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6227_
-            (rising edge-triggered flip-flop clocked by wb_clk)
-Endpoint: mprj/u_wb_host/_6227_
-          (rising edge-triggered flip-flop clocked by wb_clk)
-Path Group: wb_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wb_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10    4.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    4.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    4.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14    4.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00    4.51 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    4.65 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
-                  0.06    0.00    4.65 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.25    4.90 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.13                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
-                  0.22    0.00    4.90 ^ mprj/u_wb_host/clkbuf_leaf_12_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.19    5.09 ^ mprj/u_wb_host/clkbuf_leaf_12_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     9    0.04                           mprj/u_wb_host/clknet_leaf_12_wbm_clk_i (net)
-                  0.06    0.00    5.10 ^ mprj/u_wb_host/_6227_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.06    0.31    5.40 ^ mprj/u_wb_host/_6227_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[0][37] (net)
-                  0.06    0.00    5.40 ^ mprj/u_wb_host/_4775_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    5.51 ^ mprj/u_wb_host/_4775_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0741_ (net)
-                  0.04    0.00    5.51 ^ mprj/u_wb_host/_6227_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  5.51   data arrival time
-
-                          0.00    0.00   clock wb_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.11    5.32 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    5.32 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    5.46 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.15    5.61 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00    5.61 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.76 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
-                  0.06    0.00    5.76 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.27    6.03 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.13                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
-                  0.22    0.00    6.04 ^ mprj/u_wb_host/clkbuf_leaf_12_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.21    6.24 ^ mprj/u_wb_host/clkbuf_leaf_12_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     9    0.04                           mprj/u_wb_host/clknet_leaf_12_wbm_clk_i (net)
-                  0.06    0.00    6.24 ^ mprj/u_wb_host/_6227_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    6.34   clock uncertainty
-                         -1.15    5.20   clock reconvergence pessimism
-                         -0.03    5.17   library hold time
-                                  5.17   data required time
------------------------------------------------------------------------------
-                                  5.17   data required time
-                                 -5.51   data arrival time
------------------------------------------------------------------------------
-                                  0.34   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6193_
-            (rising edge-triggered flip-flop clocked by wb_clk)
-Endpoint: mprj/u_wb_host/_6193_
-          (rising edge-triggered flip-flop clocked by wb_clk)
-Path Group: wb_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wb_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10    4.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    4.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    4.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14    4.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00    4.51 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    4.65 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
-                  0.06    0.00    4.65 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.25    4.90 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.13                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
-                  0.22    0.00    4.90 ^ mprj/u_wb_host/clkbuf_leaf_18_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.19    5.10 ^ mprj/u_wb_host/clkbuf_leaf_18_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    13    0.04                           mprj/u_wb_host/clknet_leaf_18_wbm_clk_i (net)
-                  0.06    0.00    5.10 ^ mprj/u_wb_host/_6193_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.05    0.31    5.41 ^ mprj/u_wb_host/_6193_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[0][3] (net)
-                  0.05    0.00    5.41 ^ mprj/u_wb_host/_4741_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    5.51 ^ mprj/u_wb_host/_4741_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0707_ (net)
-                  0.04    0.00    5.51 ^ mprj/u_wb_host/_6193_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  5.51   data arrival time
-
-                          0.00    0.00   clock wb_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.11    5.32 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    5.32 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    5.46 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.15    5.61 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00    5.61 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.76 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
-                  0.06    0.00    5.76 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.27    6.03 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.13                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
-                  0.22    0.00    6.04 ^ mprj/u_wb_host/clkbuf_leaf_18_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.21    6.25 ^ mprj/u_wb_host/clkbuf_leaf_18_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    13    0.04                           mprj/u_wb_host/clknet_leaf_18_wbm_clk_i (net)
-                  0.06    0.00    6.25 ^ mprj/u_wb_host/_6193_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    6.35   clock uncertainty
-                         -1.15    5.20   clock reconvergence pessimism
-                         -0.03    5.17   library hold time
-                                  5.17   data required time
------------------------------------------------------------------------------
-                                  5.17   data required time
-                                 -5.51   data arrival time
------------------------------------------------------------------------------
-                                  0.34   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6164_
-            (rising edge-triggered flip-flop clocked by wb_clk)
-Endpoint: mprj/u_wb_host/_6164_
-          (rising edge-triggered flip-flop clocked by wb_clk)
-Path Group: wb_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wb_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10    4.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    4.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    4.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14    4.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00    4.51 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    4.65 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
-                  0.06    0.00    4.65 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.25    4.90 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.13                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
-                  0.22    0.00    4.90 ^ mprj/u_wb_host/clkbuf_leaf_11_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.20    5.10 ^ mprj/u_wb_host/clkbuf_leaf_11_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    13    0.05                           mprj/u_wb_host/clknet_leaf_11_wbm_clk_i (net)
-                  0.07    0.00    5.10 ^ mprj/u_wb_host/_6164_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.06    0.31    5.41 ^ mprj/u_wb_host/_6164_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[1][43] (net)
-                  0.06    0.00    5.41 ^ mprj/u_wb_host/_4711_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    5.52 ^ mprj/u_wb_host/_4711_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0678_ (net)
-                  0.04    0.00    5.52 ^ mprj/u_wb_host/_6164_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  5.52   data arrival time
-
-                          0.00    0.00   clock wb_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.11    5.32 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    5.32 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    5.46 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.15    5.61 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00    5.61 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.76 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
-                  0.06    0.00    5.76 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.27    6.03 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.13                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
-                  0.22    0.00    6.03 ^ mprj/u_wb_host/clkbuf_leaf_11_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.21    6.25 ^ mprj/u_wb_host/clkbuf_leaf_11_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    13    0.05                           mprj/u_wb_host/clknet_leaf_11_wbm_clk_i (net)
-                  0.07    0.00    6.25 ^ mprj/u_wb_host/_6164_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    6.35   clock uncertainty
-                         -1.15    5.20   clock reconvergence pessimism
-                         -0.03    5.17   library hold time
-                                  5.17   data required time
------------------------------------------------------------------------------
-                                  5.17   data required time
-                                 -5.52   data arrival time
------------------------------------------------------------------------------
-                                  0.34   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6102_
-            (rising edge-triggered flip-flop clocked by wb_clk)
-Endpoint: mprj/u_wb_host/_6102_
-          (rising edge-triggered flip-flop clocked by wb_clk)
-Path Group: wb_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wb_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10    4.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    4.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    4.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14    4.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00    4.51 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    4.65 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
-                  0.06    0.00    4.65 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.25    4.90 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.13                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
-                  0.22    0.00    4.90 ^ mprj/u_wb_host/clkbuf_leaf_18_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.19    5.10 ^ mprj/u_wb_host/clkbuf_leaf_18_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    13    0.04                           mprj/u_wb_host/clknet_leaf_18_wbm_clk_i (net)
-                  0.06    0.00    5.10 ^ mprj/u_wb_host/_6102_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.06    0.31    5.41 ^ mprj/u_wb_host/_6102_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[2][50] (net)
-                  0.06    0.00    5.41 ^ mprj/u_wb_host/_4649_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    5.51 ^ mprj/u_wb_host/_4649_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0616_ (net)
-                  0.04    0.00    5.51 ^ mprj/u_wb_host/_6102_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  5.51   data arrival time
-
-                          0.00    0.00   clock wb_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.11    5.32 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    5.32 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    5.46 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.15    5.61 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00    5.61 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.76 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
-                  0.06    0.00    5.76 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.27    6.03 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.13                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
-                  0.22    0.00    6.04 ^ mprj/u_wb_host/clkbuf_leaf_18_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.21    6.25 ^ mprj/u_wb_host/clkbuf_leaf_18_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    13    0.04                           mprj/u_wb_host/clknet_leaf_18_wbm_clk_i (net)
-                  0.06    0.00    6.25 ^ mprj/u_wb_host/_6102_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    6.35   clock uncertainty
-                         -1.15    5.20   clock reconvergence pessimism
-                         -0.03    5.17   library hold time
-                                  5.17   data required time
------------------------------------------------------------------------------
-                                  5.17   data required time
-                                 -5.51   data arrival time
------------------------------------------------------------------------------
-                                  0.34   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5983_
-            (rising edge-triggered flip-flop clocked by wb_clk)
-Endpoint: mprj/u_wb_host/_5983_
-          (rising edge-triggered flip-flop clocked by wb_clk)
-Path Group: wb_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wb_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10    4.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    4.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    4.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14    4.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00    4.51 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    4.65 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
-                  0.06    0.00    4.65 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.25    4.90 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.13                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
-                  0.22    0.00    4.91 ^ mprj/u_wb_host/clkbuf_leaf_14_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.19    5.10 ^ mprj/u_wb_host/clkbuf_leaf_14_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    12    0.04                           mprj/u_wb_host/clknet_leaf_14_wbm_clk_i (net)
-                  0.06    0.00    5.10 ^ mprj/u_wb_host/_5983_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.06    0.31    5.40 ^ mprj/u_wb_host/_5983_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[3][0] (net)
-                  0.06    0.00    5.40 ^ mprj/u_wb_host/_4513_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    5.51 ^ mprj/u_wb_host/_4513_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0497_ (net)
-                  0.04    0.00    5.51 ^ mprj/u_wb_host/_5983_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  5.51   data arrival time
-
-                          0.00    0.00   clock wb_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.11    5.32 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    5.32 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    5.46 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.15    5.61 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00    5.61 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.76 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
-                  0.06    0.00    5.76 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.27    6.03 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.13                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
-                  0.22    0.00    6.04 ^ mprj/u_wb_host/clkbuf_leaf_14_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.21    6.24 ^ mprj/u_wb_host/clkbuf_leaf_14_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    12    0.04                           mprj/u_wb_host/clknet_leaf_14_wbm_clk_i (net)
-                  0.06    0.00    6.25 ^ mprj/u_wb_host/_5983_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    6.35   clock uncertainty
-                         -1.15    5.20   clock reconvergence pessimism
-                         -0.03    5.17   library hold time
-                                  5.17   data required time
------------------------------------------------------------------------------
-                                  5.17   data required time
-                                 -5.51   data arrival time
+                                  6.46   data required time
+                                 -6.81   data arrival time
 -----------------------------------------------------------------------------
                                   0.34   slack (MET)
 
@@ -61348,31 +75845,40 @@
                   0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
      2    0.05                           mgmt_buffers/net1453 (net)
                   0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10    4.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    4.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    4.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14    4.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.02    0.09    4.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    4.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27    4.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    4.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37    4.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20    5.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35    5.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    5.65 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15    5.80 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00    4.51 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    4.65 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
-                  0.06    0.00    4.65 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.19    0.23    4.88 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.11                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
-                  0.19    0.00    4.88 ^ mprj/u_wb_host/clkbuf_leaf_24_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.18    5.06 ^ mprj/u_wb_host/clkbuf_leaf_24_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     9    0.03                           mprj/u_wb_host/clknet_leaf_24_wbm_clk_i (net)
-                  0.05    0.00    5.06 ^ mprj/u_wb_host/_6071_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.06    0.30    5.36 ^ mprj/u_wb_host/_6071_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[2][19] (net)
-                  0.06    0.00    5.36 ^ mprj/u_wb_host/_4618_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    5.48 ^ mprj/u_wb_host/_4618_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0585_ (net)
-                  0.04    0.00    5.48 ^ mprj/u_wb_host/_6071_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  5.48   data arrival time
+                  0.07    0.00    5.80 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.94 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    5.94 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.24    0.26    6.20 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
+                  0.24    0.01    6.21 ^ mprj/u_wb_host/clkbuf_leaf_23_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.41 ^ mprj/u_wb_host/clkbuf_leaf_23_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    12    0.04                           mprj/u_wb_host/clknet_leaf_23_wbm_clk_i (net)
+                  0.06    0.00    6.41 ^ mprj/u_wb_host/_6071_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.31    6.72 ^ mprj/u_wb_host/_6071_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[2][25] (net)
+                  0.06    0.00    6.72 ^ mprj/u_wb_host/_4619_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    6.83 ^ mprj/u_wb_host/_4619_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0591_ (net)
+                  0.04    0.00    6.83 ^ mprj/u_wb_host/_6071_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  6.83   data arrival time
 
                           0.00    0.00   clock wb_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -61440,38 +75946,47 @@
                   0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
      2    0.05                           mgmt_buffers/net1453 (net)
                   0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.11    5.32 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    5.32 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    5.46 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.15    5.61 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.02    0.10    5.31 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    5.31 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.30    5.61 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    5.64 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.40    6.04 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.21    6.26 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.37    6.63 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    6.63 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.84 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    6.84 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.16    7.00 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00    5.61 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.76 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
-                  0.06    0.00    5.76 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.19    0.25    6.01 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.11                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
-                  0.19    0.00    6.01 ^ mprj/u_wb_host/clkbuf_leaf_24_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.19    6.20 ^ mprj/u_wb_host/clkbuf_leaf_24_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     9    0.03                           mprj/u_wb_host/clknet_leaf_24_wbm_clk_i (net)
-                  0.05    0.00    6.20 ^ mprj/u_wb_host/_6071_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    6.30   clock uncertainty
-                         -1.14    5.16   clock reconvergence pessimism
-                         -0.03    5.13   library hold time
-                                  5.13   data required time
+                  0.07    0.00    7.00 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.15 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    7.15 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.24    0.28    7.43 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
+                  0.24    0.01    7.45 ^ mprj/u_wb_host/clkbuf_leaf_23_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    7.66 ^ mprj/u_wb_host/clkbuf_leaf_23_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    12    0.04                           mprj/u_wb_host/clknet_leaf_23_wbm_clk_i (net)
+                  0.06    0.00    7.66 ^ mprj/u_wb_host/_6071_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.76   clock uncertainty
+                         -1.25    6.51   clock reconvergence pessimism
+                         -0.03    6.48   library hold time
+                                  6.48   data required time
 -----------------------------------------------------------------------------
-                                  5.13   data required time
-                                 -5.48   data arrival time
+                                  6.48   data required time
+                                 -6.83   data arrival time
 -----------------------------------------------------------------------------
                                   0.34   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_6045_
+Startpoint: mprj/u_wb_host/_6067_
             (rising edge-triggered flip-flop clocked by wb_clk)
-Endpoint: mprj/u_wb_host/_6045_
+Endpoint: mprj/u_wb_host/_6067_
           (rising edge-triggered flip-flop clocked by wb_clk)
 Path Group: wb_clk
 Path Type: min
@@ -61544,31 +76059,40 @@
                   0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
      2    0.05                           mgmt_buffers/net1453 (net)
                   0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10    4.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    4.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    4.37 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    4.50 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.02    0.09    4.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    4.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27    4.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    4.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37    4.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20    5.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35    5.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    5.65 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.79 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
-                  0.06    0.00    4.50 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.64 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    5.79 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.92 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      2    0.02                           mprj/u_wb_host/clknet_2_3_0_wbm_clk_i (net)
-                  0.05    0.00    4.64 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.17    0.21    4.85 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.09                           mprj/u_wb_host/clknet_3_6_0_wbm_clk_i (net)
-                  0.17    0.00    4.85 ^ mprj/u_wb_host/clkbuf_leaf_27_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.18    5.03 ^ mprj/u_wb_host/clkbuf_leaf_27_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    13    0.05                           mprj/u_wb_host/clknet_leaf_27_wbm_clk_i (net)
-                  0.07    0.00    5.03 ^ mprj/u_wb_host/_6045_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.06    0.31    5.34 ^ mprj/u_wb_host/_6045_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[3][62] (net)
-                  0.06    0.00    5.34 ^ mprj/u_wb_host/_4591_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    5.45 ^ mprj/u_wb_host/_4591_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0559_ (net)
-                  0.04    0.00    5.45 ^ mprj/u_wb_host/_6045_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  5.45   data arrival time
+                  0.05    0.00    5.92 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.15    0.20    6.13 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    12    0.08                           mprj/u_wb_host/clknet_3_6_0_wbm_clk_i (net)
+                  0.15    0.00    6.13 ^ mprj/u_wb_host/clkbuf_leaf_30_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17    6.30 ^ mprj/u_wb_host/clkbuf_leaf_30_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    11    0.04                           mprj/u_wb_host/clknet_leaf_30_wbm_clk_i (net)
+                  0.06    0.00    6.30 ^ mprj/u_wb_host/_6067_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.30    6.60 ^ mprj/u_wb_host/_6067_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[2][21] (net)
+                  0.06    0.00    6.60 ^ mprj/u_wb_host/_4615_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    6.71 ^ mprj/u_wb_host/_4615_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0587_ (net)
+                  0.04    0.00    6.71 ^ mprj/u_wb_host/_6067_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  6.71   data arrival time
 
                           0.00    0.00   clock wb_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -61636,3955 +76160,44 @@
                   0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
      2    0.05                           mgmt_buffers/net1453 (net)
                   0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.11    5.32 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    5.32 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    5.46 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.60 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.02    0.10    5.31 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    5.31 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.30    5.61 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    5.64 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.40    6.04 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.21    6.26 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.37    6.63 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    6.63 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.84 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    6.84 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.99 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
-                  0.06    0.00    5.60 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.75 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    6.99 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    7.13 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      2    0.02                           mprj/u_wb_host/clknet_2_3_0_wbm_clk_i (net)
-                  0.05    0.00    5.75 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.17    0.23    5.98 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.09                           mprj/u_wb_host/clknet_3_6_0_wbm_clk_i (net)
-                  0.17    0.00    5.98 ^ mprj/u_wb_host/clkbuf_leaf_27_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.19    6.18 ^ mprj/u_wb_host/clkbuf_leaf_27_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    13    0.05                           mprj/u_wb_host/clknet_leaf_27_wbm_clk_i (net)
-                  0.07    0.00    6.18 ^ mprj/u_wb_host/_6045_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    6.28   clock uncertainty
-                         -1.14    5.13   clock reconvergence pessimism
-                         -0.03    5.11   library hold time
-                                  5.11   data required time
+                  0.05    0.00    7.13 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.15    0.22    7.35 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    12    0.08                           mprj/u_wb_host/clknet_3_6_0_wbm_clk_i (net)
+                  0.15    0.00    7.35 ^ mprj/u_wb_host/clkbuf_leaf_30_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.18    7.54 ^ mprj/u_wb_host/clkbuf_leaf_30_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    11    0.04                           mprj/u_wb_host/clknet_leaf_30_wbm_clk_i (net)
+                  0.06    0.00    7.54 ^ mprj/u_wb_host/_6067_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.64   clock uncertainty
+                         -1.24    6.40   clock reconvergence pessimism
+                         -0.03    6.37   library hold time
+                                  6.37   data required time
 -----------------------------------------------------------------------------
-                                  5.11   data required time
-                                 -5.45   data arrival time
+                                  6.37   data required time
+                                 -6.71   data arrival time
 -----------------------------------------------------------------------------
                                   0.34   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_6117_
-            (rising edge-triggered flip-flop clocked by wb_clk)
-Endpoint: mprj/u_wb_host/_6117_
-          (rising edge-triggered flip-flop clocked by wb_clk)
-Path Group: wb_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wb_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10    4.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    4.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    4.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14    4.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00    4.51 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    4.65 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
-                  0.06    0.00    4.65 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.25    4.90 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.13                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
-                  0.22    0.00    4.91 ^ mprj/u_wb_host/clkbuf_leaf_17_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.19    5.09 ^ mprj/u_wb_host/clkbuf_leaf_17_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    10    0.03                           mprj/u_wb_host/clknet_leaf_17_wbm_clk_i (net)
-                  0.06    0.00    5.10 ^ mprj/u_wb_host/_6117_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.06    0.31    5.40 ^ mprj/u_wb_host/_6117_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[2][65] (net)
-                  0.06    0.00    5.40 ^ mprj/u_wb_host/_4664_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    5.51 ^ mprj/u_wb_host/_4664_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0631_ (net)
-                  0.04    0.00    5.51 ^ mprj/u_wb_host/_6117_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  5.51   data arrival time
-
-                          0.00    0.00   clock wb_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.11    5.32 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    5.32 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    5.46 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.15    5.61 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00    5.61 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.76 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
-                  0.06    0.00    5.76 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.27    6.03 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.13                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
-                  0.22    0.00    6.04 ^ mprj/u_wb_host/clkbuf_leaf_17_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.20    6.24 ^ mprj/u_wb_host/clkbuf_leaf_17_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    10    0.03                           mprj/u_wb_host/clknet_leaf_17_wbm_clk_i (net)
-                  0.06    0.00    6.24 ^ mprj/u_wb_host/_6117_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    6.34   clock uncertainty
-                         -1.15    5.20   clock reconvergence pessimism
-                         -0.03    5.17   library hold time
-                                  5.17   data required time
------------------------------------------------------------------------------
-                                  5.17   data required time
-                                 -5.51   data arrival time
------------------------------------------------------------------------------
-                                  0.34   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5992_
-            (rising edge-triggered flip-flop clocked by wb_clk)
-Endpoint: mprj/u_wb_host/_5992_
-          (rising edge-triggered flip-flop clocked by wb_clk)
-Path Group: wb_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wb_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10    4.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    4.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    4.37 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    4.50 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
-                  0.06    0.00    4.50 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.64 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_3_0_wbm_clk_i (net)
-                  0.05    0.00    4.64 ^ mprj/u_wb_host/clkbuf_3_7_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.19    0.23    4.87 ^ mprj/u_wb_host/clkbuf_3_7_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.11                           mprj/u_wb_host/clknet_3_7_0_wbm_clk_i (net)
-                  0.19    0.00    4.87 ^ mprj/u_wb_host/clkbuf_leaf_36_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.19    5.07 ^ mprj/u_wb_host/clkbuf_leaf_36_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    16    0.05                           mprj/u_wb_host/clknet_leaf_36_wbm_clk_i (net)
-                  0.07    0.00    5.07 ^ mprj/u_wb_host/_5992_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.05    0.31    5.38 ^ mprj/u_wb_host/_5992_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[3][9] (net)
-                  0.05    0.00    5.38 ^ mprj/u_wb_host/_4522_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    5.49 ^ mprj/u_wb_host/_4522_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0506_ (net)
-                  0.04    0.00    5.49 ^ mprj/u_wb_host/_5992_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  5.49   data arrival time
-
-                          0.00    0.00   clock wb_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.11    5.32 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    5.32 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    5.46 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.60 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
-                  0.06    0.00    5.60 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.75 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_3_0_wbm_clk_i (net)
-                  0.05    0.00    5.75 ^ mprj/u_wb_host/clkbuf_3_7_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.19    0.25    6.00 ^ mprj/u_wb_host/clkbuf_3_7_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.11                           mprj/u_wb_host/clknet_3_7_0_wbm_clk_i (net)
-                  0.19    0.00    6.00 ^ mprj/u_wb_host/clkbuf_leaf_36_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.21    6.21 ^ mprj/u_wb_host/clkbuf_leaf_36_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    16    0.05                           mprj/u_wb_host/clknet_leaf_36_wbm_clk_i (net)
-                  0.07    0.00    6.21 ^ mprj/u_wb_host/_5992_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    6.31   clock uncertainty
-                         -1.14    5.17   clock reconvergence pessimism
-                         -0.03    5.14   library hold time
-                                  5.14   data required time
------------------------------------------------------------------------------
-                                  5.14   data required time
-                                 -5.49   data arrival time
------------------------------------------------------------------------------
-                                  0.34   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6041_
-            (rising edge-triggered flip-flop clocked by wb_clk)
-Endpoint: mprj/u_wb_host/_6041_
-          (rising edge-triggered flip-flop clocked by wb_clk)
-Path Group: wb_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wb_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10    4.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    4.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    4.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14    4.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00    4.51 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    4.65 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
-                  0.06    0.00    4.65 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.19    0.23    4.88 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.11                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
-                  0.19    0.00    4.88 ^ mprj/u_wb_host/clkbuf_leaf_23_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.19    5.07 ^ mprj/u_wb_host/clkbuf_leaf_23_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    14    0.05                           mprj/u_wb_host/clknet_leaf_23_wbm_clk_i (net)
-                  0.07    0.00    5.07 ^ mprj/u_wb_host/_6041_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.06    0.31    5.38 ^ mprj/u_wb_host/_6041_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[3][58] (net)
-                  0.06    0.00    5.38 ^ mprj/u_wb_host/_4587_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    5.49 ^ mprj/u_wb_host/_4587_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0555_ (net)
-                  0.04    0.00    5.49 ^ mprj/u_wb_host/_6041_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  5.49   data arrival time
-
-                          0.00    0.00   clock wb_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.11    5.32 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    5.32 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    5.46 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.15    5.61 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00    5.61 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.76 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
-                  0.06    0.00    5.76 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.19    0.25    6.01 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.11                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
-                  0.19    0.00    6.01 ^ mprj/u_wb_host/clkbuf_leaf_23_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.20    6.22 ^ mprj/u_wb_host/clkbuf_leaf_23_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    14    0.05                           mprj/u_wb_host/clknet_leaf_23_wbm_clk_i (net)
-                  0.07    0.00    6.22 ^ mprj/u_wb_host/_6041_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    6.32   clock uncertainty
-                         -1.15    5.17   clock reconvergence pessimism
-                         -0.03    5.14   library hold time
-                                  5.14   data required time
------------------------------------------------------------------------------
-                                  5.14   data required time
-                                 -5.49   data arrival time
------------------------------------------------------------------------------
-                                  0.34   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6176_
-            (rising edge-triggered flip-flop clocked by wb_clk)
-Endpoint: mprj/u_wb_host/_6176_
-          (rising edge-triggered flip-flop clocked by wb_clk)
-Path Group: wb_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wb_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10    4.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    4.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    4.37 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    4.50 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
-                  0.06    0.00    4.50 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.64 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_3_0_wbm_clk_i (net)
-                  0.05    0.00    4.64 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.17    0.21    4.85 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.09                           mprj/u_wb_host/clknet_3_6_0_wbm_clk_i (net)
-                  0.17    0.00    4.86 ^ mprj/u_wb_host/clkbuf_leaf_29_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.17    5.02 ^ mprj/u_wb_host/clkbuf_leaf_29_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     9    0.03                           mprj/u_wb_host/clknet_leaf_29_wbm_clk_i (net)
-                  0.05    0.00    5.02 ^ mprj/u_wb_host/_6176_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.06    0.31    5.33 ^ mprj/u_wb_host/_6176_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.01                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[1][55] (net)
-                  0.06    0.00    5.33 ^ mprj/u_wb_host/_4723_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    5.44 ^ mprj/u_wb_host/_4723_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0690_ (net)
-                  0.04    0.00    5.44 ^ mprj/u_wb_host/_6176_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  5.44   data arrival time
-
-                          0.00    0.00   clock wb_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.11    5.32 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    5.32 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    5.46 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.60 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
-                  0.06    0.00    5.60 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.75 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_3_0_wbm_clk_i (net)
-                  0.05    0.00    5.75 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.17    0.23    5.98 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.09                           mprj/u_wb_host/clknet_3_6_0_wbm_clk_i (net)
-                  0.17    0.00    5.98 ^ mprj/u_wb_host/clkbuf_leaf_29_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.18    6.16 ^ mprj/u_wb_host/clkbuf_leaf_29_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     9    0.03                           mprj/u_wb_host/clknet_leaf_29_wbm_clk_i (net)
-                  0.05    0.00    6.16 ^ mprj/u_wb_host/_6176_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    6.26   clock uncertainty
-                         -1.14    5.12   clock reconvergence pessimism
-                         -0.03    5.09   library hold time
-                                  5.09   data required time
------------------------------------------------------------------------------
-                                  5.09   data required time
-                                 -5.44   data arrival time
------------------------------------------------------------------------------
-                                  0.34   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6185_
-            (rising edge-triggered flip-flop clocked by wb_clk)
-Endpoint: mprj/u_wb_host/_6185_
-          (rising edge-triggered flip-flop clocked by wb_clk)
-Path Group: wb_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wb_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10    4.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    4.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    4.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14    4.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00    4.51 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    4.65 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
-                  0.06    0.00    4.65 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.25    4.90 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.13                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
-                  0.22    0.00    4.91 ^ mprj/u_wb_host/clkbuf_leaf_17_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.19    5.09 ^ mprj/u_wb_host/clkbuf_leaf_17_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    10    0.03                           mprj/u_wb_host/clknet_leaf_17_wbm_clk_i (net)
-                  0.06    0.00    5.10 ^ mprj/u_wb_host/_6185_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.06    0.31    5.40 ^ mprj/u_wb_host/_6185_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.01                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[1][64] (net)
-                  0.06    0.00    5.40 ^ mprj/u_wb_host/_4732_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    5.51 ^ mprj/u_wb_host/_4732_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0699_ (net)
-                  0.04    0.00    5.51 ^ mprj/u_wb_host/_6185_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  5.51   data arrival time
-
-                          0.00    0.00   clock wb_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.11    5.32 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    5.32 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    5.46 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.15    5.61 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00    5.61 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.76 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
-                  0.06    0.00    5.76 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.27    6.03 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.13                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
-                  0.22    0.00    6.04 ^ mprj/u_wb_host/clkbuf_leaf_17_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.20    6.24 ^ mprj/u_wb_host/clkbuf_leaf_17_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    10    0.03                           mprj/u_wb_host/clknet_leaf_17_wbm_clk_i (net)
-                  0.06    0.00    6.24 ^ mprj/u_wb_host/_6185_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    6.34   clock uncertainty
-                         -1.15    5.20   clock reconvergence pessimism
-                         -0.03    5.17   library hold time
-                                  5.17   data required time
------------------------------------------------------------------------------
-                                  5.17   data required time
-                                 -5.51   data arrival time
------------------------------------------------------------------------------
-                                  0.34   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6177_
-            (rising edge-triggered flip-flop clocked by wb_clk)
-Endpoint: mprj/u_wb_host/_6177_
-          (rising edge-triggered flip-flop clocked by wb_clk)
-Path Group: wb_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wb_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10    4.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    4.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    4.37 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    4.50 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
-                  0.06    0.00    4.50 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.64 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_3_0_wbm_clk_i (net)
-                  0.05    0.00    4.64 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.17    0.21    4.85 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.09                           mprj/u_wb_host/clknet_3_6_0_wbm_clk_i (net)
-                  0.17    0.00    4.86 ^ mprj/u_wb_host/clkbuf_leaf_30_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.18    5.04 ^ mprj/u_wb_host/clkbuf_leaf_30_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    15    0.05                           mprj/u_wb_host/clknet_leaf_30_wbm_clk_i (net)
-                  0.07    0.00    5.04 ^ mprj/u_wb_host/_6177_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.05    0.31    5.35 ^ mprj/u_wb_host/_6177_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[1][56] (net)
-                  0.05    0.00    5.35 ^ mprj/u_wb_host/_4724_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    5.46 ^ mprj/u_wb_host/_4724_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0691_ (net)
-                  0.04    0.00    5.46 ^ mprj/u_wb_host/_6177_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  5.46   data arrival time
-
-                          0.00    0.00   clock wb_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.11    5.32 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    5.32 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    5.46 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.60 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
-                  0.06    0.00    5.60 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.75 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_3_0_wbm_clk_i (net)
-                  0.05    0.00    5.75 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.17    0.23    5.98 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.09                           mprj/u_wb_host/clknet_3_6_0_wbm_clk_i (net)
-                  0.17    0.00    5.98 ^ mprj/u_wb_host/clkbuf_leaf_30_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.20    6.18 ^ mprj/u_wb_host/clkbuf_leaf_30_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    15    0.05                           mprj/u_wb_host/clknet_leaf_30_wbm_clk_i (net)
-                  0.07    0.00    6.18 ^ mprj/u_wb_host/_6177_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    6.28   clock uncertainty
-                         -1.14    5.14   clock reconvergence pessimism
-                         -0.03    5.11   library hold time
-                                  5.11   data required time
------------------------------------------------------------------------------
-                                  5.11   data required time
-                                 -5.46   data arrival time
------------------------------------------------------------------------------
-                                  0.35   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6163_
-            (rising edge-triggered flip-flop clocked by wb_clk)
-Endpoint: mprj/u_wb_host/_6163_
-          (rising edge-triggered flip-flop clocked by wb_clk)
-Path Group: wb_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wb_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10    4.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    4.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    4.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14    4.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00    4.51 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    4.65 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
-                  0.06    0.00    4.65 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.25    4.90 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.13                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
-                  0.22    0.00    4.90 ^ mprj/u_wb_host/clkbuf_leaf_15_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.20    5.11 ^ mprj/u_wb_host/clkbuf_leaf_15_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    15    0.05                           mprj/u_wb_host/clknet_leaf_15_wbm_clk_i (net)
-                  0.07    0.00    5.11 ^ mprj/u_wb_host/_6163_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.05    0.31    5.41 ^ mprj/u_wb_host/_6163_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[1][42] (net)
-                  0.05    0.00    5.41 ^ mprj/u_wb_host/_4710_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    5.53 ^ mprj/u_wb_host/_4710_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0677_ (net)
-                  0.04    0.00    5.53 ^ mprj/u_wb_host/_6163_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  5.53   data arrival time
-
-                          0.00    0.00   clock wb_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.11    5.32 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    5.32 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    5.46 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.15    5.61 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00    5.61 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.76 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
-                  0.06    0.00    5.76 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.27    6.03 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.13                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
-                  0.22    0.00    6.04 ^ mprj/u_wb_host/clkbuf_leaf_15_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.22    6.25 ^ mprj/u_wb_host/clkbuf_leaf_15_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    15    0.05                           mprj/u_wb_host/clknet_leaf_15_wbm_clk_i (net)
-                  0.07    0.00    6.26 ^ mprj/u_wb_host/_6163_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    6.36   clock uncertainty
-                         -1.15    5.21   clock reconvergence pessimism
-                         -0.03    5.18   library hold time
-                                  5.18   data required time
------------------------------------------------------------------------------
-                                  5.18   data required time
-                                 -5.53   data arrival time
------------------------------------------------------------------------------
-                                  0.35   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6061_
-            (rising edge-triggered flip-flop clocked by wb_clk)
-Endpoint: mprj/u_wb_host/_6061_
-          (rising edge-triggered flip-flop clocked by wb_clk)
-Path Group: wb_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wb_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10    4.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    4.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    4.37 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    4.50 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
-                  0.06    0.00    4.50 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.64 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_3_0_wbm_clk_i (net)
-                  0.05    0.00    4.64 ^ mprj/u_wb_host/clkbuf_3_7_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.19    0.23    4.87 ^ mprj/u_wb_host/clkbuf_3_7_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.11                           mprj/u_wb_host/clknet_3_7_0_wbm_clk_i (net)
-                  0.19    0.00    4.87 ^ mprj/u_wb_host/clkbuf_leaf_33_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.17    5.05 ^ mprj/u_wb_host/clkbuf_leaf_33_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.03                           mprj/u_wb_host/clknet_leaf_33_wbm_clk_i (net)
-                  0.05    0.00    5.05 ^ mprj/u_wb_host/_6061_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.06    0.31    5.35 ^ mprj/u_wb_host/_6061_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.01                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[2][9] (net)
-                  0.06    0.00    5.35 ^ mprj/u_wb_host/_4608_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    5.46 ^ mprj/u_wb_host/_4608_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0575_ (net)
-                  0.04    0.00    5.46 ^ mprj/u_wb_host/_6061_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  5.46   data arrival time
-
-                          0.00    0.00   clock wb_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.11    5.32 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    5.32 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    5.46 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.60 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
-                  0.06    0.00    5.60 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.75 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_3_0_wbm_clk_i (net)
-                  0.05    0.00    5.75 ^ mprj/u_wb_host/clkbuf_3_7_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.19    0.25    6.00 ^ mprj/u_wb_host/clkbuf_3_7_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.11                           mprj/u_wb_host/clknet_3_7_0_wbm_clk_i (net)
-                  0.19    0.00    6.00 ^ mprj/u_wb_host/clkbuf_leaf_33_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.19    6.19 ^ mprj/u_wb_host/clkbuf_leaf_33_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.03                           mprj/u_wb_host/clknet_leaf_33_wbm_clk_i (net)
-                  0.05    0.00    6.19 ^ mprj/u_wb_host/_6061_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    6.29   clock uncertainty
-                         -1.14    5.15   clock reconvergence pessimism
-                         -0.03    5.12   library hold time
-                                  5.12   data required time
------------------------------------------------------------------------------
-                                  5.12   data required time
-                                 -5.46   data arrival time
------------------------------------------------------------------------------
-                                  0.35   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6236_
-            (rising edge-triggered flip-flop clocked by wb_clk)
-Endpoint: mprj/u_wb_host/_6236_
-          (rising edge-triggered flip-flop clocked by wb_clk)
-Path Group: wb_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wb_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10    4.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    4.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    4.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14    4.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00    4.51 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    4.65 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
-                  0.06    0.00    4.65 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.25    4.90 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.13                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
-                  0.22    0.00    4.90 ^ mprj/u_wb_host/clkbuf_leaf_15_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.20    5.11 ^ mprj/u_wb_host/clkbuf_leaf_15_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    15    0.05                           mprj/u_wb_host/clknet_leaf_15_wbm_clk_i (net)
-                  0.07    0.00    5.11 ^ mprj/u_wb_host/_6236_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.06    0.31    5.42 ^ mprj/u_wb_host/_6236_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[0][46] (net)
-                  0.06    0.00    5.42 ^ mprj/u_wb_host/_4784_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    5.53 ^ mprj/u_wb_host/_4784_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0750_ (net)
-                  0.04    0.00    5.53 ^ mprj/u_wb_host/_6236_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  5.53   data arrival time
-
-                          0.00    0.00   clock wb_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.11    5.32 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    5.32 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    5.46 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.15    5.61 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00    5.61 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.76 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
-                  0.06    0.00    5.76 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.27    6.03 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.13                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
-                  0.22    0.00    6.04 ^ mprj/u_wb_host/clkbuf_leaf_15_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.22    6.25 ^ mprj/u_wb_host/clkbuf_leaf_15_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    15    0.05                           mprj/u_wb_host/clknet_leaf_15_wbm_clk_i (net)
-                  0.07    0.00    6.25 ^ mprj/u_wb_host/_6236_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    6.35   clock uncertainty
-                         -1.15    5.21   clock reconvergence pessimism
-                         -0.03    5.18   library hold time
-                                  5.18   data required time
------------------------------------------------------------------------------
-                                  5.18   data required time
-                                 -5.53   data arrival time
------------------------------------------------------------------------------
-                                  0.35   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6257_
-            (rising edge-triggered flip-flop clocked by wb_clk)
-Endpoint: mprj/u_wb_host/_6257_
-          (rising edge-triggered flip-flop clocked by wb_clk)
-Path Group: wb_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wb_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10    4.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    4.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    4.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14    4.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00    4.51 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    4.65 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
-                  0.06    0.00    4.65 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.25    4.90 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.13                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
-                  0.22    0.00    4.91 ^ mprj/u_wb_host/clkbuf_leaf_16_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.20    5.10 ^ mprj/u_wb_host/clkbuf_leaf_16_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    15    0.05                           mprj/u_wb_host/clknet_leaf_16_wbm_clk_i (net)
-                  0.07    0.00    5.11 ^ mprj/u_wb_host/_6257_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.06    0.31    5.42 ^ mprj/u_wb_host/_6257_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[0][67] (net)
-                  0.06    0.00    5.42 ^ mprj/u_wb_host/_4805_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    5.52 ^ mprj/u_wb_host/_4805_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0771_ (net)
-                  0.04    0.00    5.52 ^ mprj/u_wb_host/_6257_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  5.52   data arrival time
-
-                          0.00    0.00   clock wb_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.11    5.32 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    5.32 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    5.46 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.15    5.61 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00    5.61 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.76 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
-                  0.06    0.00    5.76 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.27    6.03 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.13                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
-                  0.22    0.00    6.04 ^ mprj/u_wb_host/clkbuf_leaf_16_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.21    6.25 ^ mprj/u_wb_host/clkbuf_leaf_16_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    15    0.05                           mprj/u_wb_host/clknet_leaf_16_wbm_clk_i (net)
-                  0.07    0.00    6.25 ^ mprj/u_wb_host/_6257_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    6.35   clock uncertainty
-                         -1.15    5.21   clock reconvergence pessimism
-                         -0.03    5.18   library hold time
-                                  5.18   data required time
------------------------------------------------------------------------------
-                                  5.18   data required time
-                                 -5.52   data arrival time
------------------------------------------------------------------------------
-                                  0.35   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5990_
-            (rising edge-triggered flip-flop clocked by wb_clk)
-Endpoint: mprj/u_wb_host/_5990_
-          (rising edge-triggered flip-flop clocked by wb_clk)
-Path Group: wb_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wb_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10    4.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    4.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    4.37 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    4.50 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
-                  0.06    0.00    4.50 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.64 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_3_0_wbm_clk_i (net)
-                  0.05    0.00    4.64 ^ mprj/u_wb_host/clkbuf_3_7_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.19    0.23    4.87 ^ mprj/u_wb_host/clkbuf_3_7_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.11                           mprj/u_wb_host/clknet_3_7_0_wbm_clk_i (net)
-                  0.19    0.00    4.87 ^ mprj/u_wb_host/clkbuf_leaf_34_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.18    5.05 ^ mprj/u_wb_host/clkbuf_leaf_34_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.03                           mprj/u_wb_host/clknet_leaf_34_wbm_clk_i (net)
-                  0.06    0.00    5.05 ^ mprj/u_wb_host/_5990_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.06    0.30    5.36 ^ mprj/u_wb_host/_5990_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[3][7] (net)
-                  0.06    0.00    5.36 ^ mprj/u_wb_host/_4520_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    5.47 ^ mprj/u_wb_host/_4520_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0504_ (net)
-                  0.04    0.00    5.47 ^ mprj/u_wb_host/_5990_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  5.47   data arrival time
-
-                          0.00    0.00   clock wb_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.11    5.32 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    5.32 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    5.46 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.60 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
-                  0.06    0.00    5.60 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.75 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_3_0_wbm_clk_i (net)
-                  0.05    0.00    5.75 ^ mprj/u_wb_host/clkbuf_3_7_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.19    0.25    6.00 ^ mprj/u_wb_host/clkbuf_3_7_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.11                           mprj/u_wb_host/clknet_3_7_0_wbm_clk_i (net)
-                  0.19    0.00    6.00 ^ mprj/u_wb_host/clkbuf_leaf_34_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.19    6.20 ^ mprj/u_wb_host/clkbuf_leaf_34_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.03                           mprj/u_wb_host/clknet_leaf_34_wbm_clk_i (net)
-                  0.06    0.00    6.20 ^ mprj/u_wb_host/_5990_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    6.30   clock uncertainty
-                         -1.14    5.15   clock reconvergence pessimism
-                         -0.03    5.12   library hold time
-                                  5.12   data required time
------------------------------------------------------------------------------
-                                  5.12   data required time
-                                 -5.47   data arrival time
------------------------------------------------------------------------------
-                                  0.35   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6127_
-            (rising edge-triggered flip-flop clocked by wb_clk)
-Endpoint: mprj/u_wb_host/_6127_
-          (rising edge-triggered flip-flop clocked by wb_clk)
-Path Group: wb_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wb_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10    4.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    4.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    4.37 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    4.50 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
-                  0.06    0.00    4.50 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.64 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_3_0_wbm_clk_i (net)
-                  0.05    0.00    4.64 ^ mprj/u_wb_host/clkbuf_3_7_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.19    0.23    4.87 ^ mprj/u_wb_host/clkbuf_3_7_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.11                           mprj/u_wb_host/clknet_3_7_0_wbm_clk_i (net)
-                  0.19    0.00    4.87 ^ mprj/u_wb_host/clkbuf_leaf_35_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.17    5.04 ^ mprj/u_wb_host/clkbuf_leaf_35_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     7    0.02                           mprj/u_wb_host/clknet_leaf_35_wbm_clk_i (net)
-                  0.04    0.00    5.04 ^ mprj/u_wb_host/_6127_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.06    0.30    5.35 ^ mprj/u_wb_host/_6127_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.01                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[1][6] (net)
-                  0.06    0.00    5.35 ^ mprj/u_wb_host/_4674_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    5.46 ^ mprj/u_wb_host/_4674_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0641_ (net)
-                  0.04    0.00    5.46 ^ mprj/u_wb_host/_6127_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  5.46   data arrival time
-
-                          0.00    0.00   clock wb_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.11    5.32 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    5.32 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    5.46 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.60 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
-                  0.06    0.00    5.60 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.75 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_3_0_wbm_clk_i (net)
-                  0.05    0.00    5.75 ^ mprj/u_wb_host/clkbuf_3_7_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.19    0.25    6.00 ^ mprj/u_wb_host/clkbuf_3_7_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.11                           mprj/u_wb_host/clknet_3_7_0_wbm_clk_i (net)
-                  0.19    0.00    6.00 ^ mprj/u_wb_host/clkbuf_leaf_35_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.18    6.19 ^ mprj/u_wb_host/clkbuf_leaf_35_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     7    0.02                           mprj/u_wb_host/clknet_leaf_35_wbm_clk_i (net)
-                  0.04    0.00    6.19 ^ mprj/u_wb_host/_6127_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    6.29   clock uncertainty
-                         -1.14    5.14   clock reconvergence pessimism
-                         -0.03    5.11   library hold time
-                                  5.11   data required time
------------------------------------------------------------------------------
-                                  5.11   data required time
-                                 -5.46   data arrival time
------------------------------------------------------------------------------
-                                  0.35   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6237_
-            (rising edge-triggered flip-flop clocked by wb_clk)
-Endpoint: mprj/u_wb_host/_6237_
-          (rising edge-triggered flip-flop clocked by wb_clk)
-Path Group: wb_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wb_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10    4.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    4.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    4.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14    4.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00    4.51 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    4.65 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
-                  0.06    0.00    4.65 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.25    4.90 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.13                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
-                  0.22    0.00    4.90 ^ mprj/u_wb_host/clkbuf_leaf_10_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.18    5.09 ^ mprj/u_wb_host/clkbuf_leaf_10_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.03                           mprj/u_wb_host/clknet_leaf_10_wbm_clk_i (net)
-                  0.05    0.00    5.09 ^ mprj/u_wb_host/_6237_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.06    0.30    5.39 ^ mprj/u_wb_host/_6237_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[0][47] (net)
-                  0.06    0.00    5.39 ^ mprj/u_wb_host/_4785_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    5.50 ^ mprj/u_wb_host/_4785_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0751_ (net)
-                  0.04    0.00    5.50 ^ mprj/u_wb_host/_6237_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  5.50   data arrival time
-
-                          0.00    0.00   clock wb_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.11    5.32 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    5.32 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    5.46 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.15    5.61 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00    5.61 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.76 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
-                  0.06    0.00    5.76 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.27    6.03 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.13                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
-                  0.22    0.00    6.03 ^ mprj/u_wb_host/clkbuf_leaf_10_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.20    6.23 ^ mprj/u_wb_host/clkbuf_leaf_10_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.03                           mprj/u_wb_host/clknet_leaf_10_wbm_clk_i (net)
-                  0.05    0.00    6.23 ^ mprj/u_wb_host/_6237_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    6.33   clock uncertainty
-                         -1.15    5.19   clock reconvergence pessimism
-                         -0.03    5.16   library hold time
-                                  5.16   data required time
------------------------------------------------------------------------------
-                                  5.16   data required time
-                                 -5.50   data arrival time
------------------------------------------------------------------------------
-                                  0.35   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6242_
-            (rising edge-triggered flip-flop clocked by wb_clk)
-Endpoint: mprj/u_wb_host/_6242_
-          (rising edge-triggered flip-flop clocked by wb_clk)
-Path Group: wb_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wb_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10    4.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    4.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    4.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14    4.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00    4.51 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    4.65 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
-                  0.06    0.00    4.65 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.19    0.23    4.88 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.11                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
-                  0.19    0.00    4.88 ^ mprj/u_wb_host/clkbuf_leaf_9_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.18    5.06 ^ mprj/u_wb_host/clkbuf_leaf_9_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    10    0.03                           mprj/u_wb_host/clknet_leaf_9_wbm_clk_i (net)
-                  0.05    0.00    5.06 ^ mprj/u_wb_host/_6242_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.06    0.31    5.37 ^ mprj/u_wb_host/_6242_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.01                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[0][52] (net)
-                  0.06    0.00    5.37 ^ mprj/u_wb_host/_4790_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    5.48 ^ mprj/u_wb_host/_4790_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0756_ (net)
-                  0.04    0.00    5.48 ^ mprj/u_wb_host/_6242_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  5.48   data arrival time
-
-                          0.00    0.00   clock wb_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.11    5.32 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    5.32 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    5.46 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.15    5.61 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00    5.61 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.76 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
-                  0.06    0.00    5.76 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.19    0.25    6.01 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.11                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
-                  0.19    0.00    6.01 ^ mprj/u_wb_host/clkbuf_leaf_9_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.19    6.20 ^ mprj/u_wb_host/clkbuf_leaf_9_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    10    0.03                           mprj/u_wb_host/clknet_leaf_9_wbm_clk_i (net)
-                  0.05    0.00    6.20 ^ mprj/u_wb_host/_6242_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    6.30   clock uncertainty
-                         -1.14    5.16   clock reconvergence pessimism
-                         -0.03    5.13   library hold time
-                                  5.13   data required time
------------------------------------------------------------------------------
-                                  5.13   data required time
-                                 -5.48   data arrival time
------------------------------------------------------------------------------
-                                  0.35   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6018_
-            (rising edge-triggered flip-flop clocked by wb_clk)
-Endpoint: mprj/u_wb_host/_6018_
-          (rising edge-triggered flip-flop clocked by wb_clk)
-Path Group: wb_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wb_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10    4.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    4.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    4.37 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    4.50 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
-                  0.06    0.00    4.50 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.64 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_3_0_wbm_clk_i (net)
-                  0.05    0.00    4.64 ^ mprj/u_wb_host/clkbuf_3_7_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.19    0.23    4.87 ^ mprj/u_wb_host/clkbuf_3_7_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.11                           mprj/u_wb_host/clknet_3_7_0_wbm_clk_i (net)
-                  0.19    0.00    4.87 ^ mprj/u_wb_host/clkbuf_leaf_39_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.16    5.03 ^ mprj/u_wb_host/clkbuf_leaf_39_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     5    0.01                           mprj/u_wb_host/clknet_leaf_39_wbm_clk_i (net)
-                  0.04    0.00    5.03 ^ mprj/u_wb_host/_6018_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.06    0.30    5.34 ^ mprj/u_wb_host/_6018_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.01                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[3][35] (net)
-                  0.06    0.00    5.34 ^ mprj/u_wb_host/_4548_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    5.45 ^ mprj/u_wb_host/_4548_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0532_ (net)
-                  0.04    0.00    5.45 ^ mprj/u_wb_host/_6018_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  5.45   data arrival time
-
-                          0.00    0.00   clock wb_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.11    5.32 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    5.32 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    5.46 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.60 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
-                  0.06    0.00    5.60 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.75 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_3_0_wbm_clk_i (net)
-                  0.05    0.00    5.75 ^ mprj/u_wb_host/clkbuf_3_7_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.19    0.25    6.00 ^ mprj/u_wb_host/clkbuf_3_7_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.11                           mprj/u_wb_host/clknet_3_7_0_wbm_clk_i (net)
-                  0.19    0.00    6.00 ^ mprj/u_wb_host/clkbuf_leaf_39_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.18    6.18 ^ mprj/u_wb_host/clkbuf_leaf_39_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     5    0.01                           mprj/u_wb_host/clknet_leaf_39_wbm_clk_i (net)
-                  0.04    0.00    6.18 ^ mprj/u_wb_host/_6018_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    6.28   clock uncertainty
-                         -1.14    5.13   clock reconvergence pessimism
-                         -0.03    5.10   library hold time
-                                  5.10   data required time
------------------------------------------------------------------------------
-                                  5.10   data required time
-                                 -5.45   data arrival time
------------------------------------------------------------------------------
-                                  0.35   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6168_
-            (rising edge-triggered flip-flop clocked by wb_clk)
-Endpoint: mprj/u_wb_host/_6168_
-          (rising edge-triggered flip-flop clocked by wb_clk)
-Path Group: wb_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wb_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10    4.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    4.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    4.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14    4.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00    4.51 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    4.65 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
-                  0.06    0.00    4.65 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.25    4.90 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.13                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
-                  0.22    0.00    4.90 ^ mprj/u_wb_host/clkbuf_leaf_10_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.18    5.09 ^ mprj/u_wb_host/clkbuf_leaf_10_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.03                           mprj/u_wb_host/clknet_leaf_10_wbm_clk_i (net)
-                  0.05    0.00    5.09 ^ mprj/u_wb_host/_6168_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.06    0.31    5.39 ^ mprj/u_wb_host/_6168_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.01                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[1][47] (net)
-                  0.06    0.00    5.39 ^ mprj/u_wb_host/_4715_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    5.50 ^ mprj/u_wb_host/_4715_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0682_ (net)
-                  0.04    0.00    5.50 ^ mprj/u_wb_host/_6168_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  5.50   data arrival time
-
-                          0.00    0.00   clock wb_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.11    5.32 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    5.32 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    5.46 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.15    5.61 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00    5.61 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.76 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
-                  0.06    0.00    5.76 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.27    6.03 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.13                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
-                  0.22    0.00    6.03 ^ mprj/u_wb_host/clkbuf_leaf_10_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.20    6.23 ^ mprj/u_wb_host/clkbuf_leaf_10_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.03                           mprj/u_wb_host/clknet_leaf_10_wbm_clk_i (net)
-                  0.05    0.00    6.23 ^ mprj/u_wb_host/_6168_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    6.33   clock uncertainty
-                         -1.15    5.19   clock reconvergence pessimism
-                         -0.03    5.16   library hold time
-                                  5.16   data required time
------------------------------------------------------------------------------
-                                  5.16   data required time
-                                 -5.50   data arrival time
------------------------------------------------------------------------------
-                                  0.35   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6028_
-            (rising edge-triggered flip-flop clocked by wb_clk)
-Endpoint: mprj/u_wb_host/_6028_
-          (rising edge-triggered flip-flop clocked by wb_clk)
-Path Group: wb_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wb_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10    4.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    4.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    4.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14    4.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00    4.51 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    4.65 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
-                  0.06    0.00    4.65 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.19    0.23    4.88 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.11                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
-                  0.19    0.00    4.88 ^ mprj/u_wb_host/clkbuf_leaf_22_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.19    5.07 ^ mprj/u_wb_host/clkbuf_leaf_22_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    15    0.05                           mprj/u_wb_host/clknet_leaf_22_wbm_clk_i (net)
-                  0.07    0.00    5.07 ^ mprj/u_wb_host/_6028_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.05    0.31    5.38 ^ mprj/u_wb_host/_6028_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[3][45] (net)
-                  0.05    0.00    5.38 ^ mprj/u_wb_host/_4565_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    5.49 ^ mprj/u_wb_host/_4565_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0542_ (net)
-                  0.04    0.00    5.49 ^ mprj/u_wb_host/_6028_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  5.49   data arrival time
-
-                          0.00    0.00   clock wb_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.11    5.32 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    5.32 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    5.46 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.15    5.61 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00    5.61 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.76 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
-                  0.06    0.00    5.76 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.19    0.25    6.01 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.11                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
-                  0.19    0.00    6.01 ^ mprj/u_wb_host/clkbuf_leaf_22_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.20    6.22 ^ mprj/u_wb_host/clkbuf_leaf_22_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    15    0.05                           mprj/u_wb_host/clknet_leaf_22_wbm_clk_i (net)
-                  0.07    0.00    6.22 ^ mprj/u_wb_host/_6028_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    6.32   clock uncertainty
-                         -1.15    5.17   clock reconvergence pessimism
-                         -0.03    5.15   library hold time
-                                  5.15   data required time
------------------------------------------------------------------------------
-                                  5.15   data required time
-                                 -5.49   data arrival time
------------------------------------------------------------------------------
-                                  0.35   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6069_
-            (rising edge-triggered flip-flop clocked by wb_clk)
-Endpoint: mprj/u_wb_host/_6069_
-          (rising edge-triggered flip-flop clocked by wb_clk)
-Path Group: wb_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wb_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10    4.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    4.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    4.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14    4.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00    4.51 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    4.65 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
-                  0.06    0.00    4.65 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.19    0.23    4.88 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.11                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
-                  0.19    0.00    4.88 ^ mprj/u_wb_host/clkbuf_leaf_22_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.19    5.07 ^ mprj/u_wb_host/clkbuf_leaf_22_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    15    0.05                           mprj/u_wb_host/clknet_leaf_22_wbm_clk_i (net)
-                  0.07    0.00    5.07 ^ mprj/u_wb_host/_6069_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.06    0.31    5.38 ^ mprj/u_wb_host/_6069_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[2][17] (net)
-                  0.06    0.00    5.38 ^ mprj/u_wb_host/_4616_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    5.49 ^ mprj/u_wb_host/_4616_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0583_ (net)
-                  0.04    0.00    5.49 ^ mprj/u_wb_host/_6069_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  5.49   data arrival time
-
-                          0.00    0.00   clock wb_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.11    5.32 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    5.32 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    5.46 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.15    5.61 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00    5.61 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.76 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
-                  0.06    0.00    5.76 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.19    0.25    6.01 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.11                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
-                  0.19    0.00    6.01 ^ mprj/u_wb_host/clkbuf_leaf_22_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.20    6.22 ^ mprj/u_wb_host/clkbuf_leaf_22_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    15    0.05                           mprj/u_wb_host/clknet_leaf_22_wbm_clk_i (net)
-                  0.07    0.00    6.22 ^ mprj/u_wb_host/_6069_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    6.32   clock uncertainty
-                         -1.15    5.17   clock reconvergence pessimism
-                         -0.03    5.15   library hold time
-                                  5.15   data required time
------------------------------------------------------------------------------
-                                  5.15   data required time
-                                 -5.49   data arrival time
------------------------------------------------------------------------------
-                                  0.35   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6213_
-            (rising edge-triggered flip-flop clocked by wb_clk)
-Endpoint: mprj/u_wb_host/_6213_
-          (rising edge-triggered flip-flop clocked by wb_clk)
-Path Group: wb_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wb_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10    4.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    4.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    4.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14    4.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00    4.51 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    4.65 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
-                  0.06    0.00    4.65 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.19    0.23    4.88 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.11                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
-                  0.19    0.00    4.88 ^ mprj/u_wb_host/clkbuf_leaf_20_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.18    5.06 ^ mprj/u_wb_host/clkbuf_leaf_20_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.04                           mprj/u_wb_host/clknet_leaf_20_wbm_clk_i (net)
-                  0.06    0.00    5.06 ^ mprj/u_wb_host/_6213_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.06    0.31    5.37 ^ mprj/u_wb_host/_6213_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[0][23] (net)
-                  0.06    0.00    5.37 ^ mprj/u_wb_host/_4761_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    5.48 ^ mprj/u_wb_host/_4761_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0727_ (net)
-                  0.04    0.00    5.48 ^ mprj/u_wb_host/_6213_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  5.48   data arrival time
-
-                          0.00    0.00   clock wb_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.11    5.32 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    5.32 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    5.46 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.15    5.61 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00    5.61 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.76 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
-                  0.06    0.00    5.76 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.19    0.25    6.01 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.11                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
-                  0.19    0.00    6.01 ^ mprj/u_wb_host/clkbuf_leaf_20_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.19    6.21 ^ mprj/u_wb_host/clkbuf_leaf_20_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.04                           mprj/u_wb_host/clknet_leaf_20_wbm_clk_i (net)
-                  0.06    0.00    6.21 ^ mprj/u_wb_host/_6213_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    6.31   clock uncertainty
-                         -1.14    5.16   clock reconvergence pessimism
-                         -0.03    5.14   library hold time
-                                  5.14   data required time
------------------------------------------------------------------------------
-                                  5.14   data required time
-                                 -5.48   data arrival time
------------------------------------------------------------------------------
-                                  0.35   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6122_
-            (rising edge-triggered flip-flop clocked by wb_clk)
-Endpoint: mprj/u_wb_host/_6122_
-          (rising edge-triggered flip-flop clocked by wb_clk)
-Path Group: wb_clk
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wb_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  1.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10    4.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    4.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    4.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14    4.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00    4.51 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    4.65 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
-                  0.06    0.00    4.65 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.25    4.90 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.13                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
-                  0.22    0.00    4.91 ^ mprj/u_wb_host/clkbuf_leaf_14_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.19    5.10 ^ mprj/u_wb_host/clkbuf_leaf_14_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    12    0.04                           mprj/u_wb_host/clknet_leaf_14_wbm_clk_i (net)
-                  0.06    0.00    5.10 ^ mprj/u_wb_host/_6122_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.05    0.30    5.40 ^ mprj/u_wb_host/_6122_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[1][1] (net)
-                  0.05    0.00    5.40 ^ mprj/u_wb_host/_4669_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    5.52 ^ mprj/u_wb_host/_4669_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0636_ (net)
-                  0.04    0.00    5.52 ^ mprj/u_wb_host/_6122_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  5.52   data arrival time
-
-                          0.00    0.00   clock wb_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.11    5.32 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    5.32 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    5.46 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.15    5.61 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00    5.61 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.76 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
-                  0.06    0.00    5.76 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.27    6.03 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.13                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
-                  0.22    0.00    6.04 ^ mprj/u_wb_host/clkbuf_leaf_14_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.21    6.24 ^ mprj/u_wb_host/clkbuf_leaf_14_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    12    0.04                           mprj/u_wb_host/clknet_leaf_14_wbm_clk_i (net)
-                  0.06    0.00    6.25 ^ mprj/u_wb_host/_6122_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    6.35   clock uncertainty
-                         -1.15    5.20   clock reconvergence pessimism
-                         -0.03    5.17   library hold time
-                                  5.17   data required time
------------------------------------------------------------------------------
-                                  5.17   data required time
-                                 -5.52   data arrival time
------------------------------------------------------------------------------
-                                  0.35   slack (MET)
-
-
 Startpoint: mprj/u_wb_host/_6078_
             (rising edge-triggered flip-flop clocked by wb_clk)
 Endpoint: mprj/u_wb_host/_6078_
@@ -65660,31 +76273,40 @@
                   0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
      2    0.05                           mgmt_buffers/net1453 (net)
                   0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10    4.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    4.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    4.37 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    4.50 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.02    0.09    4.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    4.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27    4.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    4.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37    4.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20    5.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35    5.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    5.65 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.79 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
-                  0.06    0.00    4.50 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.64 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    5.79 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.92 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      2    0.02                           mprj/u_wb_host/clknet_2_3_0_wbm_clk_i (net)
-                  0.05    0.00    4.64 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.17    0.21    4.85 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.09                           mprj/u_wb_host/clknet_3_6_0_wbm_clk_i (net)
-                  0.17    0.00    4.85 ^ mprj/u_wb_host/clkbuf_leaf_28_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.17    5.02 ^ mprj/u_wb_host/clkbuf_leaf_28_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.03                           mprj/u_wb_host/clknet_leaf_28_wbm_clk_i (net)
-                  0.05    0.00    5.02 ^ mprj/u_wb_host/_6078_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.06    0.31    5.33 ^ mprj/u_wb_host/_6078_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.01                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[2][26] (net)
-                  0.06    0.00    5.33 ^ mprj/u_wb_host/_4625_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    5.44 ^ mprj/u_wb_host/_4625_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0592_ (net)
-                  0.04    0.00    5.44 ^ mprj/u_wb_host/_6078_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  5.44   data arrival time
+                  0.05    0.00    5.92 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.15    0.20    6.13 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    12    0.08                           mprj/u_wb_host/clknet_3_6_0_wbm_clk_i (net)
+                  0.15    0.00    6.13 ^ mprj/u_wb_host/clkbuf_leaf_30_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17    6.30 ^ mprj/u_wb_host/clkbuf_leaf_30_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    11    0.04                           mprj/u_wb_host/clknet_leaf_30_wbm_clk_i (net)
+                  0.06    0.00    6.30 ^ mprj/u_wb_host/_6078_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.30    6.60 ^ mprj/u_wb_host/_6078_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[2][32] (net)
+                  0.06    0.00    6.60 ^ mprj/u_wb_host/_4626_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    6.71 ^ mprj/u_wb_host/_4626_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0598_ (net)
+                  0.04    0.00    6.71 ^ mprj/u_wb_host/_6078_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  6.71   data arrival time
 
                           0.00    0.00   clock wb_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -65752,38 +76374,47 @@
                   0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
      2    0.05                           mgmt_buffers/net1453 (net)
                   0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.11    5.32 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    5.32 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    5.46 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.60 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.02    0.10    5.31 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    5.31 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.30    5.61 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    5.64 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.40    6.04 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.21    6.26 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.37    6.63 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    6.63 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.84 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    6.84 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.99 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
-                  0.06    0.00    5.60 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.75 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    6.99 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    7.13 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      2    0.02                           mprj/u_wb_host/clknet_2_3_0_wbm_clk_i (net)
-                  0.05    0.00    5.75 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.17    0.23    5.98 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.09                           mprj/u_wb_host/clknet_3_6_0_wbm_clk_i (net)
-                  0.17    0.00    5.98 ^ mprj/u_wb_host/clkbuf_leaf_28_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.18    6.16 ^ mprj/u_wb_host/clkbuf_leaf_28_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.03                           mprj/u_wb_host/clknet_leaf_28_wbm_clk_i (net)
-                  0.05    0.00    6.16 ^ mprj/u_wb_host/_6078_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    6.26   clock uncertainty
-                         -1.14    5.12   clock reconvergence pessimism
-                         -0.03    5.09   library hold time
-                                  5.09   data required time
+                  0.05    0.00    7.13 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.15    0.22    7.35 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    12    0.08                           mprj/u_wb_host/clknet_3_6_0_wbm_clk_i (net)
+                  0.15    0.00    7.35 ^ mprj/u_wb_host/clkbuf_leaf_30_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.18    7.54 ^ mprj/u_wb_host/clkbuf_leaf_30_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    11    0.04                           mprj/u_wb_host/clknet_leaf_30_wbm_clk_i (net)
+                  0.06    0.00    7.54 ^ mprj/u_wb_host/_6078_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.64   clock uncertainty
+                         -1.24    6.40   clock reconvergence pessimism
+                         -0.03    6.37   library hold time
+                                  6.37   data required time
 -----------------------------------------------------------------------------
-                                  5.09   data required time
-                                 -5.44   data arrival time
+                                  6.37   data required time
+                                 -6.71   data arrival time
 -----------------------------------------------------------------------------
-                                  0.35   slack (MET)
+                                  0.34   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_6125_
+Startpoint: mprj/u_wb_host/_6171_
             (rising edge-triggered flip-flop clocked by wb_clk)
-Endpoint: mprj/u_wb_host/_6125_
+Endpoint: mprj/u_wb_host/_6171_
           (rising edge-triggered flip-flop clocked by wb_clk)
 Path Group: wb_clk
 Path Type: min
@@ -65856,31 +76487,40 @@
                   0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
      2    0.05                           mgmt_buffers/net1453 (net)
                   0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10    4.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    4.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    4.37 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    4.50 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
-                  0.06    0.00    4.50 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.64 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_3_0_wbm_clk_i (net)
-                  0.05    0.00    4.64 ^ mprj/u_wb_host/clkbuf_3_7_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.19    0.23    4.87 ^ mprj/u_wb_host/clkbuf_3_7_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.11                           mprj/u_wb_host/clknet_3_7_0_wbm_clk_i (net)
-                  0.19    0.00    4.87 ^ mprj/u_wb_host/clkbuf_leaf_32_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.09    0.21    5.08 ^ mprj/u_wb_host/clkbuf_leaf_32_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.07                           mprj/u_wb_host/clknet_leaf_32_wbm_clk_i (net)
-                  0.09    0.00    5.08 ^ mprj/u_wb_host/_6125_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.05    0.31    5.39 ^ mprj/u_wb_host/_6125_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[1][4] (net)
-                  0.05    0.00    5.39 ^ mprj/u_wb_host/_4672_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    5.50 ^ mprj/u_wb_host/_4672_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0639_ (net)
-                  0.04    0.00    5.50 ^ mprj/u_wb_host/_6125_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  5.50   data arrival time
+                  0.02    0.09    4.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    4.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27    4.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    4.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37    4.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20    5.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35    5.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    5.65 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15    5.80 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00    5.80 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.94 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    5.94 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.24    0.26    6.20 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
+                  0.24    0.00    6.20 ^ mprj/u_wb_host/clkbuf_leaf_25_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    6.39 ^ mprj/u_wb_host/clkbuf_leaf_25_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_wb_host/clknet_leaf_25_wbm_clk_i (net)
+                  0.06    0.00    6.39 ^ mprj/u_wb_host/_6171_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.31    6.70 ^ mprj/u_wb_host/_6171_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[1][56] (net)
+                  0.06    0.00    6.70 ^ mprj/u_wb_host/_4719_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    6.81 ^ mprj/u_wb_host/_4719_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0691_ (net)
+                  0.04    0.00    6.81 ^ mprj/u_wb_host/_6171_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  6.81   data arrival time
 
                           0.00    0.00   clock wb_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -65948,38 +76588,47 @@
                   0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
      2    0.05                           mgmt_buffers/net1453 (net)
                   0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.11    5.32 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    5.32 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    5.46 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.60 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
-                  0.06    0.00    5.60 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.75 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_3_0_wbm_clk_i (net)
-                  0.05    0.00    5.75 ^ mprj/u_wb_host/clkbuf_3_7_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.19    0.25    6.00 ^ mprj/u_wb_host/clkbuf_3_7_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.11                           mprj/u_wb_host/clknet_3_7_0_wbm_clk_i (net)
-                  0.19    0.00    6.00 ^ mprj/u_wb_host/clkbuf_leaf_32_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.09    0.22    6.22 ^ mprj/u_wb_host/clkbuf_leaf_32_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.07                           mprj/u_wb_host/clknet_leaf_32_wbm_clk_i (net)
-                  0.09    0.00    6.22 ^ mprj/u_wb_host/_6125_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    6.32   clock uncertainty
-                         -1.15    5.18   clock reconvergence pessimism
-                         -0.02    5.15   library hold time
-                                  5.15   data required time
+                  0.02    0.10    5.31 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    5.31 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.30    5.61 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    5.64 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.40    6.04 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.21    6.26 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.37    6.63 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    6.63 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.84 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    6.84 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.16    7.00 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00    7.00 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.15 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    7.15 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.24    0.28    7.43 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
+                  0.24    0.00    7.44 ^ mprj/u_wb_host/clkbuf_leaf_25_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    7.64 ^ mprj/u_wb_host/clkbuf_leaf_25_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_wb_host/clknet_leaf_25_wbm_clk_i (net)
+                  0.06    0.00    7.64 ^ mprj/u_wb_host/_6171_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.74   clock uncertainty
+                         -1.25    6.49   clock reconvergence pessimism
+                         -0.03    6.47   library hold time
+                                  6.47   data required time
 -----------------------------------------------------------------------------
-                                  5.15   data required time
-                                 -5.50   data arrival time
+                                  6.47   data required time
+                                 -6.81   data arrival time
 -----------------------------------------------------------------------------
-                                  0.35   slack (MET)
+                                  0.34   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_6059_
+Startpoint: mprj/u_wb_host/_6015_
             (rising edge-triggered flip-flop clocked by wb_clk)
-Endpoint: mprj/u_wb_host/_6059_
+Endpoint: mprj/u_wb_host/_6015_
           (rising edge-triggered flip-flop clocked by wb_clk)
 Path Group: wb_clk
 Path Type: min
@@ -66052,31 +76701,254 @@
                   0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
      2    0.05                           mgmt_buffers/net1453 (net)
                   0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10    4.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    4.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    4.37 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    4.50 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.02    0.09    4.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    4.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27    4.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    4.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37    4.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20    5.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35    5.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    5.65 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15    5.80 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00    5.80 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.94 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    5.94 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.25    0.27    6.21 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
+                  0.25    0.00    6.21 ^ mprj/u_wb_host/clkbuf_leaf_15_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.41 ^ mprj/u_wb_host/clkbuf_leaf_15_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    13    0.04                           mprj/u_wb_host/clknet_leaf_15_wbm_clk_i (net)
+                  0.06    0.00    6.42 ^ mprj/u_wb_host/_6015_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.31    6.72 ^ mprj/u_wb_host/_6015_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[3][38] (net)
+                  0.06    0.00    6.72 ^ mprj/u_wb_host/_4548_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    6.83 ^ mprj/u_wb_host/_4548_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0535_ (net)
+                  0.04    0.00    6.83 ^ mprj/u_wb_host/_6015_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  6.83   data arrival time
+
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.10    5.31 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    5.31 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.30    5.61 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    5.64 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.40    6.04 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.21    6.26 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.37    6.63 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    6.63 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.84 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    6.84 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.16    7.00 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00    7.00 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.15 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    7.15 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.25    0.29    7.44 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
+                  0.25    0.00    7.45 ^ mprj/u_wb_host/clkbuf_leaf_15_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.22    7.66 ^ mprj/u_wb_host/clkbuf_leaf_15_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    13    0.04                           mprj/u_wb_host/clknet_leaf_15_wbm_clk_i (net)
+                  0.06    0.00    7.67 ^ mprj/u_wb_host/_6015_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.77   clock uncertainty
+                         -1.25    6.52   clock reconvergence pessimism
+                         -0.03    6.49   library hold time
+                                  6.49   data required time
+-----------------------------------------------------------------------------
+                                  6.49   data required time
+                                 -6.83   data arrival time
+-----------------------------------------------------------------------------
+                                  0.34   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6010_
+            (rising edge-triggered flip-flop clocked by wb_clk)
+Endpoint: mprj/u_wb_host/_6010_
+          (rising edge-triggered flip-flop clocked by wb_clk)
+Path Group: wb_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09    4.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    4.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27    4.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    4.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37    4.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20    5.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35    5.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    5.65 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.79 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
-                  0.06    0.00    4.50 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.64 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    5.79 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.92 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      2    0.02                           mprj/u_wb_host/clknet_2_3_0_wbm_clk_i (net)
-                  0.05    0.00    4.64 ^ mprj/u_wb_host/clkbuf_3_7_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.19    0.23    4.87 ^ mprj/u_wb_host/clkbuf_3_7_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.11                           mprj/u_wb_host/clknet_3_7_0_wbm_clk_i (net)
-                  0.19    0.00    4.87 ^ mprj/u_wb_host/clkbuf_leaf_34_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.18    5.05 ^ mprj/u_wb_host/clkbuf_leaf_34_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.03                           mprj/u_wb_host/clknet_leaf_34_wbm_clk_i (net)
-                  0.06    0.00    5.05 ^ mprj/u_wb_host/_6059_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.06    0.31    5.36 ^ mprj/u_wb_host/_6059_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.01                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[2][7] (net)
-                  0.06    0.00    5.36 ^ mprj/u_wb_host/_4606_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    5.47 ^ mprj/u_wb_host/_4606_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0573_ (net)
-                  0.04    0.00    5.47 ^ mprj/u_wb_host/_6059_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  5.47   data arrival time
+                  0.05    0.00    5.92 ^ mprj/u_wb_host/clkbuf_3_7_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.18    0.22    6.14 ^ mprj/u_wb_host/clkbuf_3_7_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.10                           mprj/u_wb_host/clknet_3_7_0_wbm_clk_i (net)
+                  0.18    0.00    6.15 ^ mprj/u_wb_host/clkbuf_leaf_36_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.18    6.33 ^ mprj/u_wb_host/clkbuf_leaf_36_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    12    0.04                           mprj/u_wb_host/clknet_leaf_36_wbm_clk_i (net)
+                  0.06    0.00    6.33 ^ mprj/u_wb_host/_6010_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.31    6.63 ^ mprj/u_wb_host/_6010_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[3][33] (net)
+                  0.06    0.00    6.63 ^ mprj/u_wb_host/_4541_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    6.74 ^ mprj/u_wb_host/_4541_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0530_ (net)
+                  0.04    0.00    6.74 ^ mprj/u_wb_host/_6010_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  6.74   data arrival time
 
                           0.00    0.00   clock wb_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -66144,38 +77016,47 @@
                   0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
      2    0.05                           mgmt_buffers/net1453 (net)
                   0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.11    5.32 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    5.32 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    5.46 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.60 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.02    0.10    5.31 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    5.31 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.30    5.61 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    5.64 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.40    6.04 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.21    6.26 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.37    6.63 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    6.63 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.84 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    6.84 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.99 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
-                  0.06    0.00    5.60 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.75 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    6.99 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    7.13 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      2    0.02                           mprj/u_wb_host/clknet_2_3_0_wbm_clk_i (net)
-                  0.05    0.00    5.75 ^ mprj/u_wb_host/clkbuf_3_7_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.19    0.25    6.00 ^ mprj/u_wb_host/clkbuf_3_7_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.11                           mprj/u_wb_host/clknet_3_7_0_wbm_clk_i (net)
-                  0.19    0.00    6.00 ^ mprj/u_wb_host/clkbuf_leaf_34_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.19    6.20 ^ mprj/u_wb_host/clkbuf_leaf_34_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.03                           mprj/u_wb_host/clknet_leaf_34_wbm_clk_i (net)
-                  0.06    0.00    6.20 ^ mprj/u_wb_host/_6059_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    6.30   clock uncertainty
-                         -1.14    5.15   clock reconvergence pessimism
-                         -0.03    5.12   library hold time
-                                  5.12   data required time
+                  0.05    0.00    7.13 ^ mprj/u_wb_host/clkbuf_3_7_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.18    0.24    7.37 ^ mprj/u_wb_host/clkbuf_3_7_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.10                           mprj/u_wb_host/clknet_3_7_0_wbm_clk_i (net)
+                  0.18    0.00    7.38 ^ mprj/u_wb_host/clkbuf_leaf_36_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    7.57 ^ mprj/u_wb_host/clkbuf_leaf_36_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    12    0.04                           mprj/u_wb_host/clknet_leaf_36_wbm_clk_i (net)
+                  0.06    0.00    7.57 ^ mprj/u_wb_host/_6010_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.67   clock uncertainty
+                         -1.24    6.43   clock reconvergence pessimism
+                         -0.03    6.40   library hold time
+                                  6.40   data required time
 -----------------------------------------------------------------------------
-                                  5.12   data required time
-                                 -5.47   data arrival time
+                                  6.40   data required time
+                                 -6.74   data arrival time
 -----------------------------------------------------------------------------
-                                  0.35   slack (MET)
+                                  0.34   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_6182_
+Startpoint: mprj/u_wb_host/_6032_
             (rising edge-triggered flip-flop clocked by wb_clk)
-Endpoint: mprj/u_wb_host/_6182_
+Endpoint: mprj/u_wb_host/_6032_
           (rising edge-triggered flip-flop clocked by wb_clk)
 Path Group: wb_clk
 Path Type: min
@@ -66248,31 +77129,682 @@
                   0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
      2    0.05                           mgmt_buffers/net1453 (net)
                   0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10    4.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    4.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    4.37 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    4.50 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.02    0.09    4.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    4.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27    4.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    4.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37    4.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20    5.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35    5.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    5.65 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15    5.80 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00    5.80 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.94 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    5.94 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.24    0.26    6.20 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
+                  0.24    0.01    6.21 ^ mprj/u_wb_host/clkbuf_leaf_24_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.41 ^ mprj/u_wb_host/clkbuf_leaf_24_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    11    0.04                           mprj/u_wb_host/clknet_leaf_24_wbm_clk_i (net)
+                  0.06    0.00    6.41 ^ mprj/u_wb_host/_6032_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.31    6.72 ^ mprj/u_wb_host/_6032_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[3][55] (net)
+                  0.06    0.00    6.72 ^ mprj/u_wb_host/_4579_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    6.83 ^ mprj/u_wb_host/_4579_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0552_ (net)
+                  0.04    0.00    6.83 ^ mprj/u_wb_host/_6032_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  6.83   data arrival time
+
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.10    5.31 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    5.31 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.30    5.61 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    5.64 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.40    6.04 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.21    6.26 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.37    6.63 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    6.63 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.84 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    6.84 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.16    7.00 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00    7.00 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.15 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    7.15 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.24    0.28    7.43 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
+                  0.24    0.01    7.45 ^ mprj/u_wb_host/clkbuf_leaf_24_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    7.66 ^ mprj/u_wb_host/clkbuf_leaf_24_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    11    0.04                           mprj/u_wb_host/clknet_leaf_24_wbm_clk_i (net)
+                  0.06    0.00    7.66 ^ mprj/u_wb_host/_6032_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.76   clock uncertainty
+                         -1.25    6.51   clock reconvergence pessimism
+                         -0.03    6.48   library hold time
+                                  6.48   data required time
+-----------------------------------------------------------------------------
+                                  6.48   data required time
+                                 -6.83   data arrival time
+-----------------------------------------------------------------------------
+                                  0.35   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6036_
+            (rising edge-triggered flip-flop clocked by wb_clk)
+Endpoint: mprj/u_wb_host/_6036_
+          (rising edge-triggered flip-flop clocked by wb_clk)
+Path Group: wb_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09    4.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    4.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27    4.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    4.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37    4.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20    5.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35    5.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    5.65 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15    5.80 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00    5.80 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.94 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    5.94 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.24    0.26    6.20 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
+                  0.24    0.01    6.21 ^ mprj/u_wb_host/clkbuf_leaf_24_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.41 ^ mprj/u_wb_host/clkbuf_leaf_24_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    11    0.04                           mprj/u_wb_host/clknet_leaf_24_wbm_clk_i (net)
+                  0.06    0.00    6.41 ^ mprj/u_wb_host/_6036_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.31    6.72 ^ mprj/u_wb_host/_6036_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[3][59] (net)
+                  0.06    0.00    6.72 ^ mprj/u_wb_host/_4583_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    6.83 ^ mprj/u_wb_host/_4583_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0556_ (net)
+                  0.04    0.00    6.83 ^ mprj/u_wb_host/_6036_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  6.83   data arrival time
+
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.10    5.31 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    5.31 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.30    5.61 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    5.64 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.40    6.04 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.21    6.26 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.37    6.63 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    6.63 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.84 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    6.84 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.16    7.00 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00    7.00 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.15 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    7.15 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.24    0.28    7.43 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
+                  0.24    0.01    7.45 ^ mprj/u_wb_host/clkbuf_leaf_24_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    7.66 ^ mprj/u_wb_host/clkbuf_leaf_24_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    11    0.04                           mprj/u_wb_host/clknet_leaf_24_wbm_clk_i (net)
+                  0.06    0.00    7.66 ^ mprj/u_wb_host/_6036_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.76   clock uncertainty
+                         -1.25    6.51   clock reconvergence pessimism
+                         -0.03    6.48   library hold time
+                                  6.48   data required time
+-----------------------------------------------------------------------------
+                                  6.48   data required time
+                                 -6.83   data arrival time
+-----------------------------------------------------------------------------
+                                  0.35   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6229_
+            (rising edge-triggered flip-flop clocked by wb_clk)
+Endpoint: mprj/u_wb_host/_6229_
+          (rising edge-triggered flip-flop clocked by wb_clk)
+Path Group: wb_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09    4.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    4.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27    4.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    4.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37    4.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20    5.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35    5.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    5.65 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15    5.80 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00    5.80 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.94 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    5.94 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.24    0.26    6.20 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
+                  0.24    0.00    6.20 ^ mprj/u_wb_host/clkbuf_leaf_26_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.19    6.39 ^ mprj/u_wb_host/clkbuf_leaf_26_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_wb_host/clknet_leaf_26_wbm_clk_i (net)
+                  0.05    0.00    6.39 ^ mprj/u_wb_host/_6229_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.05    0.30    6.69 ^ mprj/u_wb_host/_6229_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[0][45] (net)
+                  0.05    0.00    6.69 ^ mprj/u_wb_host/_4778_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    6.81 ^ mprj/u_wb_host/_4778_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0749_ (net)
+                  0.04    0.00    6.81 ^ mprj/u_wb_host/_6229_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  6.81   data arrival time
+
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.10    5.31 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    5.31 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.30    5.61 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    5.64 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.40    6.04 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.21    6.26 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.37    6.63 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    6.63 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.84 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    6.84 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.16    7.00 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00    7.00 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.15 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    7.15 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.24    0.28    7.43 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
+                  0.24    0.00    7.43 ^ mprj/u_wb_host/clkbuf_leaf_26_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.20    7.64 ^ mprj/u_wb_host/clkbuf_leaf_26_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_wb_host/clknet_leaf_26_wbm_clk_i (net)
+                  0.05    0.00    7.64 ^ mprj/u_wb_host/_6229_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.74   clock uncertainty
+                         -1.25    6.49   clock reconvergence pessimism
+                         -0.03    6.46   library hold time
+                                  6.46   data required time
+-----------------------------------------------------------------------------
+                                  6.46   data required time
+                                 -6.81   data arrival time
+-----------------------------------------------------------------------------
+                                  0.35   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6198_
+            (rising edge-triggered flip-flop clocked by wb_clk)
+Endpoint: mprj/u_wb_host/_6198_
+          (rising edge-triggered flip-flop clocked by wb_clk)
+Path Group: wb_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09    4.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    4.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27    4.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    4.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37    4.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20    5.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35    5.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    5.65 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.79 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
-                  0.06    0.00    4.50 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.64 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    5.79 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.92 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      2    0.02                           mprj/u_wb_host/clknet_2_3_0_wbm_clk_i (net)
-                  0.05    0.00    4.64 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.17    0.21    4.85 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.09                           mprj/u_wb_host/clknet_3_6_0_wbm_clk_i (net)
-                  0.17    0.00    4.85 ^ mprj/u_wb_host/clkbuf_leaf_28_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.17    5.02 ^ mprj/u_wb_host/clkbuf_leaf_28_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.03                           mprj/u_wb_host/clknet_leaf_28_wbm_clk_i (net)
-                  0.05    0.00    5.02 ^ mprj/u_wb_host/_6182_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.06    0.31    5.33 ^ mprj/u_wb_host/_6182_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.01                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[1][61] (net)
-                  0.06    0.00    5.33 ^ mprj/u_wb_host/_4729_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    5.44 ^ mprj/u_wb_host/_4729_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0696_ (net)
-                  0.04    0.00    5.44 ^ mprj/u_wb_host/_6182_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  5.44   data arrival time
+                  0.05    0.00    5.92 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.15    0.20    6.13 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    12    0.08                           mprj/u_wb_host/clknet_3_6_0_wbm_clk_i (net)
+                  0.15    0.00    6.13 ^ mprj/u_wb_host/clkbuf_leaf_33_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.18    6.30 ^ mprj/u_wb_host/clkbuf_leaf_33_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    15    0.05                           mprj/u_wb_host/clknet_leaf_33_wbm_clk_i (net)
+                  0.07    0.00    6.31 ^ mprj/u_wb_host/_6198_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.31    6.61 ^ mprj/u_wb_host/_6198_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[0][14] (net)
+                  0.06    0.00    6.61 ^ mprj/u_wb_host/_4747_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    6.72 ^ mprj/u_wb_host/_4747_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0718_ (net)
+                  0.04    0.00    6.72 ^ mprj/u_wb_host/_6198_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  6.72   data arrival time
 
                           0.00    0.00   clock wb_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -66340,38 +77872,47 @@
                   0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
      2    0.05                           mgmt_buffers/net1453 (net)
                   0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.11    5.32 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    5.32 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    5.46 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.60 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.02    0.10    5.31 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    5.31 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.30    5.61 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    5.64 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.40    6.04 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.21    6.26 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.37    6.63 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    6.63 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.84 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    6.84 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.99 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
-                  0.06    0.00    5.60 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.75 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    6.99 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    7.13 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      2    0.02                           mprj/u_wb_host/clknet_2_3_0_wbm_clk_i (net)
-                  0.05    0.00    5.75 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.17    0.23    5.98 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.09                           mprj/u_wb_host/clknet_3_6_0_wbm_clk_i (net)
-                  0.17    0.00    5.98 ^ mprj/u_wb_host/clkbuf_leaf_28_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.18    6.16 ^ mprj/u_wb_host/clkbuf_leaf_28_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.03                           mprj/u_wb_host/clknet_leaf_28_wbm_clk_i (net)
-                  0.05    0.00    6.16 ^ mprj/u_wb_host/_6182_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    6.26   clock uncertainty
-                         -1.14    5.12   clock reconvergence pessimism
-                         -0.03    5.09   library hold time
-                                  5.09   data required time
+                  0.05    0.00    7.13 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.15    0.22    7.35 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    12    0.08                           mprj/u_wb_host/clknet_3_6_0_wbm_clk_i (net)
+                  0.15    0.00    7.35 ^ mprj/u_wb_host/clkbuf_leaf_33_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.19    7.55 ^ mprj/u_wb_host/clkbuf_leaf_33_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    15    0.05                           mprj/u_wb_host/clknet_leaf_33_wbm_clk_i (net)
+                  0.07    0.00    7.55 ^ mprj/u_wb_host/_6198_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.65   clock uncertainty
+                         -1.24    6.41   clock reconvergence pessimism
+                         -0.03    6.38   library hold time
+                                  6.38   data required time
 -----------------------------------------------------------------------------
-                                  5.09   data required time
-                                 -5.44   data arrival time
+                                  6.38   data required time
+                                 -6.72   data arrival time
 -----------------------------------------------------------------------------
                                   0.35   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_6072_
+Startpoint: mprj/u_wb_host/_6241_
             (rising edge-triggered flip-flop clocked by wb_clk)
-Endpoint: mprj/u_wb_host/_6072_
+Endpoint: mprj/u_wb_host/_6241_
           (rising edge-triggered flip-flop clocked by wb_clk)
 Path Group: wb_clk
 Path Type: min
@@ -66444,31 +77985,40 @@
                   0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
      2    0.05                           mgmt_buffers/net1453 (net)
                   0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10    4.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    4.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    4.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14    4.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.02    0.09    4.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    4.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27    4.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    4.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37    4.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20    5.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35    5.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    5.65 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15    5.80 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00    4.51 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    4.65 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
-                  0.06    0.00    4.65 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.19    0.23    4.88 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.11                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
-                  0.19    0.00    4.88 ^ mprj/u_wb_host/clkbuf_leaf_22_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.19    5.07 ^ mprj/u_wb_host/clkbuf_leaf_22_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    15    0.05                           mprj/u_wb_host/clknet_leaf_22_wbm_clk_i (net)
-                  0.07    0.00    5.07 ^ mprj/u_wb_host/_6072_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.06    0.31    5.38 ^ mprj/u_wb_host/_6072_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[2][20] (net)
-                  0.06    0.00    5.38 ^ mprj/u_wb_host/_4619_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    5.49 ^ mprj/u_wb_host/_4619_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0586_ (net)
-                  0.04    0.00    5.49 ^ mprj/u_wb_host/_6072_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  5.49   data arrival time
+                  0.07    0.00    5.80 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.94 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    5.94 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.24    0.26    6.20 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
+                  0.24    0.01    6.21 ^ mprj/u_wb_host/clkbuf_leaf_23_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.41 ^ mprj/u_wb_host/clkbuf_leaf_23_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    12    0.04                           mprj/u_wb_host/clknet_leaf_23_wbm_clk_i (net)
+                  0.06    0.00    6.41 ^ mprj/u_wb_host/_6241_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.31    6.72 ^ mprj/u_wb_host/_6241_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.01                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[0][57] (net)
+                  0.06    0.00    6.72 ^ mprj/u_wb_host/_4790_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    6.83 ^ mprj/u_wb_host/_4790_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0761_ (net)
+                  0.04    0.00    6.83 ^ mprj/u_wb_host/_6241_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  6.83   data arrival time
 
                           0.00    0.00   clock wb_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -66536,38 +78086,47 @@
                   0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
      2    0.05                           mgmt_buffers/net1453 (net)
                   0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.11    5.32 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    5.32 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    5.46 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.15    5.61 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.02    0.10    5.31 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    5.31 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.30    5.61 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    5.64 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.40    6.04 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.21    6.26 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.37    6.63 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    6.63 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.84 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    6.84 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.16    7.00 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00    5.61 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.76 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
-                  0.06    0.00    5.76 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.19    0.25    6.01 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.11                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
-                  0.19    0.00    6.01 ^ mprj/u_wb_host/clkbuf_leaf_22_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.20    6.22 ^ mprj/u_wb_host/clkbuf_leaf_22_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    15    0.05                           mprj/u_wb_host/clknet_leaf_22_wbm_clk_i (net)
-                  0.07    0.00    6.22 ^ mprj/u_wb_host/_6072_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    6.32   clock uncertainty
-                         -1.15    5.17   clock reconvergence pessimism
-                         -0.03    5.15   library hold time
-                                  5.15   data required time
+                  0.07    0.00    7.00 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.15 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    7.15 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.24    0.28    7.43 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
+                  0.24    0.01    7.45 ^ mprj/u_wb_host/clkbuf_leaf_23_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    7.66 ^ mprj/u_wb_host/clkbuf_leaf_23_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    12    0.04                           mprj/u_wb_host/clknet_leaf_23_wbm_clk_i (net)
+                  0.06    0.00    7.66 ^ mprj/u_wb_host/_6241_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.76   clock uncertainty
+                         -1.25    6.51   clock reconvergence pessimism
+                         -0.03    6.48   library hold time
+                                  6.48   data required time
 -----------------------------------------------------------------------------
-                                  5.15   data required time
-                                 -5.49   data arrival time
+                                  6.48   data required time
+                                 -6.83   data arrival time
 -----------------------------------------------------------------------------
                                   0.35   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_6139_
+Startpoint: mprj/u_wb_host/_6197_
             (rising edge-triggered flip-flop clocked by wb_clk)
-Endpoint: mprj/u_wb_host/_6139_
+Endpoint: mprj/u_wb_host/_6197_
           (rising edge-triggered flip-flop clocked by wb_clk)
 Path Group: wb_clk
 Path Type: min
@@ -66640,31 +78199,40 @@
                   0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
      2    0.05                           mgmt_buffers/net1453 (net)
                   0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10    4.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    4.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    4.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14    4.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00    4.51 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    4.65 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
-                  0.06    0.00    4.65 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.19    0.23    4.88 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.11                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
-                  0.19    0.00    4.88 ^ mprj/u_wb_host/clkbuf_leaf_23_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.19    5.07 ^ mprj/u_wb_host/clkbuf_leaf_23_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    14    0.05                           mprj/u_wb_host/clknet_leaf_23_wbm_clk_i (net)
-                  0.07    0.00    5.07 ^ mprj/u_wb_host/_6139_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.06    0.31    5.38 ^ mprj/u_wb_host/_6139_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[1][18] (net)
-                  0.06    0.00    5.38 ^ mprj/u_wb_host/_4686_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    5.49 ^ mprj/u_wb_host/_4686_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0653_ (net)
-                  0.04    0.00    5.49 ^ mprj/u_wb_host/_6139_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  5.49   data arrival time
+                  0.02    0.09    4.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    4.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27    4.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    4.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37    4.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20    5.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35    5.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    5.65 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.79 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
+                  0.06    0.00    5.79 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.92 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_3_0_wbm_clk_i (net)
+                  0.05    0.00    5.92 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.15    0.20    6.13 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    12    0.08                           mprj/u_wb_host/clknet_3_6_0_wbm_clk_i (net)
+                  0.15    0.00    6.13 ^ mprj/u_wb_host/clkbuf_leaf_34_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.18    6.31 ^ mprj/u_wb_host/clkbuf_leaf_34_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.05                           mprj/u_wb_host/clknet_leaf_34_wbm_clk_i (net)
+                  0.07    0.00    6.31 ^ mprj/u_wb_host/_6197_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.31    6.62 ^ mprj/u_wb_host/_6197_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[0][13] (net)
+                  0.06    0.00    6.62 ^ mprj/u_wb_host/_4746_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    6.73 ^ mprj/u_wb_host/_4746_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0717_ (net)
+                  0.04    0.00    6.73 ^ mprj/u_wb_host/_6197_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  6.73   data arrival time
 
                           0.00    0.00   clock wb_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -66732,38 +78300,47 @@
                   0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
      2    0.05                           mgmt_buffers/net1453 (net)
                   0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.11    5.32 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    5.32 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    5.46 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.15    5.61 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00    5.61 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.76 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
-                  0.06    0.00    5.76 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.19    0.25    6.01 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.11                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
-                  0.19    0.00    6.01 ^ mprj/u_wb_host/clkbuf_leaf_23_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.20    6.22 ^ mprj/u_wb_host/clkbuf_leaf_23_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    14    0.05                           mprj/u_wb_host/clknet_leaf_23_wbm_clk_i (net)
-                  0.07    0.00    6.22 ^ mprj/u_wb_host/_6139_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    6.32   clock uncertainty
-                         -1.15    5.17   clock reconvergence pessimism
-                         -0.03    5.14   library hold time
-                                  5.14   data required time
+                  0.02    0.10    5.31 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    5.31 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.30    5.61 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    5.64 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.40    6.04 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.21    6.26 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.37    6.63 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    6.63 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.84 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    6.84 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.99 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
+                  0.06    0.00    6.99 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    7.13 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_3_0_wbm_clk_i (net)
+                  0.05    0.00    7.13 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.15    0.22    7.35 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    12    0.08                           mprj/u_wb_host/clknet_3_6_0_wbm_clk_i (net)
+                  0.15    0.00    7.35 ^ mprj/u_wb_host/clkbuf_leaf_34_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.19    7.55 ^ mprj/u_wb_host/clkbuf_leaf_34_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.05                           mprj/u_wb_host/clknet_leaf_34_wbm_clk_i (net)
+                  0.07    0.00    7.55 ^ mprj/u_wb_host/_6197_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.65   clock uncertainty
+                         -1.24    6.41   clock reconvergence pessimism
+                         -0.03    6.38   library hold time
+                                  6.38   data required time
 -----------------------------------------------------------------------------
-                                  5.14   data required time
-                                 -5.49   data arrival time
+                                  6.38   data required time
+                                 -6.73   data arrival time
 -----------------------------------------------------------------------------
                                   0.35   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_5984_
+Startpoint: mprj/u_wb_host/_6245_
             (rising edge-triggered flip-flop clocked by wb_clk)
-Endpoint: mprj/u_wb_host/_5984_
+Endpoint: mprj/u_wb_host/_6245_
           (rising edge-triggered flip-flop clocked by wb_clk)
 Path Group: wb_clk
 Path Type: min
@@ -66836,31 +78413,40 @@
                   0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
      2    0.05                           mgmt_buffers/net1453 (net)
                   0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10    4.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    4.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    4.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14    4.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00    4.51 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    4.65 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
-                  0.06    0.00    4.65 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.25    4.90 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.13                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
-                  0.22    0.00    4.91 ^ mprj/u_wb_host/clkbuf_leaf_13_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.20    5.10 ^ mprj/u_wb_host/clkbuf_leaf_13_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    13    0.05                           mprj/u_wb_host/clknet_leaf_13_wbm_clk_i (net)
-                  0.07    0.00    5.10 ^ mprj/u_wb_host/_5984_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.06    0.31    5.42 ^ mprj/u_wb_host/_5984_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.01                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[3][1] (net)
-                  0.06    0.00    5.42 ^ mprj/u_wb_host/_4514_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.03    0.11    5.52 ^ mprj/u_wb_host/_4514_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0498_ (net)
-                  0.03    0.00    5.52 ^ mprj/u_wb_host/_5984_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  5.52   data arrival time
+                  0.02    0.09    4.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    4.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27    4.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    4.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37    4.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20    5.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35    5.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    5.65 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.79 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
+                  0.06    0.00    5.79 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.92 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_3_0_wbm_clk_i (net)
+                  0.05    0.00    5.92 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.15    0.20    6.13 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    12    0.08                           mprj/u_wb_host/clknet_3_6_0_wbm_clk_i (net)
+                  0.15    0.00    6.13 ^ mprj/u_wb_host/clkbuf_leaf_33_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.18    6.30 ^ mprj/u_wb_host/clkbuf_leaf_33_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    15    0.05                           mprj/u_wb_host/clknet_leaf_33_wbm_clk_i (net)
+                  0.07    0.00    6.31 ^ mprj/u_wb_host/_6245_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.31    6.62 ^ mprj/u_wb_host/_6245_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[0][61] (net)
+                  0.06    0.00    6.62 ^ mprj/u_wb_host/_4794_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    6.72 ^ mprj/u_wb_host/_4794_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0765_ (net)
+                  0.04    0.00    6.72 ^ mprj/u_wb_host/_6245_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  6.72   data arrival time
 
                           0.00    0.00   clock wb_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -66928,38 +78514,47 @@
                   0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
      2    0.05                           mgmt_buffers/net1453 (net)
                   0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.11    5.32 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    5.32 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    5.46 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.15    5.61 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00    5.61 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.76 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
-                  0.06    0.00    5.76 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.27    6.03 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.13                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
-                  0.22    0.00    6.04 ^ mprj/u_wb_host/clkbuf_leaf_13_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.21    6.25 ^ mprj/u_wb_host/clkbuf_leaf_13_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    13    0.05                           mprj/u_wb_host/clknet_leaf_13_wbm_clk_i (net)
-                  0.07    0.00    6.25 ^ mprj/u_wb_host/_5984_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    6.35   clock uncertainty
-                         -1.15    5.20   clock reconvergence pessimism
-                         -0.03    5.18   library hold time
-                                  5.18   data required time
+                  0.02    0.10    5.31 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    5.31 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.30    5.61 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    5.64 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.40    6.04 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.21    6.26 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.37    6.63 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    6.63 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.84 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    6.84 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.99 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
+                  0.06    0.00    6.99 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    7.13 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_3_0_wbm_clk_i (net)
+                  0.05    0.00    7.13 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.15    0.22    7.35 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    12    0.08                           mprj/u_wb_host/clknet_3_6_0_wbm_clk_i (net)
+                  0.15    0.00    7.35 ^ mprj/u_wb_host/clkbuf_leaf_33_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.19    7.55 ^ mprj/u_wb_host/clkbuf_leaf_33_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    15    0.05                           mprj/u_wb_host/clknet_leaf_33_wbm_clk_i (net)
+                  0.07    0.00    7.55 ^ mprj/u_wb_host/_6245_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.65   clock uncertainty
+                         -1.24    6.41   clock reconvergence pessimism
+                         -0.03    6.38   library hold time
+                                  6.38   data required time
 -----------------------------------------------------------------------------
-                                  5.18   data required time
-                                 -5.52   data arrival time
+                                  6.38   data required time
+                                 -6.72   data arrival time
 -----------------------------------------------------------------------------
                                   0.35   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_6035_
+Startpoint: mprj/u_wb_host/_6109_
             (rising edge-triggered flip-flop clocked by wb_clk)
-Endpoint: mprj/u_wb_host/_6035_
+Endpoint: mprj/u_wb_host/_6109_
           (rising edge-triggered flip-flop clocked by wb_clk)
 Path Group: wb_clk
 Path Type: min
@@ -67032,31 +78627,40 @@
                   0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
      2    0.05                           mgmt_buffers/net1453 (net)
                   0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10    4.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    4.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    4.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14    4.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.02    0.09    4.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    4.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27    4.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    4.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37    4.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20    5.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35    5.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    5.65 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15    5.80 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00    4.51 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    4.65 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
-                  0.06    0.00    4.65 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.19    0.23    4.88 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.11                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
-                  0.19    0.00    4.88 ^ mprj/u_wb_host/clkbuf_leaf_9_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.18    5.06 ^ mprj/u_wb_host/clkbuf_leaf_9_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    10    0.03                           mprj/u_wb_host/clknet_leaf_9_wbm_clk_i (net)
-                  0.05    0.00    5.06 ^ mprj/u_wb_host/_6035_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.06    0.30    5.36 ^ mprj/u_wb_host/_6035_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[3][52] (net)
-                  0.06    0.00    5.36 ^ mprj/u_wb_host/_4579_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    5.48 ^ mprj/u_wb_host/_4579_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0549_ (net)
-                  0.04    0.00    5.48 ^ mprj/u_wb_host/_6035_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  5.48   data arrival time
+                  0.07    0.00    5.80 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.94 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    5.94 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.25    0.27    6.21 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
+                  0.25    0.00    6.21 ^ mprj/u_wb_host/clkbuf_leaf_19_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.19    6.41 ^ mprj/u_wb_host/clkbuf_leaf_19_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_wb_host/clknet_leaf_19_wbm_clk_i (net)
+                  0.05    0.00    6.41 ^ mprj/u_wb_host/_6109_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.31    6.71 ^ mprj/u_wb_host/_6109_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.01                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[2][63] (net)
+                  0.06    0.00    6.71 ^ mprj/u_wb_host/_4657_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    6.82 ^ mprj/u_wb_host/_4657_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0629_ (net)
+                  0.04    0.00    6.82 ^ mprj/u_wb_host/_6109_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  6.82   data arrival time
 
                           0.00    0.00   clock wb_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -67124,31 +78728,1110 @@
                   0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
      2    0.05                           mgmt_buffers/net1453 (net)
                   0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.11    5.32 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    5.32 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    5.46 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.15    5.61 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.02    0.10    5.31 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    5.31 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.30    5.61 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    5.64 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.40    6.04 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.21    6.26 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.37    6.63 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    6.63 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.84 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    6.84 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.16    7.00 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00    5.61 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.76 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
-                  0.06    0.00    5.76 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.19    0.25    6.01 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.11                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
-                  0.19    0.00    6.01 ^ mprj/u_wb_host/clkbuf_leaf_9_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.19    6.20 ^ mprj/u_wb_host/clkbuf_leaf_9_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    10    0.03                           mprj/u_wb_host/clknet_leaf_9_wbm_clk_i (net)
-                  0.05    0.00    6.20 ^ mprj/u_wb_host/_6035_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    6.30   clock uncertainty
-                         -1.14    5.16   clock reconvergence pessimism
-                         -0.03    5.13   library hold time
-                                  5.13   data required time
+                  0.07    0.00    7.00 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.15 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    7.15 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.25    0.29    7.44 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
+                  0.25    0.00    7.45 ^ mprj/u_wb_host/clkbuf_leaf_19_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.21    7.66 ^ mprj/u_wb_host/clkbuf_leaf_19_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_wb_host/clknet_leaf_19_wbm_clk_i (net)
+                  0.05    0.00    7.66 ^ mprj/u_wb_host/_6109_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.76   clock uncertainty
+                         -1.25    6.51   clock reconvergence pessimism
+                         -0.03    6.48   library hold time
+                                  6.48   data required time
 -----------------------------------------------------------------------------
-                                  5.13   data required time
-                                 -5.48   data arrival time
+                                  6.48   data required time
+                                 -6.82   data arrival time
+-----------------------------------------------------------------------------
+                                  0.35   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6064_
+            (rising edge-triggered flip-flop clocked by wb_clk)
+Endpoint: mprj/u_wb_host/_6064_
+          (rising edge-triggered flip-flop clocked by wb_clk)
+Path Group: wb_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09    4.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    4.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27    4.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    4.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37    4.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20    5.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35    5.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    5.65 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15    5.80 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00    5.80 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.94 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    5.94 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.24    0.26    6.20 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
+                  0.24    0.01    6.21 ^ mprj/u_wb_host/clkbuf_leaf_24_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.41 ^ mprj/u_wb_host/clkbuf_leaf_24_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    11    0.04                           mprj/u_wb_host/clknet_leaf_24_wbm_clk_i (net)
+                  0.06    0.00    6.41 ^ mprj/u_wb_host/_6064_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.31    6.71 ^ mprj/u_wb_host/_6064_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[2][18] (net)
+                  0.06    0.00    6.71 ^ mprj/u_wb_host/_4612_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    6.83 ^ mprj/u_wb_host/_4612_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0584_ (net)
+                  0.04    0.00    6.83 ^ mprj/u_wb_host/_6064_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  6.83   data arrival time
+
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.10    5.31 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    5.31 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.30    5.61 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    5.64 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.40    6.04 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.21    6.26 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.37    6.63 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    6.63 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.84 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    6.84 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.16    7.00 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00    7.00 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.15 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    7.15 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.24    0.28    7.43 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
+                  0.24    0.01    7.45 ^ mprj/u_wb_host/clkbuf_leaf_24_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    7.66 ^ mprj/u_wb_host/clkbuf_leaf_24_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    11    0.04                           mprj/u_wb_host/clknet_leaf_24_wbm_clk_i (net)
+                  0.06    0.00    7.66 ^ mprj/u_wb_host/_6064_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.76   clock uncertainty
+                         -1.25    6.51   clock reconvergence pessimism
+                         -0.03    6.48   library hold time
+                                  6.48   data required time
+-----------------------------------------------------------------------------
+                                  6.48   data required time
+                                 -6.83   data arrival time
+-----------------------------------------------------------------------------
+                                  0.35   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6058_
+            (rising edge-triggered flip-flop clocked by wb_clk)
+Endpoint: mprj/u_wb_host/_6058_
+          (rising edge-triggered flip-flop clocked by wb_clk)
+Path Group: wb_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09    4.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    4.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27    4.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    4.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37    4.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20    5.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35    5.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    5.65 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15    5.80 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00    5.80 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.94 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    5.94 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.24    0.26    6.20 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
+                  0.24    0.00    6.20 ^ mprj/u_wb_host/clkbuf_leaf_25_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    6.39 ^ mprj/u_wb_host/clkbuf_leaf_25_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_wb_host/clknet_leaf_25_wbm_clk_i (net)
+                  0.06    0.00    6.39 ^ mprj/u_wb_host/_6058_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.31    6.70 ^ mprj/u_wb_host/_6058_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.01                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[2][12] (net)
+                  0.06    0.00    6.70 ^ mprj/u_wb_host/_4606_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    6.81 ^ mprj/u_wb_host/_4606_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0578_ (net)
+                  0.04    0.00    6.81 ^ mprj/u_wb_host/_6058_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  6.81   data arrival time
+
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.10    5.31 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    5.31 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.30    5.61 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    5.64 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.40    6.04 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.21    6.26 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.37    6.63 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    6.63 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.84 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    6.84 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.16    7.00 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00    7.00 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.15 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    7.15 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.24    0.28    7.43 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
+                  0.24    0.00    7.44 ^ mprj/u_wb_host/clkbuf_leaf_25_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    7.64 ^ mprj/u_wb_host/clkbuf_leaf_25_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_wb_host/clknet_leaf_25_wbm_clk_i (net)
+                  0.06    0.00    7.64 ^ mprj/u_wb_host/_6058_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.74   clock uncertainty
+                         -1.25    6.49   clock reconvergence pessimism
+                         -0.03    6.47   library hold time
+                                  6.47   data required time
+-----------------------------------------------------------------------------
+                                  6.47   data required time
+                                 -6.81   data arrival time
+-----------------------------------------------------------------------------
+                                  0.35   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6227_
+            (rising edge-triggered flip-flop clocked by wb_clk)
+Endpoint: mprj/u_wb_host/_6227_
+          (rising edge-triggered flip-flop clocked by wb_clk)
+Path Group: wb_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09    4.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    4.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27    4.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    4.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37    4.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20    5.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35    5.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    5.65 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15    5.80 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00    5.80 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.94 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    5.94 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.25    0.27    6.21 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
+                  0.25    0.00    6.21 ^ mprj/u_wb_host/clkbuf_leaf_12_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20    6.42 ^ mprj/u_wb_host/clkbuf_leaf_12_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    13    0.05                           mprj/u_wb_host/clknet_leaf_12_wbm_clk_i (net)
+                  0.07    0.00    6.42 ^ mprj/u_wb_host/_6227_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.31    6.73 ^ mprj/u_wb_host/_6227_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[0][43] (net)
+                  0.06    0.00    6.73 ^ mprj/u_wb_host/_4776_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    6.84 ^ mprj/u_wb_host/_4776_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0747_ (net)
+                  0.04    0.00    6.84 ^ mprj/u_wb_host/_6227_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  6.84   data arrival time
+
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.10    5.31 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    5.31 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.30    5.61 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    5.64 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.40    6.04 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.21    6.26 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.37    6.63 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    6.63 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.84 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    6.84 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.16    7.00 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00    7.00 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.15 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    7.15 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.25    0.29    7.44 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
+                  0.25    0.00    7.45 ^ mprj/u_wb_host/clkbuf_leaf_12_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    7.67 ^ mprj/u_wb_host/clkbuf_leaf_12_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    13    0.05                           mprj/u_wb_host/clknet_leaf_12_wbm_clk_i (net)
+                  0.07    0.00    7.67 ^ mprj/u_wb_host/_6227_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.77   clock uncertainty
+                         -1.25    6.52   clock reconvergence pessimism
+                         -0.03    6.49   library hold time
+                                  6.49   data required time
+-----------------------------------------------------------------------------
+                                  6.49   data required time
+                                 -6.84   data arrival time
+-----------------------------------------------------------------------------
+                                  0.35   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6199_
+            (rising edge-triggered flip-flop clocked by wb_clk)
+Endpoint: mprj/u_wb_host/_6199_
+          (rising edge-triggered flip-flop clocked by wb_clk)
+Path Group: wb_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09    4.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    4.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27    4.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    4.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37    4.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20    5.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35    5.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    5.65 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15    5.80 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00    5.80 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.94 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    5.94 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.24    0.26    6.20 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
+                  0.24    0.00    6.20 ^ mprj/u_wb_host/clkbuf_leaf_25_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    6.39 ^ mprj/u_wb_host/clkbuf_leaf_25_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_wb_host/clknet_leaf_25_wbm_clk_i (net)
+                  0.06    0.00    6.39 ^ mprj/u_wb_host/_6199_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.31    6.70 ^ mprj/u_wb_host/_6199_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.01                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[0][15] (net)
+                  0.06    0.00    6.70 ^ mprj/u_wb_host/_4748_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    6.81 ^ mprj/u_wb_host/_4748_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0719_ (net)
+                  0.04    0.00    6.81 ^ mprj/u_wb_host/_6199_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  6.81   data arrival time
+
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.10    5.31 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    5.31 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.30    5.61 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    5.64 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.40    6.04 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.21    6.26 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.37    6.63 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    6.63 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.84 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    6.84 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.16    7.00 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00    7.00 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.15 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    7.15 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.24    0.28    7.43 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
+                  0.24    0.00    7.44 ^ mprj/u_wb_host/clkbuf_leaf_25_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    7.64 ^ mprj/u_wb_host/clkbuf_leaf_25_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_wb_host/clknet_leaf_25_wbm_clk_i (net)
+                  0.06    0.00    7.64 ^ mprj/u_wb_host/_6199_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.74   clock uncertainty
+                         -1.25    6.49   clock reconvergence pessimism
+                         -0.03    6.47   library hold time
+                                  6.47   data required time
+-----------------------------------------------------------------------------
+                                  6.47   data required time
+                                 -6.81   data arrival time
+-----------------------------------------------------------------------------
+                                  0.35   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6115_
+            (rising edge-triggered flip-flop clocked by wb_clk)
+Endpoint: mprj/u_wb_host/_6115_
+          (rising edge-triggered flip-flop clocked by wb_clk)
+Path Group: wb_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09    4.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    4.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27    4.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    4.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37    4.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20    5.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35    5.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    5.65 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15    5.80 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00    5.80 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.94 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    5.94 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.25    0.27    6.21 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
+                  0.25    0.00    6.21 ^ mprj/u_wb_host/clkbuf_leaf_15_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.41 ^ mprj/u_wb_host/clkbuf_leaf_15_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    13    0.04                           mprj/u_wb_host/clknet_leaf_15_wbm_clk_i (net)
+                  0.06    0.00    6.41 ^ mprj/u_wb_host/_6115_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.05    0.31    6.72 ^ mprj/u_wb_host/_6115_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[1][0] (net)
+                  0.05    0.00    6.72 ^ mprj/u_wb_host/_4663_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    6.83 ^ mprj/u_wb_host/_4663_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0635_ (net)
+                  0.04    0.00    6.83 ^ mprj/u_wb_host/_6115_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  6.83   data arrival time
+
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.10    5.31 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    5.31 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.30    5.61 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    5.64 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.40    6.04 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.21    6.26 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.37    6.63 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    6.63 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.84 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    6.84 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.16    7.00 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00    7.00 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.15 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    7.15 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.25    0.29    7.44 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
+                  0.25    0.00    7.45 ^ mprj/u_wb_host/clkbuf_leaf_15_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.22    7.66 ^ mprj/u_wb_host/clkbuf_leaf_15_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    13    0.04                           mprj/u_wb_host/clknet_leaf_15_wbm_clk_i (net)
+                  0.06    0.00    7.66 ^ mprj/u_wb_host/_6115_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.76   clock uncertainty
+                         -1.25    6.51   clock reconvergence pessimism
+                         -0.03    6.49   library hold time
+                                  6.49   data required time
+-----------------------------------------------------------------------------
+                                  6.49   data required time
+                                 -6.83   data arrival time
 -----------------------------------------------------------------------------
                                   0.35   slack (MET)
 
@@ -67228,31 +79911,40 @@
                   0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
      2    0.05                           mgmt_buffers/net1453 (net)
                   0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10    4.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    4.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    4.37 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    4.50 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.02    0.09    4.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    4.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27    4.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    4.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37    4.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20    5.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35    5.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    5.65 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.79 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
-                  0.06    0.00    4.50 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.64 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    5.79 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.92 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      2    0.02                           mprj/u_wb_host/clknet_2_3_0_wbm_clk_i (net)
-                  0.05    0.00    4.64 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.17    0.21    4.85 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.09                           mprj/u_wb_host/clknet_3_6_0_wbm_clk_i (net)
-                  0.17    0.00    4.86 ^ mprj/u_wb_host/clkbuf_leaf_30_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.18    5.04 ^ mprj/u_wb_host/clkbuf_leaf_30_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    15    0.05                           mprj/u_wb_host/clknet_leaf_30_wbm_clk_i (net)
-                  0.07    0.00    5.04 ^ mprj/u_wb_host/_6011_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.06    0.31    5.35 ^ mprj/u_wb_host/_6011_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[3][28] (net)
-                  0.06    0.00    5.35 ^ mprj/u_wb_host/_4541_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    5.46 ^ mprj/u_wb_host/_4541_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0525_ (net)
-                  0.04    0.00    5.46 ^ mprj/u_wb_host/_6011_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  5.46   data arrival time
+                  0.05    0.00    5.92 ^ mprj/u_wb_host/clkbuf_3_7_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.18    0.22    6.14 ^ mprj/u_wb_host/clkbuf_3_7_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.10                           mprj/u_wb_host/clknet_3_7_0_wbm_clk_i (net)
+                  0.18    0.00    6.15 ^ mprj/u_wb_host/clkbuf_leaf_36_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.18    6.33 ^ mprj/u_wb_host/clkbuf_leaf_36_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    12    0.04                           mprj/u_wb_host/clknet_leaf_36_wbm_clk_i (net)
+                  0.06    0.00    6.33 ^ mprj/u_wb_host/_6011_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.31    6.64 ^ mprj/u_wb_host/_6011_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.01                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[3][34] (net)
+                  0.06    0.00    6.64 ^ mprj/u_wb_host/_4542_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.03    0.11    6.75 ^ mprj/u_wb_host/_4542_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0531_ (net)
+                  0.03    0.00    6.75 ^ mprj/u_wb_host/_6011_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  6.75   data arrival time
 
                           0.00    0.00   clock wb_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -67320,38 +80012,47 @@
                   0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
      2    0.05                           mgmt_buffers/net1453 (net)
                   0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.11    5.32 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    5.32 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    5.46 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.60 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.02    0.10    5.31 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    5.31 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.30    5.61 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    5.64 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.40    6.04 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.21    6.26 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.37    6.63 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    6.63 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.84 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    6.84 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.99 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
-                  0.06    0.00    5.60 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.75 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    6.99 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    7.13 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      2    0.02                           mprj/u_wb_host/clknet_2_3_0_wbm_clk_i (net)
-                  0.05    0.00    5.75 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.17    0.23    5.98 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.09                           mprj/u_wb_host/clknet_3_6_0_wbm_clk_i (net)
-                  0.17    0.00    5.98 ^ mprj/u_wb_host/clkbuf_leaf_30_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.20    6.18 ^ mprj/u_wb_host/clkbuf_leaf_30_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    15    0.05                           mprj/u_wb_host/clknet_leaf_30_wbm_clk_i (net)
-                  0.07    0.00    6.18 ^ mprj/u_wb_host/_6011_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    6.28   clock uncertainty
-                         -1.14    5.14   clock reconvergence pessimism
-                         -0.03    5.11   library hold time
-                                  5.11   data required time
+                  0.05    0.00    7.13 ^ mprj/u_wb_host/clkbuf_3_7_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.18    0.24    7.37 ^ mprj/u_wb_host/clkbuf_3_7_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.10                           mprj/u_wb_host/clknet_3_7_0_wbm_clk_i (net)
+                  0.18    0.00    7.38 ^ mprj/u_wb_host/clkbuf_leaf_36_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    7.57 ^ mprj/u_wb_host/clkbuf_leaf_36_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    12    0.04                           mprj/u_wb_host/clknet_leaf_36_wbm_clk_i (net)
+                  0.06    0.00    7.57 ^ mprj/u_wb_host/_6011_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.67   clock uncertainty
+                         -1.24    6.43   clock reconvergence pessimism
+                         -0.03    6.40   library hold time
+                                  6.40   data required time
 -----------------------------------------------------------------------------
-                                  5.11   data required time
-                                 -5.46   data arrival time
+                                  6.40   data required time
+                                 -6.75   data arrival time
 -----------------------------------------------------------------------------
                                   0.35   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_6065_
+Startpoint: mprj/u_wb_host/_6180_
             (rising edge-triggered flip-flop clocked by wb_clk)
-Endpoint: mprj/u_wb_host/_6065_
+Endpoint: mprj/u_wb_host/_6180_
           (rising edge-triggered flip-flop clocked by wb_clk)
 Path Group: wb_clk
 Path Type: min
@@ -67424,31 +80125,40 @@
                   0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
      2    0.05                           mgmt_buffers/net1453 (net)
                   0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10    4.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    4.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    4.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14    4.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.02    0.09    4.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    4.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27    4.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    4.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37    4.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20    5.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35    5.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    5.65 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15    5.80 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00    4.51 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    4.65 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
-                  0.06    0.00    4.65 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.19    0.23    4.88 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.11                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
-                  0.19    0.00    4.88 ^ mprj/u_wb_host/clkbuf_leaf_20_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.18    5.06 ^ mprj/u_wb_host/clkbuf_leaf_20_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.04                           mprj/u_wb_host/clknet_leaf_20_wbm_clk_i (net)
-                  0.06    0.00    5.06 ^ mprj/u_wb_host/_6065_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.06    0.31    5.37 ^ mprj/u_wb_host/_6065_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.01                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[2][13] (net)
-                  0.06    0.00    5.37 ^ mprj/u_wb_host/_4612_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    5.48 ^ mprj/u_wb_host/_4612_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0579_ (net)
-                  0.04    0.00    5.48 ^ mprj/u_wb_host/_6065_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  5.48   data arrival time
+                  0.07    0.00    5.80 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.94 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    5.94 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.25    0.27    6.21 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
+                  0.25    0.00    6.21 ^ mprj/u_wb_host/clkbuf_leaf_20_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    6.39 ^ mprj/u_wb_host/clkbuf_leaf_20_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           mprj/u_wb_host/clknet_leaf_20_wbm_clk_i (net)
+                  0.04    0.00    6.39 ^ mprj/u_wb_host/_6180_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.30    6.70 ^ mprj/u_wb_host/_6180_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.01                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[1][65] (net)
+                  0.06    0.00    6.70 ^ mprj/u_wb_host/_4728_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    6.81 ^ mprj/u_wb_host/_4728_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0700_ (net)
+                  0.04    0.00    6.81 ^ mprj/u_wb_host/_6180_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  6.81   data arrival time
 
                           0.00    0.00   clock wb_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -67516,38 +80226,47 @@
                   0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
      2    0.05                           mgmt_buffers/net1453 (net)
                   0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.11    5.32 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    5.32 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    5.46 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.15    5.61 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.02    0.10    5.31 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    5.31 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.30    5.61 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    5.64 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.40    6.04 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.21    6.26 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.37    6.63 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    6.63 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.84 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    6.84 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.16    7.00 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00    5.61 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.76 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
-                  0.06    0.00    5.76 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.19    0.25    6.01 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.11                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
-                  0.19    0.00    6.01 ^ mprj/u_wb_host/clkbuf_leaf_20_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.19    6.21 ^ mprj/u_wb_host/clkbuf_leaf_20_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.04                           mprj/u_wb_host/clknet_leaf_20_wbm_clk_i (net)
-                  0.06    0.00    6.21 ^ mprj/u_wb_host/_6065_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    6.31   clock uncertainty
-                         -1.14    5.16   clock reconvergence pessimism
-                         -0.03    5.14   library hold time
-                                  5.14   data required time
+                  0.07    0.00    7.00 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.15 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    7.15 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.25    0.29    7.44 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
+                  0.25    0.00    7.45 ^ mprj/u_wb_host/clkbuf_leaf_20_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.19    7.64 ^ mprj/u_wb_host/clkbuf_leaf_20_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           mprj/u_wb_host/clknet_leaf_20_wbm_clk_i (net)
+                  0.04    0.00    7.64 ^ mprj/u_wb_host/_6180_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.74   clock uncertainty
+                         -1.25    6.49   clock reconvergence pessimism
+                         -0.03    6.46   library hold time
+                                  6.46   data required time
 -----------------------------------------------------------------------------
-                                  5.14   data required time
-                                 -5.48   data arrival time
+                                  6.46   data required time
+                                 -6.81   data arrival time
 -----------------------------------------------------------------------------
                                   0.35   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_6037_
+Startpoint: mprj/u_wb_host/_6250_
             (rising edge-triggered flip-flop clocked by wb_clk)
-Endpoint: mprj/u_wb_host/_6037_
+Endpoint: mprj/u_wb_host/_6250_
           (rising edge-triggered flip-flop clocked by wb_clk)
 Path Group: wb_clk
 Path Type: min
@@ -67620,31 +80339,40 @@
                   0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
      2    0.05                           mgmt_buffers/net1453 (net)
                   0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10    4.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    4.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    4.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14    4.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.02    0.09    4.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    4.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27    4.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    4.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37    4.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20    5.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35    5.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    5.65 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15    5.80 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00    4.51 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    4.65 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
-                  0.06    0.00    4.65 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.19    0.23    4.88 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.11                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
-                  0.19    0.00    4.88 ^ mprj/u_wb_host/clkbuf_leaf_22_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.19    5.07 ^ mprj/u_wb_host/clkbuf_leaf_22_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    15    0.05                           mprj/u_wb_host/clknet_leaf_22_wbm_clk_i (net)
-                  0.07    0.00    5.07 ^ mprj/u_wb_host/_6037_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.06    0.31    5.38 ^ mprj/u_wb_host/_6037_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.01                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[3][54] (net)
-                  0.06    0.00    5.38 ^ mprj/u_wb_host/_4583_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    5.49 ^ mprj/u_wb_host/_4583_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0551_ (net)
-                  0.04    0.00    5.49 ^ mprj/u_wb_host/_6037_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  5.49   data arrival time
+                  0.07    0.00    5.80 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.94 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    5.94 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.25    0.27    6.21 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
+                  0.25    0.00    6.21 ^ mprj/u_wb_host/clkbuf_leaf_19_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.19    6.41 ^ mprj/u_wb_host/clkbuf_leaf_19_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_wb_host/clknet_leaf_19_wbm_clk_i (net)
+                  0.05    0.00    6.41 ^ mprj/u_wb_host/_6250_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.31    6.72 ^ mprj/u_wb_host/_6250_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.01                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[0][66] (net)
+                  0.06    0.00    6.72 ^ mprj/u_wb_host/_4799_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    6.83 ^ mprj/u_wb_host/_4799_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0770_ (net)
+                  0.04    0.00    6.83 ^ mprj/u_wb_host/_6250_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  6.83   data arrival time
 
                           0.00    0.00   clock wb_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -67712,38 +80440,47 @@
                   0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
      2    0.05                           mgmt_buffers/net1453 (net)
                   0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.11    5.32 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    5.32 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    5.46 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.15    5.61 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.02    0.10    5.31 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    5.31 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.30    5.61 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    5.64 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.40    6.04 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.21    6.26 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.37    6.63 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    6.63 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.84 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    6.84 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.16    7.00 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00    5.61 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.76 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
-                  0.06    0.00    5.76 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.19    0.25    6.01 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.11                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
-                  0.19    0.00    6.01 ^ mprj/u_wb_host/clkbuf_leaf_22_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.20    6.22 ^ mprj/u_wb_host/clkbuf_leaf_22_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    15    0.05                           mprj/u_wb_host/clknet_leaf_22_wbm_clk_i (net)
-                  0.07    0.00    6.22 ^ mprj/u_wb_host/_6037_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    6.32   clock uncertainty
-                         -1.15    5.17   clock reconvergence pessimism
-                         -0.03    5.15   library hold time
-                                  5.15   data required time
+                  0.07    0.00    7.00 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.15 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    7.15 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.25    0.29    7.44 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
+                  0.25    0.00    7.45 ^ mprj/u_wb_host/clkbuf_leaf_19_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.21    7.66 ^ mprj/u_wb_host/clkbuf_leaf_19_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_wb_host/clknet_leaf_19_wbm_clk_i (net)
+                  0.05    0.00    7.66 ^ mprj/u_wb_host/_6250_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.76   clock uncertainty
+                         -1.25    6.51   clock reconvergence pessimism
+                         -0.03    6.48   library hold time
+                                  6.48   data required time
 -----------------------------------------------------------------------------
-                                  5.15   data required time
-                                 -5.49   data arrival time
+                                  6.48   data required time
+                                 -6.83   data arrival time
 -----------------------------------------------------------------------------
                                   0.35   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_6051_
+Startpoint: mprj/u_wb_host/_6233_
             (rising edge-triggered flip-flop clocked by wb_clk)
-Endpoint: mprj/u_wb_host/_6051_
+Endpoint: mprj/u_wb_host/_6233_
           (rising edge-triggered flip-flop clocked by wb_clk)
 Path Group: wb_clk
 Path Type: min
@@ -67816,31 +80553,40 @@
                   0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
      2    0.05                           mgmt_buffers/net1453 (net)
                   0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10    4.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    4.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    4.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14    4.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.02    0.09    4.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    4.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27    4.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    4.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37    4.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20    5.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35    5.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    5.65 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15    5.80 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00    4.51 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    4.65 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
-                  0.06    0.00    4.65 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.25    4.90 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.13                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
-                  0.22    0.00    4.91 ^ mprj/u_wb_host/clkbuf_leaf_16_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.20    5.10 ^ mprj/u_wb_host/clkbuf_leaf_16_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    15    0.05                           mprj/u_wb_host/clknet_leaf_16_wbm_clk_i (net)
-                  0.07    0.00    5.11 ^ mprj/u_wb_host/_6051_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.05    0.31    5.41 ^ mprj/u_wb_host/_6051_/Q (sky130_fd_sc_hd__dfxtp_1)
-     2    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[3][68] (net)
-                  0.05    0.00    5.41 ^ mprj/u_wb_host/_4597_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    5.53 ^ mprj/u_wb_host/_4597_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0565_ (net)
-                  0.04    0.00    5.53 ^ mprj/u_wb_host/_6051_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  5.53   data arrival time
+                  0.07    0.00    5.80 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.94 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    5.94 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.24    0.26    6.20 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
+                  0.24    0.00    6.20 ^ mprj/u_wb_host/clkbuf_leaf_26_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.19    6.39 ^ mprj/u_wb_host/clkbuf_leaf_26_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_wb_host/clknet_leaf_26_wbm_clk_i (net)
+                  0.05    0.00    6.39 ^ mprj/u_wb_host/_6233_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.31    6.70 ^ mprj/u_wb_host/_6233_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.01                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[0][49] (net)
+                  0.06    0.00    6.70 ^ mprj/u_wb_host/_4782_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    6.81 ^ mprj/u_wb_host/_4782_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0753_ (net)
+                  0.04    0.00    6.81 ^ mprj/u_wb_host/_6233_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  6.81   data arrival time
 
                           0.00    0.00   clock wb_clk (rise edge)
                           0.00    0.00   clock source latency
@@ -67908,35 +80654,4420 @@
                   0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
      2    0.05                           mgmt_buffers/net1453 (net)
                   0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.11    5.32 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00    5.32 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00    5.46 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.15    5.61 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.02    0.10    5.31 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    5.31 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.30    5.61 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    5.64 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.40    6.04 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.21    6.26 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.37    6.63 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    6.63 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.84 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    6.84 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.16    7.00 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00    5.61 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.76 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
-                  0.06    0.00    5.76 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.27    6.03 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.13                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
-                  0.22    0.00    6.04 ^ mprj/u_wb_host/clkbuf_leaf_16_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.21    6.25 ^ mprj/u_wb_host/clkbuf_leaf_16_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    15    0.05                           mprj/u_wb_host/clknet_leaf_16_wbm_clk_i (net)
-                  0.07    0.00    6.25 ^ mprj/u_wb_host/_6051_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                          0.10    6.35   clock uncertainty
-                         -1.15    5.21   clock reconvergence pessimism
-                         -0.03    5.18   library hold time
-                                  5.18   data required time
+                  0.07    0.00    7.00 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.15 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    7.15 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.24    0.28    7.43 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
+                  0.24    0.00    7.43 ^ mprj/u_wb_host/clkbuf_leaf_26_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.20    7.64 ^ mprj/u_wb_host/clkbuf_leaf_26_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_wb_host/clknet_leaf_26_wbm_clk_i (net)
+                  0.05    0.00    7.64 ^ mprj/u_wb_host/_6233_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.74   clock uncertainty
+                         -1.25    6.49   clock reconvergence pessimism
+                         -0.03    6.46   library hold time
+                                  6.46   data required time
 -----------------------------------------------------------------------------
-                                  5.18   data required time
-                                 -5.53   data arrival time
+                                  6.46   data required time
+                                 -6.81   data arrival time
 -----------------------------------------------------------------------------
                                   0.35   slack (MET)
 
 
+Startpoint: mprj/u_wb_host/_6101_
+            (rising edge-triggered flip-flop clocked by wb_clk)
+Endpoint: mprj/u_wb_host/_6101_
+          (rising edge-triggered flip-flop clocked by wb_clk)
+Path Group: wb_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09    4.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    4.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27    4.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    4.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37    4.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20    5.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35    5.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    5.65 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15    5.80 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00    5.80 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.94 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    5.94 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.24    0.26    6.20 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
+                  0.24    0.01    6.21 ^ mprj/u_wb_host/clkbuf_leaf_24_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.41 ^ mprj/u_wb_host/clkbuf_leaf_24_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    11    0.04                           mprj/u_wb_host/clknet_leaf_24_wbm_clk_i (net)
+                  0.06    0.00    6.41 ^ mprj/u_wb_host/_6101_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.31    6.72 ^ mprj/u_wb_host/_6101_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.01                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[2][55] (net)
+                  0.06    0.00    6.72 ^ mprj/u_wb_host/_4649_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    6.83 ^ mprj/u_wb_host/_4649_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0621_ (net)
+                  0.04    0.00    6.83 ^ mprj/u_wb_host/_6101_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  6.83   data arrival time
+
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.10    5.31 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    5.31 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.30    5.61 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    5.64 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.40    6.04 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.21    6.26 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.37    6.63 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    6.63 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.84 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    6.84 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.16    7.00 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00    7.00 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.15 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    7.15 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.24    0.28    7.43 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
+                  0.24    0.01    7.45 ^ mprj/u_wb_host/clkbuf_leaf_24_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    7.66 ^ mprj/u_wb_host/clkbuf_leaf_24_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    11    0.04                           mprj/u_wb_host/clknet_leaf_24_wbm_clk_i (net)
+                  0.06    0.00    7.66 ^ mprj/u_wb_host/_6101_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.76   clock uncertainty
+                         -1.25    6.51   clock reconvergence pessimism
+                         -0.03    6.48   library hold time
+                                  6.48   data required time
+-----------------------------------------------------------------------------
+                                  6.48   data required time
+                                 -6.83   data arrival time
+-----------------------------------------------------------------------------
+                                  0.35   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6222_
+            (rising edge-triggered flip-flop clocked by wb_clk)
+Endpoint: mprj/u_wb_host/_6222_
+          (rising edge-triggered flip-flop clocked by wb_clk)
+Path Group: wb_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09    4.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    4.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27    4.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    4.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37    4.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20    5.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35    5.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    5.65 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15    5.80 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00    5.80 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.94 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    5.94 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.25    0.27    6.21 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
+                  0.25    0.00    6.21 ^ mprj/u_wb_host/clkbuf_leaf_15_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.41 ^ mprj/u_wb_host/clkbuf_leaf_15_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    13    0.04                           mprj/u_wb_host/clknet_leaf_15_wbm_clk_i (net)
+                  0.06    0.00    6.41 ^ mprj/u_wb_host/_6222_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.31    6.72 ^ mprj/u_wb_host/_6222_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.01                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[0][38] (net)
+                  0.06    0.00    6.72 ^ mprj/u_wb_host/_4771_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    6.84 ^ mprj/u_wb_host/_4771_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0742_ (net)
+                  0.04    0.00    6.84 ^ mprj/u_wb_host/_6222_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  6.84   data arrival time
+
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.10    5.31 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    5.31 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.30    5.61 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    5.64 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.40    6.04 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.21    6.26 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.37    6.63 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    6.63 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.84 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    6.84 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.16    7.00 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00    7.00 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.15 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    7.15 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.25    0.29    7.44 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
+                  0.25    0.00    7.45 ^ mprj/u_wb_host/clkbuf_leaf_15_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.22    7.66 ^ mprj/u_wb_host/clkbuf_leaf_15_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    13    0.04                           mprj/u_wb_host/clknet_leaf_15_wbm_clk_i (net)
+                  0.06    0.00    7.66 ^ mprj/u_wb_host/_6222_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.76   clock uncertainty
+                         -1.25    6.51   clock reconvergence pessimism
+                         -0.03    6.49   library hold time
+                                  6.49   data required time
+-----------------------------------------------------------------------------
+                                  6.49   data required time
+                                 -6.84   data arrival time
+-----------------------------------------------------------------------------
+                                  0.35   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6137_
+            (rising edge-triggered flip-flop clocked by wb_clk)
+Endpoint: mprj/u_wb_host/_6137_
+          (rising edge-triggered flip-flop clocked by wb_clk)
+Path Group: wb_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09    4.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    4.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27    4.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    4.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37    4.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20    5.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35    5.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    5.65 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15    5.80 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00    5.80 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.94 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    5.94 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.24    0.26    6.20 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
+                  0.24    0.00    6.20 ^ mprj/u_wb_host/clkbuf_leaf_22_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.19    6.39 ^ mprj/u_wb_host/clkbuf_leaf_22_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_wb_host/clknet_leaf_22_wbm_clk_i (net)
+                  0.05    0.00    6.39 ^ mprj/u_wb_host/_6137_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.31    6.70 ^ mprj/u_wb_host/_6137_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.01                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[1][22] (net)
+                  0.06    0.00    6.70 ^ mprj/u_wb_host/_4685_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    6.81 ^ mprj/u_wb_host/_4685_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0657_ (net)
+                  0.04    0.00    6.81 ^ mprj/u_wb_host/_6137_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  6.81   data arrival time
+
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.10    5.31 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    5.31 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.30    5.61 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    5.64 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.40    6.04 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.21    6.26 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.37    6.63 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    6.63 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.84 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    6.84 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.16    7.00 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00    7.00 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.15 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    7.15 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.24    0.28    7.43 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
+                  0.24    0.00    7.44 ^ mprj/u_wb_host/clkbuf_leaf_22_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.21    7.64 ^ mprj/u_wb_host/clkbuf_leaf_22_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_wb_host/clknet_leaf_22_wbm_clk_i (net)
+                  0.05    0.00    7.64 ^ mprj/u_wb_host/_6137_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.74   clock uncertainty
+                         -1.25    6.49   clock reconvergence pessimism
+                         -0.03    6.47   library hold time
+                                  6.47   data required time
+-----------------------------------------------------------------------------
+                                  6.47   data required time
+                                 -6.81   data arrival time
+-----------------------------------------------------------------------------
+                                  0.35   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6234_
+            (rising edge-triggered flip-flop clocked by wb_clk)
+Endpoint: mprj/u_wb_host/_6234_
+          (rising edge-triggered flip-flop clocked by wb_clk)
+Path Group: wb_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09    4.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    4.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27    4.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    4.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37    4.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20    5.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35    5.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    5.65 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15    5.80 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00    5.80 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.94 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    5.94 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.24    0.26    6.20 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
+                  0.24    0.00    6.20 ^ mprj/u_wb_host/clkbuf_leaf_22_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.19    6.39 ^ mprj/u_wb_host/clkbuf_leaf_22_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_wb_host/clknet_leaf_22_wbm_clk_i (net)
+                  0.05    0.00    6.39 ^ mprj/u_wb_host/_6234_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.30    6.70 ^ mprj/u_wb_host/_6234_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[0][50] (net)
+                  0.06    0.00    6.70 ^ mprj/u_wb_host/_4783_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    6.81 ^ mprj/u_wb_host/_4783_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0754_ (net)
+                  0.04    0.00    6.81 ^ mprj/u_wb_host/_6234_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  6.81   data arrival time
+
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.10    5.31 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    5.31 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.30    5.61 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    5.64 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.40    6.04 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.21    6.26 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.37    6.63 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    6.63 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.84 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    6.84 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.16    7.00 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00    7.00 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.15 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    7.15 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.24    0.28    7.43 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
+                  0.24    0.00    7.44 ^ mprj/u_wb_host/clkbuf_leaf_22_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.21    7.64 ^ mprj/u_wb_host/clkbuf_leaf_22_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_wb_host/clknet_leaf_22_wbm_clk_i (net)
+                  0.05    0.00    7.64 ^ mprj/u_wb_host/_6234_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.74   clock uncertainty
+                         -1.25    6.49   clock reconvergence pessimism
+                         -0.03    6.46   library hold time
+                                  6.46   data required time
+-----------------------------------------------------------------------------
+                                  6.46   data required time
+                                 -6.81   data arrival time
+-----------------------------------------------------------------------------
+                                  0.35   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6151_
+            (rising edge-triggered flip-flop clocked by wb_clk)
+Endpoint: mprj/u_wb_host/_6151_
+          (rising edge-triggered flip-flop clocked by wb_clk)
+Path Group: wb_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09    4.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    4.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27    4.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    4.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37    4.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20    5.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35    5.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    5.65 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.79 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
+                  0.06    0.00    5.79 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.92 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_3_0_wbm_clk_i (net)
+                  0.05    0.00    5.92 ^ mprj/u_wb_host/clkbuf_3_7_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.18    0.22    6.14 ^ mprj/u_wb_host/clkbuf_3_7_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.10                           mprj/u_wb_host/clknet_3_7_0_wbm_clk_i (net)
+                  0.18    0.00    6.15 ^ mprj/u_wb_host/clkbuf_leaf_36_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.18    6.33 ^ mprj/u_wb_host/clkbuf_leaf_36_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    12    0.04                           mprj/u_wb_host/clknet_leaf_36_wbm_clk_i (net)
+                  0.06    0.00    6.33 ^ mprj/u_wb_host/_6151_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.31    6.64 ^ mprj/u_wb_host/_6151_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.01                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[1][36] (net)
+                  0.06    0.00    6.64 ^ mprj/u_wb_host/_4699_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    6.75 ^ mprj/u_wb_host/_4699_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0671_ (net)
+                  0.04    0.00    6.75 ^ mprj/u_wb_host/_6151_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  6.75   data arrival time
+
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.10    5.31 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    5.31 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.30    5.61 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    5.64 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.40    6.04 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.21    6.26 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.37    6.63 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    6.63 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.84 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    6.84 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.99 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
+                  0.06    0.00    6.99 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    7.13 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_3_0_wbm_clk_i (net)
+                  0.05    0.00    7.13 ^ mprj/u_wb_host/clkbuf_3_7_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.18    0.24    7.37 ^ mprj/u_wb_host/clkbuf_3_7_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.10                           mprj/u_wb_host/clknet_3_7_0_wbm_clk_i (net)
+                  0.18    0.00    7.38 ^ mprj/u_wb_host/clkbuf_leaf_36_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    7.57 ^ mprj/u_wb_host/clkbuf_leaf_36_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    12    0.04                           mprj/u_wb_host/clknet_leaf_36_wbm_clk_i (net)
+                  0.06    0.00    7.57 ^ mprj/u_wb_host/_6151_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.67   clock uncertainty
+                         -1.24    6.43   clock reconvergence pessimism
+                         -0.03    6.40   library hold time
+                                  6.40   data required time
+-----------------------------------------------------------------------------
+                                  6.40   data required time
+                                 -6.75   data arrival time
+-----------------------------------------------------------------------------
+                                  0.35   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6054_
+            (rising edge-triggered flip-flop clocked by wb_clk)
+Endpoint: mprj/u_wb_host/_6054_
+          (rising edge-triggered flip-flop clocked by wb_clk)
+Path Group: wb_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09    4.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    4.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27    4.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    4.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37    4.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20    5.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35    5.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    5.65 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.79 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
+                  0.06    0.00    5.79 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.92 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_3_0_wbm_clk_i (net)
+                  0.05    0.00    5.92 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.15    0.20    6.13 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    12    0.08                           mprj/u_wb_host/clknet_3_6_0_wbm_clk_i (net)
+                  0.15    0.00    6.13 ^ mprj/u_wb_host/clkbuf_leaf_35_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.18    6.30 ^ mprj/u_wb_host/clkbuf_leaf_35_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    15    0.05                           mprj/u_wb_host/clknet_leaf_35_wbm_clk_i (net)
+                  0.07    0.00    6.30 ^ mprj/u_wb_host/_6054_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.31    6.61 ^ mprj/u_wb_host/_6054_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[2][8] (net)
+                  0.06    0.00    6.61 ^ mprj/u_wb_host/_4602_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    6.72 ^ mprj/u_wb_host/_4602_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0574_ (net)
+                  0.04    0.00    6.72 ^ mprj/u_wb_host/_6054_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  6.72   data arrival time
+
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.10    5.31 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    5.31 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.30    5.61 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    5.64 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.40    6.04 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.21    6.26 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.37    6.63 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    6.63 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.84 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    6.84 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.99 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
+                  0.06    0.00    6.99 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    7.13 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_3_0_wbm_clk_i (net)
+                  0.05    0.00    7.13 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.15    0.22    7.35 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    12    0.08                           mprj/u_wb_host/clknet_3_6_0_wbm_clk_i (net)
+                  0.15    0.00    7.35 ^ mprj/u_wb_host/clkbuf_leaf_35_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.19    7.54 ^ mprj/u_wb_host/clkbuf_leaf_35_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    15    0.05                           mprj/u_wb_host/clknet_leaf_35_wbm_clk_i (net)
+                  0.07    0.00    7.55 ^ mprj/u_wb_host/_6054_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.65   clock uncertainty
+                         -1.24    6.40   clock reconvergence pessimism
+                         -0.03    6.38   library hold time
+                                  6.38   data required time
+-----------------------------------------------------------------------------
+                                  6.38   data required time
+                                 -6.72   data arrival time
+-----------------------------------------------------------------------------
+                                  0.35   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6160_
+            (rising edge-triggered flip-flop clocked by wb_clk)
+Endpoint: mprj/u_wb_host/_6160_
+          (rising edge-triggered flip-flop clocked by wb_clk)
+Path Group: wb_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09    4.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    4.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27    4.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    4.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37    4.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20    5.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35    5.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    5.65 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15    5.80 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00    5.80 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.94 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    5.94 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.24    0.26    6.20 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
+                  0.24    0.00    6.20 ^ mprj/u_wb_host/clkbuf_leaf_26_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.19    6.39 ^ mprj/u_wb_host/clkbuf_leaf_26_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_wb_host/clknet_leaf_26_wbm_clk_i (net)
+                  0.05    0.00    6.39 ^ mprj/u_wb_host/_6160_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.07    0.31    6.70 ^ mprj/u_wb_host/_6160_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.01                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[1][45] (net)
+                  0.07    0.00    6.70 ^ mprj/u_wb_host/_4708_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.03    0.11    6.81 ^ mprj/u_wb_host/_4708_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0680_ (net)
+                  0.03    0.00    6.81 ^ mprj/u_wb_host/_6160_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  6.81   data arrival time
+
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.10    5.31 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    5.31 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.30    5.61 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    5.64 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.40    6.04 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.21    6.26 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.37    6.63 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    6.63 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.84 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    6.84 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.16    7.00 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00    7.00 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.15 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    7.15 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.24    0.28    7.43 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
+                  0.24    0.00    7.43 ^ mprj/u_wb_host/clkbuf_leaf_26_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.20    7.64 ^ mprj/u_wb_host/clkbuf_leaf_26_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_wb_host/clknet_leaf_26_wbm_clk_i (net)
+                  0.05    0.00    7.64 ^ mprj/u_wb_host/_6160_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.74   clock uncertainty
+                         -1.25    6.49   clock reconvergence pessimism
+                         -0.03    6.46   library hold time
+                                  6.46   data required time
+-----------------------------------------------------------------------------
+                                  6.46   data required time
+                                 -6.81   data arrival time
+-----------------------------------------------------------------------------
+                                  0.35   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6055_
+            (rising edge-triggered flip-flop clocked by wb_clk)
+Endpoint: mprj/u_wb_host/_6055_
+          (rising edge-triggered flip-flop clocked by wb_clk)
+Path Group: wb_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09    4.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    4.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27    4.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    4.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37    4.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20    5.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35    5.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    5.65 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.79 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
+                  0.06    0.00    5.79 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.92 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_3_0_wbm_clk_i (net)
+                  0.05    0.00    5.92 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.15    0.20    6.13 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    12    0.08                           mprj/u_wb_host/clknet_3_6_0_wbm_clk_i (net)
+                  0.15    0.00    6.13 ^ mprj/u_wb_host/clkbuf_leaf_35_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.18    6.30 ^ mprj/u_wb_host/clkbuf_leaf_35_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    15    0.05                           mprj/u_wb_host/clknet_leaf_35_wbm_clk_i (net)
+                  0.07    0.00    6.30 ^ mprj/u_wb_host/_6055_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.31    6.62 ^ mprj/u_wb_host/_6055_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.01                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[2][9] (net)
+                  0.06    0.00    6.62 ^ mprj/u_wb_host/_4603_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.03    0.11    6.73 ^ mprj/u_wb_host/_4603_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0575_ (net)
+                  0.03    0.00    6.73 ^ mprj/u_wb_host/_6055_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  6.73   data arrival time
+
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.10    5.31 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    5.31 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.30    5.61 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    5.64 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.40    6.04 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.21    6.26 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.37    6.63 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    6.63 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.84 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    6.84 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.99 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
+                  0.06    0.00    6.99 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    7.13 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_3_0_wbm_clk_i (net)
+                  0.05    0.00    7.13 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.15    0.22    7.35 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    12    0.08                           mprj/u_wb_host/clknet_3_6_0_wbm_clk_i (net)
+                  0.15    0.00    7.35 ^ mprj/u_wb_host/clkbuf_leaf_35_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.19    7.54 ^ mprj/u_wb_host/clkbuf_leaf_35_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    15    0.05                           mprj/u_wb_host/clknet_leaf_35_wbm_clk_i (net)
+                  0.07    0.00    7.55 ^ mprj/u_wb_host/_6055_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.65   clock uncertainty
+                         -1.24    6.40   clock reconvergence pessimism
+                         -0.03    6.38   library hold time
+                                  6.38   data required time
+-----------------------------------------------------------------------------
+                                  6.38   data required time
+                                 -6.73   data arrival time
+-----------------------------------------------------------------------------
+                                  0.35   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5990_
+            (rising edge-triggered flip-flop clocked by wb_clk)
+Endpoint: mprj/u_wb_host/_5990_
+          (rising edge-triggered flip-flop clocked by wb_clk)
+Path Group: wb_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09    4.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    4.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27    4.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    4.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37    4.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20    5.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35    5.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    5.65 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15    5.80 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00    5.80 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.94 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    5.94 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.24    0.26    6.20 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
+                  0.24    0.01    6.21 ^ mprj/u_wb_host/clkbuf_leaf_23_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.41 ^ mprj/u_wb_host/clkbuf_leaf_23_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    12    0.04                           mprj/u_wb_host/clknet_leaf_23_wbm_clk_i (net)
+                  0.06    0.00    6.41 ^ mprj/u_wb_host/_5990_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.31    6.72 ^ mprj/u_wb_host/_5990_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.01                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[3][13] (net)
+                  0.06    0.00    6.72 ^ mprj/u_wb_host/_4521_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    6.83 ^ mprj/u_wb_host/_4521_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0510_ (net)
+                  0.04    0.00    6.83 ^ mprj/u_wb_host/_5990_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  6.83   data arrival time
+
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.10    5.31 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    5.31 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.30    5.61 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    5.64 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.40    6.04 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.21    6.26 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.37    6.63 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    6.63 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.84 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    6.84 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.16    7.00 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00    7.00 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.15 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    7.15 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.24    0.28    7.43 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
+                  0.24    0.01    7.45 ^ mprj/u_wb_host/clkbuf_leaf_23_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    7.66 ^ mprj/u_wb_host/clkbuf_leaf_23_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    12    0.04                           mprj/u_wb_host/clknet_leaf_23_wbm_clk_i (net)
+                  0.06    0.00    7.66 ^ mprj/u_wb_host/_5990_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.76   clock uncertainty
+                         -1.25    6.51   clock reconvergence pessimism
+                         -0.03    6.48   library hold time
+                                  6.48   data required time
+-----------------------------------------------------------------------------
+                                  6.48   data required time
+                                 -6.83   data arrival time
+-----------------------------------------------------------------------------
+                                  0.35   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6187_
+            (rising edge-triggered flip-flop clocked by wb_clk)
+Endpoint: mprj/u_wb_host/_6187_
+          (rising edge-triggered flip-flop clocked by wb_clk)
+Path Group: wb_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09    4.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    4.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27    4.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    4.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37    4.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20    5.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35    5.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    5.65 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15    5.80 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00    5.80 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.94 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    5.94 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.24    0.26    6.20 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
+                  0.24    0.00    6.20 ^ mprj/u_wb_host/clkbuf_leaf_26_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.19    6.39 ^ mprj/u_wb_host/clkbuf_leaf_26_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_wb_host/clknet_leaf_26_wbm_clk_i (net)
+                  0.05    0.00    6.39 ^ mprj/u_wb_host/_6187_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.31    6.70 ^ mprj/u_wb_host/_6187_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[0][3] (net)
+                  0.06    0.00    6.70 ^ mprj/u_wb_host/_4736_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    6.81 ^ mprj/u_wb_host/_4736_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0707_ (net)
+                  0.04    0.00    6.81 ^ mprj/u_wb_host/_6187_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  6.81   data arrival time
+
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.10    5.31 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    5.31 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.30    5.61 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    5.64 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.40    6.04 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.21    6.26 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.37    6.63 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    6.63 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.84 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    6.84 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.16    7.00 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00    7.00 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.15 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    7.15 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.24    0.28    7.43 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
+                  0.24    0.00    7.43 ^ mprj/u_wb_host/clkbuf_leaf_26_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.20    7.64 ^ mprj/u_wb_host/clkbuf_leaf_26_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_wb_host/clknet_leaf_26_wbm_clk_i (net)
+                  0.05    0.00    7.64 ^ mprj/u_wb_host/_6187_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.74   clock uncertainty
+                         -1.25    6.49   clock reconvergence pessimism
+                         -0.03    6.46   library hold time
+                                  6.46   data required time
+-----------------------------------------------------------------------------
+                                  6.46   data required time
+                                 -6.81   data arrival time
+-----------------------------------------------------------------------------
+                                  0.35   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6130_
+            (rising edge-triggered flip-flop clocked by wb_clk)
+Endpoint: mprj/u_wb_host/_6130_
+          (rising edge-triggered flip-flop clocked by wb_clk)
+Path Group: wb_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09    4.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    4.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27    4.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    4.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37    4.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20    5.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35    5.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    5.65 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15    5.80 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00    5.80 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.94 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    5.94 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.24    0.26    6.20 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
+                  0.24    0.00    6.20 ^ mprj/u_wb_host/clkbuf_leaf_25_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    6.39 ^ mprj/u_wb_host/clkbuf_leaf_25_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_wb_host/clknet_leaf_25_wbm_clk_i (net)
+                  0.06    0.00    6.39 ^ mprj/u_wb_host/_6130_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.07    0.31    6.70 ^ mprj/u_wb_host/_6130_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.01                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[1][15] (net)
+                  0.07    0.00    6.70 ^ mprj/u_wb_host/_4678_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.03    0.11    6.82 ^ mprj/u_wb_host/_4678_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0650_ (net)
+                  0.03    0.00    6.82 ^ mprj/u_wb_host/_6130_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  6.82   data arrival time
+
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.10    5.31 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    5.31 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.30    5.61 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    5.64 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.40    6.04 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.21    6.26 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.37    6.63 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    6.63 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.84 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    6.84 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.16    7.00 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00    7.00 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.15 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    7.15 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.24    0.28    7.43 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
+                  0.24    0.00    7.44 ^ mprj/u_wb_host/clkbuf_leaf_25_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    7.64 ^ mprj/u_wb_host/clkbuf_leaf_25_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_wb_host/clknet_leaf_25_wbm_clk_i (net)
+                  0.06    0.00    7.64 ^ mprj/u_wb_host/_6130_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.74   clock uncertainty
+                         -1.25    6.49   clock reconvergence pessimism
+                         -0.03    6.47   library hold time
+                                  6.47   data required time
+-----------------------------------------------------------------------------
+                                  6.47   data required time
+                                 -6.82   data arrival time
+-----------------------------------------------------------------------------
+                                  0.35   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6035_
+            (rising edge-triggered flip-flop clocked by wb_clk)
+Endpoint: mprj/u_wb_host/_6035_
+          (rising edge-triggered flip-flop clocked by wb_clk)
+Path Group: wb_clk
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  1.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33    1.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    1.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    1.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    1.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    1.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    1.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    1.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    1.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15    1.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    1.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    1.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    1.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10    2.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14    2.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    2.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    2.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12    2.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    2.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    2.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    2.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15    2.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    2.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20    2.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    2.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18    3.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    3.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    3.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    3.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13    3.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    3.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20    3.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    3.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18    3.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    3.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    3.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    3.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16    4.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    4.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09    4.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    4.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27    4.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    4.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37    4.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20    5.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35    5.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    5.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    5.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    5.65 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15    5.80 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00    5.80 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    5.94 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    5.94 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.25    0.27    6.21 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
+                  0.25    0.00    6.21 ^ mprj/u_wb_host/clkbuf_leaf_21_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.41 ^ mprj/u_wb_host/clkbuf_leaf_21_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    12    0.04                           mprj/u_wb_host/clknet_leaf_21_wbm_clk_i (net)
+                  0.06    0.00    6.41 ^ mprj/u_wb_host/_6035_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.31    6.72 ^ mprj/u_wb_host/_6035_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.01                           mprj/u_wb_host/u_async_wb.u_cmd_if.mem[3][58] (net)
+                  0.06    0.00    6.72 ^ mprj/u_wb_host/_4582_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    6.84 ^ mprj/u_wb_host/_4582_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0555_ (net)
+                  0.04    0.00    6.84 ^ mprj/u_wb_host/_6035_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  6.84   data arrival time
+
+                          0.00    0.00   clock wb_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.16    3.71 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01    3.72 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.22    3.94 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02    3.96 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.19    4.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00    4.15 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11    4.27 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00    4.27 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.14    4.40 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00    4.40 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.22    4.62 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00    4.63 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.19    4.82 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01    4.83 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.19    5.02 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01    5.03 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.17    5.20 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01    5.21 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.10    5.31 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00    5.31 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.30    5.61 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03    5.64 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.40    6.04 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.21    6.26 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.37    6.63 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01    6.63 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20    6.84 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00    6.84 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.16    7.00 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00    7.00 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.15 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00    7.15 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.25    0.29    7.44 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
+                  0.25    0.00    7.45 ^ mprj/u_wb_host/clkbuf_leaf_21_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.22    7.66 ^ mprj/u_wb_host/clkbuf_leaf_21_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    12    0.04                           mprj/u_wb_host/clknet_leaf_21_wbm_clk_i (net)
+                  0.06    0.00    7.66 ^ mprj/u_wb_host/_6035_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                          0.10    7.76   clock uncertainty
+                         -1.25    6.51   clock reconvergence pessimism
+                         -0.03    6.49   library hold time
+                                  6.49   data required time
+-----------------------------------------------------------------------------
+                                  6.49   data required time
+                                 -6.84   data arrival time
+-----------------------------------------------------------------------------
+                                  0.35   slack (MET)
+
+
+Startpoint: mprj/u_mbist1/_4196_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist1/_4156_
+          (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Path Group: wbs_clk_i
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.22    0.51 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.20    0.74 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02    0.76 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11    0.87 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00    0.87 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.16    1.02 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00    1.03 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    1.17 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00    1.17 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    1.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.39 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12    1.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00    1.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00    1.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13    1.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.87 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00    1.87 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23    2.10 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00    2.10 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23    2.33 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00    2.34 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19    2.53 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00    2.53 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16    2.69 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00    2.70 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16    2.86 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00    2.86 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    2.99 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_0_0_wb_clk_i (net)
+                  0.05    0.00    2.99 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.12 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_0_1_wb_clk_i (net)
+                  0.05    0.00    3.12 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    3.23 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_0_2_wb_clk_i (net)
+                  0.03    0.00    3.23 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.17    3.41 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist1/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.41 ^ mprj/u_mbist1/clkbuf_leaf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.21    3.61 ^ mprj/u_mbist1/clkbuf_leaf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.10                           mprj/u_mbist1/clknet_leaf_0_wb_clk_i (net)
+                  0.12    0.00    3.62 ^ mprj/u_mbist1/_4196_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.06    0.35    3.96 ^ mprj/u_mbist1/_4196_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mbist1/u_mbist.bist_error_addr[1][4] (net)
+                  0.06    0.00    3.96 ^ mprj/u_mbist1/hold79/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.06    0.53    4.49 ^ mprj/u_mbist1/hold79/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.00                           mprj/u_mbist1/net2193 (net)
+                  0.06    0.00    4.49 ^ mprj/u_mbist1/fanout1942/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.21    0.21    4.70 ^ mprj/u_mbist1/fanout1942/X (sky130_fd_sc_hd__clkbuf_2)
+     8    0.04                           mprj/u_mbist1/net1942 (net)
+                  0.21    0.00    4.71 ^ mprj/u_mbist1/_3111_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.15    4.86 ^ mprj/u_mbist1/_3111_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/_0146_ (net)
+                  0.04    0.00    4.86 ^ mprj/u_mbist1/_4156_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  4.86   data arrival time
+
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.31    0.31 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00    0.32 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.23    0.55 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03    0.58 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.21    0.80 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02    0.81 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.12    0.94 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00    0.94 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.17    1.10 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00    1.11 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.16    1.26 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00    1.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.50 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.50 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.02 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00    2.02 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.26 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00    2.27 ^ mprj/u_mbist1/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.17    0.25    2.52 ^ mprj/u_mbist1/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2103 (net)
+                  0.17    0.00    2.52 ^ mprj/u_mbist1/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.21    2.73 ^ mprj/u_mbist1/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2102 (net)
+                  0.11    0.00    2.73 ^ mprj/u_mbist1/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.18    2.91 ^ mprj/u_mbist1/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2101 (net)
+                  0.11    0.01    2.92 ^ mprj/u_mbist1/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.07 ^ mprj/u_mbist1/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist1/clknet_0_wb_clk2_i (net)
+                  0.04    0.00    3.07 ^ mprj/u_mbist1/clkbuf_1_1__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.09    0.17    3.24 ^ mprj/u_mbist1/clkbuf_1_1__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.07                           mprj/u_mbist1/clknet_1_1__leaf_wb_clk2_i (net)
+                  0.09    0.00    3.24 ^ mprj/u_mbist1/wire15/A (sky130_fd_sc_hd__buf_2)
+                  0.28    0.30    3.54 ^ mprj/u_mbist1/wire15/X (sky130_fd_sc_hd__buf_2)
+     4    0.06                           mprj/u_mbist1/net2108 (net)
+                  0.28    0.00    3.54 ^ mprj/u_mbist1/u_mbist.mem_no[1].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
+                  0.06    0.25    3.79 ^ mprj/u_mbist1/u_mbist.mem_no[1].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     2    0.02                           mprj/u_mbist1/u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
+                  0.06    0.00    3.79 ^ mprj/u_mbist1/wire12/A (sky130_fd_sc_hd__buf_2)
+                  0.29    0.30    4.09 ^ mprj/u_mbist1/wire12/X (sky130_fd_sc_hd__buf_2)
+     2    0.06                           mprj/u_mbist1/net2105 (net)
+                  0.29    0.00    4.09 ^ mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[1].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    4.35 ^ mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[1].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.08                           mprj/u_mbist1/clknet_0_u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
+                  0.10    0.00    4.35 ^ mprj/u_mbist1/clkbuf_3_3__f_u_mbist.mem_no[1].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    4.50 ^ mprj/u_mbist1/clkbuf_3_3__f_u_mbist.mem_no[1].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     3    0.01                           mprj/u_mbist1/clknet_3_3__leaf_u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
+                  0.04    0.00    4.50 ^ mprj/u_mbist1/wire18/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.21    0.26    4.75 ^ mprj/u_mbist1/wire18/X (sky130_fd_sc_hd__clkbuf_4)
+    16    0.07                           mprj/u_mbist1/net2111 (net)
+                  0.21    0.00    4.75 ^ mprj/u_mbist1/_4156_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    4.85   clock uncertainty
+                         -0.16    4.69   clock reconvergence pessimism
+                         -0.01    4.68   library hold time
+                                  4.68   data required time
+-----------------------------------------------------------------------------
+                                  4.68   data required time
+                                 -4.86   data arrival time
+-----------------------------------------------------------------------------
+                                  0.18   slack (MET)
+
+
+Startpoint: mprj/u_mbist0/_4196_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist0/_4156_
+          (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Path Group: wbs_clk_i
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.41    0.41 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00    0.41 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.19    0.60 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00    0.60 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.21    0.81 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00    0.81 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    0.97 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00    0.97 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    1.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00    1.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.44 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00    1.44 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12    1.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00    1.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00    1.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.93 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00    1.93 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23    2.16 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00    2.16 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23    2.39 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00    2.40 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19    2.59 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00    2.59 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16    2.75 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00    2.75 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16    2.92 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00    2.92 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.05 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_0_0_wb_clk_i (net)
+                  0.05    0.00    3.05 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.18 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_0_1_wb_clk_i (net)
+                  0.05    0.00    3.18 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    3.29 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_0_2_wb_clk_i (net)
+                  0.03    0.00    3.29 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.17    3.46 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist0/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.47 ^ mprj/u_mbist0/clkbuf_leaf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.21    3.67 ^ mprj/u_mbist0/clkbuf_leaf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.10                           mprj/u_mbist0/clknet_leaf_0_wb_clk_i (net)
+                  0.12    0.00    3.68 ^ mprj/u_mbist0/_4196_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.06    0.35    4.02 ^ mprj/u_mbist0/_4196_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mbist0/u_mbist.bist_error_addr[1][4] (net)
+                  0.06    0.00    4.02 ^ mprj/u_mbist0/hold79/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.06    0.53    4.55 ^ mprj/u_mbist0/hold79/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.00                           mprj/u_mbist0/net2193 (net)
+                  0.06    0.00    4.55 ^ mprj/u_mbist0/fanout1942/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.21    0.21    4.76 ^ mprj/u_mbist0/fanout1942/X (sky130_fd_sc_hd__clkbuf_2)
+     8    0.04                           mprj/u_mbist0/net1942 (net)
+                  0.21    0.00    4.76 ^ mprj/u_mbist0/_3111_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.15    4.92 ^ mprj/u_mbist0/_3111_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/_0146_ (net)
+                  0.04    0.00    4.92 ^ mprj/u_mbist0/_4156_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  4.92   data arrival time
+
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.44    0.44 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00    0.45 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.20    0.65 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00    0.65 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.23    0.87 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00    0.88 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.17    1.04 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00    1.04 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.12    1.55 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00    1.55 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.08 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00    2.08 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.33 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00    2.33 ^ mprj/u_mbist0/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.17    0.25    2.58 ^ mprj/u_mbist0/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2103 (net)
+                  0.17    0.00    2.59 ^ mprj/u_mbist0/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.21    2.79 ^ mprj/u_mbist0/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2102 (net)
+                  0.11    0.00    2.80 ^ mprj/u_mbist0/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.18    2.97 ^ mprj/u_mbist0/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2101 (net)
+                  0.11    0.01    2.98 ^ mprj/u_mbist0/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.13 ^ mprj/u_mbist0/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist0/clknet_0_wb_clk2_i (net)
+                  0.04    0.00    3.14 ^ mprj/u_mbist0/clkbuf_1_1__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.09    0.17    3.30 ^ mprj/u_mbist0/clkbuf_1_1__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.07                           mprj/u_mbist0/clknet_1_1__leaf_wb_clk2_i (net)
+                  0.09    0.00    3.30 ^ mprj/u_mbist0/wire15/A (sky130_fd_sc_hd__buf_2)
+                  0.28    0.30    3.60 ^ mprj/u_mbist0/wire15/X (sky130_fd_sc_hd__buf_2)
+     4    0.06                           mprj/u_mbist0/net2108 (net)
+                  0.28    0.00    3.60 ^ mprj/u_mbist0/u_mbist.mem_no[1].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
+                  0.06    0.25    3.85 ^ mprj/u_mbist0/u_mbist.mem_no[1].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     2    0.02                           mprj/u_mbist0/u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
+                  0.06    0.00    3.86 ^ mprj/u_mbist0/wire12/A (sky130_fd_sc_hd__buf_2)
+                  0.29    0.30    4.15 ^ mprj/u_mbist0/wire12/X (sky130_fd_sc_hd__buf_2)
+     2    0.06                           mprj/u_mbist0/net2105 (net)
+                  0.29    0.00    4.16 ^ mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[1].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    4.41 ^ mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[1].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.08                           mprj/u_mbist0/clknet_0_u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
+                  0.10    0.00    4.42 ^ mprj/u_mbist0/clkbuf_3_3__f_u_mbist.mem_no[1].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    4.56 ^ mprj/u_mbist0/clkbuf_3_3__f_u_mbist.mem_no[1].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     3    0.01                           mprj/u_mbist0/clknet_3_3__leaf_u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
+                  0.04    0.00    4.56 ^ mprj/u_mbist0/wire18/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.21    0.26    4.81 ^ mprj/u_mbist0/wire18/X (sky130_fd_sc_hd__clkbuf_4)
+    16    0.07                           mprj/u_mbist0/net2111 (net)
+                  0.21    0.00    4.82 ^ mprj/u_mbist0/_4156_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    4.92   clock uncertainty
+                         -0.17    4.75   clock reconvergence pessimism
+                         -0.01    4.74   library hold time
+                                  4.74   data required time
+-----------------------------------------------------------------------------
+                                  4.74   data required time
+                                 -4.92   data arrival time
+-----------------------------------------------------------------------------
+                                  0.18   slack (MET)
+
+
+Startpoint: mprj/u_mbist1/_4200_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist1/_4160_
+          (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Path Group: wbs_clk_i
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.22    0.51 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.20    0.74 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02    0.76 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11    0.87 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00    0.87 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.16    1.02 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00    1.03 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    1.17 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00    1.17 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    1.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.39 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12    1.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00    1.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00    1.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13    1.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.87 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00    1.87 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23    2.10 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00    2.10 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23    2.33 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00    2.34 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19    2.53 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00    2.53 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16    2.69 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00    2.70 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16    2.86 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00    2.86 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    2.99 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_0_0_wb_clk_i (net)
+                  0.05    0.00    2.99 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.12 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_0_1_wb_clk_i (net)
+                  0.05    0.00    3.12 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    3.23 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_0_2_wb_clk_i (net)
+                  0.03    0.00    3.23 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.17    3.41 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist1/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.41 ^ mprj/u_mbist1/clkbuf_leaf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.21    3.61 ^ mprj/u_mbist1/clkbuf_leaf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.10                           mprj/u_mbist1/clknet_leaf_0_wb_clk_i (net)
+                  0.12    0.00    3.62 ^ mprj/u_mbist1/_4200_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.05    0.33    3.95 ^ mprj/u_mbist1/_4200_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.00                           mprj/u_mbist1/u_mbist.bist_error_addr[1][8] (net)
+                  0.05    0.00    3.95 ^ mprj/u_mbist1/hold84/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.09    0.55    4.50 ^ mprj/u_mbist1/hold84/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     2    0.01                           mprj/u_mbist1/net2198 (net)
+                  0.09    0.00    4.50 ^ mprj/u_mbist1/fanout1938/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.21    0.23    4.72 ^ mprj/u_mbist1/fanout1938/X (sky130_fd_sc_hd__clkbuf_2)
+     8    0.04                           mprj/u_mbist1/net1938 (net)
+                  0.21    0.00    4.73 ^ mprj/u_mbist1/_3115_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.15    4.88 ^ mprj/u_mbist1/_3115_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/_0150_ (net)
+                  0.04    0.00    4.88 ^ mprj/u_mbist1/_4160_/D (sky130_fd_sc_hd__dfrtp_2)
+                                  4.88   data arrival time
+
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.31    0.31 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00    0.32 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.23    0.55 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03    0.58 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.21    0.80 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02    0.81 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.12    0.94 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00    0.94 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.17    1.10 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00    1.11 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.16    1.26 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00    1.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.50 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.50 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.02 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00    2.02 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.26 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00    2.27 ^ mprj/u_mbist1/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.17    0.25    2.52 ^ mprj/u_mbist1/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2103 (net)
+                  0.17    0.00    2.52 ^ mprj/u_mbist1/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.21    2.73 ^ mprj/u_mbist1/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2102 (net)
+                  0.11    0.00    2.73 ^ mprj/u_mbist1/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.18    2.91 ^ mprj/u_mbist1/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2101 (net)
+                  0.11    0.01    2.92 ^ mprj/u_mbist1/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.07 ^ mprj/u_mbist1/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist1/clknet_0_wb_clk2_i (net)
+                  0.04    0.00    3.07 ^ mprj/u_mbist1/clkbuf_1_1__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.09    0.17    3.24 ^ mprj/u_mbist1/clkbuf_1_1__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.07                           mprj/u_mbist1/clknet_1_1__leaf_wb_clk2_i (net)
+                  0.09    0.00    3.24 ^ mprj/u_mbist1/wire15/A (sky130_fd_sc_hd__buf_2)
+                  0.28    0.30    3.54 ^ mprj/u_mbist1/wire15/X (sky130_fd_sc_hd__buf_2)
+     4    0.06                           mprj/u_mbist1/net2108 (net)
+                  0.28    0.00    3.54 ^ mprj/u_mbist1/u_mbist.mem_no[1].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
+                  0.06    0.25    3.79 ^ mprj/u_mbist1/u_mbist.mem_no[1].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     2    0.02                           mprj/u_mbist1/u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
+                  0.06    0.00    3.79 ^ mprj/u_mbist1/wire12/A (sky130_fd_sc_hd__buf_2)
+                  0.29    0.30    4.09 ^ mprj/u_mbist1/wire12/X (sky130_fd_sc_hd__buf_2)
+     2    0.06                           mprj/u_mbist1/net2105 (net)
+                  0.29    0.00    4.09 ^ mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[1].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    4.35 ^ mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[1].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.08                           mprj/u_mbist1/clknet_0_u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
+                  0.10    0.00    4.35 ^ mprj/u_mbist1/clkbuf_3_1__f_u_mbist.mem_no[1].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16    4.51 ^ mprj/u_mbist1/clkbuf_3_1__f_u_mbist.mem_no[1].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.03                           mprj/u_mbist1/clknet_3_1__leaf_u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
+                  0.05    0.00    4.51 ^ mprj/u_mbist1/wire17/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.19    0.25    4.76 ^ mprj/u_mbist1/wire17/X (sky130_fd_sc_hd__clkbuf_4)
+    16    0.06                           mprj/u_mbist1/net2110 (net)
+                  0.19    0.01    4.77 ^ mprj/u_mbist1/_4160_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                          0.10    4.87   clock uncertainty
+                         -0.16    4.70   clock reconvergence pessimism
+                         -0.01    4.69   library hold time
+                                  4.69   data required time
+-----------------------------------------------------------------------------
+                                  4.69   data required time
+                                 -4.88   data arrival time
+-----------------------------------------------------------------------------
+                                  0.19   slack (MET)
+
+
+Startpoint: mprj/u_mbist0/_4200_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist0/_4160_
+          (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Path Group: wbs_clk_i
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.41    0.41 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00    0.41 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.19    0.60 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00    0.60 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.21    0.81 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00    0.81 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    0.97 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00    0.97 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    1.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00    1.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.44 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00    1.44 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12    1.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00    1.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00    1.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.93 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00    1.93 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23    2.16 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00    2.16 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23    2.39 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00    2.40 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19    2.59 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00    2.59 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16    2.75 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00    2.75 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16    2.92 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00    2.92 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.05 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_0_0_wb_clk_i (net)
+                  0.05    0.00    3.05 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.18 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_0_1_wb_clk_i (net)
+                  0.05    0.00    3.18 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    3.29 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_0_2_wb_clk_i (net)
+                  0.03    0.00    3.29 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.17    3.46 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist0/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.47 ^ mprj/u_mbist0/clkbuf_leaf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.21    3.67 ^ mprj/u_mbist0/clkbuf_leaf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.10                           mprj/u_mbist0/clknet_leaf_0_wb_clk_i (net)
+                  0.12    0.00    3.67 ^ mprj/u_mbist0/_4200_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.05    0.33    4.01 ^ mprj/u_mbist0/_4200_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.00                           mprj/u_mbist0/u_mbist.bist_error_addr[1][8] (net)
+                  0.05    0.00    4.01 ^ mprj/u_mbist0/hold84/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.09    0.55    4.55 ^ mprj/u_mbist0/hold84/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     2    0.01                           mprj/u_mbist0/net2198 (net)
+                  0.09    0.00    4.55 ^ mprj/u_mbist0/fanout1938/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.21    0.23    4.78 ^ mprj/u_mbist0/fanout1938/X (sky130_fd_sc_hd__clkbuf_2)
+     8    0.04                           mprj/u_mbist0/net1938 (net)
+                  0.21    0.00    4.78 ^ mprj/u_mbist0/_3115_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.15    4.94 ^ mprj/u_mbist0/_3115_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/_0150_ (net)
+                  0.04    0.00    4.94 ^ mprj/u_mbist0/_4160_/D (sky130_fd_sc_hd__dfrtp_2)
+                                  4.94   data arrival time
+
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.44    0.44 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00    0.45 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.20    0.65 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00    0.65 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.23    0.87 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00    0.88 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.17    1.04 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00    1.04 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.12    1.55 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00    1.55 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.08 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00    2.08 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.33 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00    2.33 ^ mprj/u_mbist0/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.17    0.25    2.58 ^ mprj/u_mbist0/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2103 (net)
+                  0.17    0.00    2.59 ^ mprj/u_mbist0/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.21    2.79 ^ mprj/u_mbist0/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2102 (net)
+                  0.11    0.00    2.80 ^ mprj/u_mbist0/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.18    2.97 ^ mprj/u_mbist0/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2101 (net)
+                  0.11    0.01    2.98 ^ mprj/u_mbist0/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.13 ^ mprj/u_mbist0/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist0/clknet_0_wb_clk2_i (net)
+                  0.04    0.00    3.14 ^ mprj/u_mbist0/clkbuf_1_1__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.09    0.17    3.30 ^ mprj/u_mbist0/clkbuf_1_1__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.07                           mprj/u_mbist0/clknet_1_1__leaf_wb_clk2_i (net)
+                  0.09    0.00    3.30 ^ mprj/u_mbist0/wire15/A (sky130_fd_sc_hd__buf_2)
+                  0.28    0.30    3.60 ^ mprj/u_mbist0/wire15/X (sky130_fd_sc_hd__buf_2)
+     4    0.06                           mprj/u_mbist0/net2108 (net)
+                  0.28    0.00    3.60 ^ mprj/u_mbist0/u_mbist.mem_no[1].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
+                  0.06    0.25    3.85 ^ mprj/u_mbist0/u_mbist.mem_no[1].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     2    0.02                           mprj/u_mbist0/u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
+                  0.06    0.00    3.86 ^ mprj/u_mbist0/wire12/A (sky130_fd_sc_hd__buf_2)
+                  0.29    0.30    4.15 ^ mprj/u_mbist0/wire12/X (sky130_fd_sc_hd__buf_2)
+     2    0.06                           mprj/u_mbist0/net2105 (net)
+                  0.29    0.00    4.16 ^ mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[1].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    4.41 ^ mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[1].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.08                           mprj/u_mbist0/clknet_0_u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
+                  0.10    0.00    4.42 ^ mprj/u_mbist0/clkbuf_3_1__f_u_mbist.mem_no[1].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16    4.57 ^ mprj/u_mbist0/clkbuf_3_1__f_u_mbist.mem_no[1].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.03                           mprj/u_mbist0/clknet_3_1__leaf_u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
+                  0.05    0.00    4.58 ^ mprj/u_mbist0/wire17/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.19    0.25    4.82 ^ mprj/u_mbist0/wire17/X (sky130_fd_sc_hd__clkbuf_4)
+    16    0.06                           mprj/u_mbist0/net2110 (net)
+                  0.19    0.01    4.83 ^ mprj/u_mbist0/_4160_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                          0.10    4.93   clock uncertainty
+                         -0.17    4.76   clock reconvergence pessimism
+                         -0.01    4.75   library hold time
+                                  4.75   data required time
+-----------------------------------------------------------------------------
+                                  4.75   data required time
+                                 -4.94   data arrival time
+-----------------------------------------------------------------------------
+                                  0.19   slack (MET)
+
+
+Startpoint: mprj/u_mbist1/_4199_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist1/_4177_
+          (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Path Group: wbs_clk_i
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.22    0.51 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.20    0.74 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02    0.76 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11    0.87 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00    0.87 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.16    1.02 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00    1.03 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    1.17 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00    1.17 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    1.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.39 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12    1.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00    1.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00    1.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13    1.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.87 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00    1.87 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23    2.10 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00    2.10 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23    2.33 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00    2.34 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19    2.53 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00    2.53 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16    2.69 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00    2.70 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16    2.86 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00    2.86 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    2.99 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_0_0_wb_clk_i (net)
+                  0.05    0.00    2.99 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.12 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_0_1_wb_clk_i (net)
+                  0.05    0.00    3.12 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    3.23 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_0_2_wb_clk_i (net)
+                  0.03    0.00    3.23 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.17    3.41 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist1/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.41 ^ mprj/u_mbist1/wire4/A (sky130_fd_sc_hd__buf_4)
+                  0.26    0.28    3.69 ^ mprj/u_mbist1/wire4/X (sky130_fd_sc_hd__buf_4)
+    10    0.09                           mprj/u_mbist1/net2097 (net)
+                  0.26    0.01    3.70 ^ mprj/u_mbist1/clkbuf_leaf_23_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.24    3.93 ^ mprj/u_mbist1/clkbuf_leaf_23_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    24    0.09                           mprj/u_mbist1/clknet_leaf_23_wb_clk_i (net)
+                  0.10    0.00    3.94 ^ mprj/u_mbist1/_4199_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.05    0.33    4.26 ^ mprj/u_mbist1/_4199_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.00                           mprj/u_mbist1/u_mbist.bist_error_addr[1][7] (net)
+                  0.05    0.00    4.26 ^ mprj/u_mbist1/hold112/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.08    0.54    4.80 ^ mprj/u_mbist1/hold112/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     2    0.01                           mprj/u_mbist1/net2226 (net)
+                  0.08    0.00    4.80 ^ mprj/u_mbist1/_3133_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    4.92 ^ mprj/u_mbist1/_3133_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/_0167_ (net)
+                  0.04    0.00    4.92 ^ mprj/u_mbist1/_4177_/D (sky130_fd_sc_hd__dfrtp_4)
+                                  4.92   data arrival time
+
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.31    0.31 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00    0.32 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.23    0.55 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03    0.58 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.21    0.80 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02    0.81 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.12    0.94 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00    0.94 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.17    1.10 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00    1.11 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.16    1.26 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00    1.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.50 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.50 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.02 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00    2.02 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.26 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00    2.27 ^ mprj/u_mbist1/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.17    0.25    2.52 ^ mprj/u_mbist1/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2103 (net)
+                  0.17    0.00    2.52 ^ mprj/u_mbist1/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.21    2.73 ^ mprj/u_mbist1/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2102 (net)
+                  0.11    0.00    2.73 ^ mprj/u_mbist1/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.18    2.91 ^ mprj/u_mbist1/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2101 (net)
+                  0.11    0.01    2.92 ^ mprj/u_mbist1/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.07 ^ mprj/u_mbist1/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist1/clknet_0_wb_clk2_i (net)
+                  0.04    0.00    3.07 ^ mprj/u_mbist1/clkbuf_1_1__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.09    0.17    3.24 ^ mprj/u_mbist1/clkbuf_1_1__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.07                           mprj/u_mbist1/clknet_1_1__leaf_wb_clk2_i (net)
+                  0.09    0.00    3.24 ^ mprj/u_mbist1/wire15/A (sky130_fd_sc_hd__buf_2)
+                  0.28    0.30    3.54 ^ mprj/u_mbist1/wire15/X (sky130_fd_sc_hd__buf_2)
+     4    0.06                           mprj/u_mbist1/net2108 (net)
+                  0.28    0.00    3.54 ^ mprj/u_mbist1/u_mbist.mem_no[1].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
+                  0.06    0.25    3.79 ^ mprj/u_mbist1/u_mbist.mem_no[1].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     2    0.02                           mprj/u_mbist1/u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
+                  0.06    0.00    3.79 ^ mprj/u_mbist1/wire12/A (sky130_fd_sc_hd__buf_2)
+                  0.29    0.30    4.09 ^ mprj/u_mbist1/wire12/X (sky130_fd_sc_hd__buf_2)
+     2    0.06                           mprj/u_mbist1/net2105 (net)
+                  0.29    0.00    4.09 ^ mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[1].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    4.35 ^ mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[1].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.08                           mprj/u_mbist1/clknet_0_u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
+                  0.10    0.00    4.35 ^ mprj/u_mbist1/clkbuf_3_7__f_u_mbist.mem_no[1].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.13    4.49 ^ mprj/u_mbist1/clkbuf_3_7__f_u_mbist.mem_no[1].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_mbist1/clknet_3_7__leaf_u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
+                  0.03    0.00    4.49 ^ mprj/u_mbist1/wire21/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.25    0.28    4.77 ^ mprj/u_mbist1/wire21/X (sky130_fd_sc_hd__clkbuf_4)
+    22    0.08                           mprj/u_mbist1/net2114 (net)
+                  0.25    0.01    4.78 ^ mprj/u_mbist1/_4177_/CLK (sky130_fd_sc_hd__dfrtp_4)
+                          0.10    4.88   clock uncertainty
+                         -0.16    4.72   clock reconvergence pessimism
+                         -0.01    4.71   library hold time
+                                  4.71   data required time
+-----------------------------------------------------------------------------
+                                  4.71   data required time
+                                 -4.92   data arrival time
+-----------------------------------------------------------------------------
+                                  0.21   slack (MET)
+
+
+Startpoint: mprj/u_mbist0/_4199_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist0/_4177_
+          (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Path Group: wbs_clk_i
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.41    0.41 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00    0.41 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.19    0.60 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00    0.60 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.21    0.81 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00    0.81 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    0.97 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00    0.97 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    1.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00    1.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.44 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00    1.44 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12    1.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00    1.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00    1.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.93 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00    1.93 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23    2.16 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00    2.16 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23    2.39 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00    2.40 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19    2.59 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00    2.59 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16    2.75 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00    2.75 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16    2.92 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00    2.92 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.05 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_0_0_wb_clk_i (net)
+                  0.05    0.00    3.05 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.18 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_0_1_wb_clk_i (net)
+                  0.05    0.00    3.18 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    3.29 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_0_2_wb_clk_i (net)
+                  0.03    0.00    3.29 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.17    3.46 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist0/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.47 ^ mprj/u_mbist0/wire4/A (sky130_fd_sc_hd__buf_4)
+                  0.26    0.28    3.75 ^ mprj/u_mbist0/wire4/X (sky130_fd_sc_hd__buf_4)
+    10    0.09                           mprj/u_mbist0/net2097 (net)
+                  0.26    0.01    3.76 ^ mprj/u_mbist0/clkbuf_leaf_23_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.24    3.99 ^ mprj/u_mbist0/clkbuf_leaf_23_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    24    0.09                           mprj/u_mbist0/clknet_leaf_23_wb_clk_i (net)
+                  0.10    0.00    3.99 ^ mprj/u_mbist0/_4199_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.05    0.33    4.32 ^ mprj/u_mbist0/_4199_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.00                           mprj/u_mbist0/u_mbist.bist_error_addr[1][7] (net)
+                  0.05    0.00    4.32 ^ mprj/u_mbist0/hold112/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.08    0.54    4.86 ^ mprj/u_mbist0/hold112/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     2    0.01                           mprj/u_mbist0/net2226 (net)
+                  0.08    0.00    4.86 ^ mprj/u_mbist0/_3133_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    4.98 ^ mprj/u_mbist0/_3133_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/_0167_ (net)
+                  0.04    0.00    4.98 ^ mprj/u_mbist0/_4177_/D (sky130_fd_sc_hd__dfrtp_4)
+                                  4.98   data arrival time
+
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.44    0.44 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00    0.45 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.20    0.65 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00    0.65 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.23    0.87 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00    0.88 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.17    1.04 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00    1.04 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.12    1.55 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00    1.55 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.08 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00    2.08 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.33 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00    2.33 ^ mprj/u_mbist0/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.17    0.25    2.58 ^ mprj/u_mbist0/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2103 (net)
+                  0.17    0.00    2.59 ^ mprj/u_mbist0/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.21    2.79 ^ mprj/u_mbist0/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2102 (net)
+                  0.11    0.00    2.80 ^ mprj/u_mbist0/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.18    2.97 ^ mprj/u_mbist0/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2101 (net)
+                  0.11    0.01    2.98 ^ mprj/u_mbist0/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.13 ^ mprj/u_mbist0/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist0/clknet_0_wb_clk2_i (net)
+                  0.04    0.00    3.14 ^ mprj/u_mbist0/clkbuf_1_1__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.09    0.17    3.30 ^ mprj/u_mbist0/clkbuf_1_1__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.07                           mprj/u_mbist0/clknet_1_1__leaf_wb_clk2_i (net)
+                  0.09    0.00    3.30 ^ mprj/u_mbist0/wire15/A (sky130_fd_sc_hd__buf_2)
+                  0.28    0.30    3.60 ^ mprj/u_mbist0/wire15/X (sky130_fd_sc_hd__buf_2)
+     4    0.06                           mprj/u_mbist0/net2108 (net)
+                  0.28    0.00    3.60 ^ mprj/u_mbist0/u_mbist.mem_no[1].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
+                  0.06    0.25    3.85 ^ mprj/u_mbist0/u_mbist.mem_no[1].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     2    0.02                           mprj/u_mbist0/u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
+                  0.06    0.00    3.86 ^ mprj/u_mbist0/wire12/A (sky130_fd_sc_hd__buf_2)
+                  0.29    0.30    4.15 ^ mprj/u_mbist0/wire12/X (sky130_fd_sc_hd__buf_2)
+     2    0.06                           mprj/u_mbist0/net2105 (net)
+                  0.29    0.00    4.16 ^ mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[1].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    4.41 ^ mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[1].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.08                           mprj/u_mbist0/clknet_0_u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
+                  0.10    0.00    4.42 ^ mprj/u_mbist0/clkbuf_3_7__f_u_mbist.mem_no[1].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.13    4.55 ^ mprj/u_mbist0/clkbuf_3_7__f_u_mbist.mem_no[1].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_mbist0/clknet_3_7__leaf_u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
+                  0.03    0.00    4.55 ^ mprj/u_mbist0/wire21/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.25    0.28    4.83 ^ mprj/u_mbist0/wire21/X (sky130_fd_sc_hd__clkbuf_4)
+    22    0.08                           mprj/u_mbist0/net2114 (net)
+                  0.25    0.01    4.84 ^ mprj/u_mbist0/_4177_/CLK (sky130_fd_sc_hd__dfrtp_4)
+                          0.10    4.94   clock uncertainty
+                         -0.17    4.77   clock reconvergence pessimism
+                         -0.01    4.77   library hold time
+                                  4.77   data required time
+-----------------------------------------------------------------------------
+                                  4.77   data required time
+                                 -4.98   data arrival time
+-----------------------------------------------------------------------------
+                                  0.21   slack (MET)
+
+
+Startpoint: mprj/u_mbist1/_4200_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist1/_4144_
+          (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Path Group: wbs_clk_i
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.22    0.51 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.20    0.74 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02    0.76 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11    0.87 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00    0.87 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.16    1.02 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00    1.03 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    1.17 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00    1.17 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    1.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.39 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12    1.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00    1.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00    1.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13    1.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.87 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00    1.87 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23    2.10 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00    2.10 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23    2.33 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00    2.34 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19    2.53 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00    2.53 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16    2.69 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00    2.70 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16    2.86 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00    2.86 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    2.99 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_0_0_wb_clk_i (net)
+                  0.05    0.00    2.99 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.12 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_0_1_wb_clk_i (net)
+                  0.05    0.00    3.12 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    3.23 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_0_2_wb_clk_i (net)
+                  0.03    0.00    3.23 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.17    3.41 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist1/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.41 ^ mprj/u_mbist1/clkbuf_leaf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.21    3.61 ^ mprj/u_mbist1/clkbuf_leaf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.10                           mprj/u_mbist1/clknet_leaf_0_wb_clk_i (net)
+                  0.12    0.00    3.62 ^ mprj/u_mbist1/_4200_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.05    0.33    3.95 ^ mprj/u_mbist1/_4200_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.00                           mprj/u_mbist1/u_mbist.bist_error_addr[1][8] (net)
+                  0.05    0.00    3.95 ^ mprj/u_mbist1/hold84/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.09    0.55    4.50 ^ mprj/u_mbist1/hold84/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     2    0.01                           mprj/u_mbist1/net2198 (net)
+                  0.09    0.00    4.50 ^ mprj/u_mbist1/fanout1938/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.21    0.23    4.72 ^ mprj/u_mbist1/fanout1938/X (sky130_fd_sc_hd__clkbuf_2)
+     8    0.04                           mprj/u_mbist1/net1938 (net)
+                  0.21    0.00    4.73 ^ mprj/u_mbist1/_3096_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    4.89 ^ mprj/u_mbist1/_3096_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/_0135_ (net)
+                  0.05    0.00    4.89 ^ mprj/u_mbist1/_4144_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  4.89   data arrival time
+
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.31    0.31 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00    0.32 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.23    0.55 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03    0.58 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.21    0.80 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02    0.81 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.12    0.94 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00    0.94 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.17    1.10 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00    1.11 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.16    1.26 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00    1.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.50 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.50 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.02 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00    2.02 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.26 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00    2.27 ^ mprj/u_mbist1/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.17    0.25    2.52 ^ mprj/u_mbist1/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2103 (net)
+                  0.17    0.00    2.52 ^ mprj/u_mbist1/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.21    2.73 ^ mprj/u_mbist1/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2102 (net)
+                  0.11    0.00    2.73 ^ mprj/u_mbist1/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.18    2.91 ^ mprj/u_mbist1/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2101 (net)
+                  0.11    0.01    2.92 ^ mprj/u_mbist1/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.07 ^ mprj/u_mbist1/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist1/clknet_0_wb_clk2_i (net)
+                  0.04    0.00    3.07 ^ mprj/u_mbist1/clkbuf_1_1__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.09    0.17    3.24 ^ mprj/u_mbist1/clkbuf_1_1__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.07                           mprj/u_mbist1/clknet_1_1__leaf_wb_clk2_i (net)
+                  0.09    0.00    3.24 ^ mprj/u_mbist1/wire15/A (sky130_fd_sc_hd__buf_2)
+                  0.28    0.30    3.54 ^ mprj/u_mbist1/wire15/X (sky130_fd_sc_hd__buf_2)
+     4    0.06                           mprj/u_mbist1/net2108 (net)
+                  0.28    0.00    3.54 ^ mprj/u_mbist1/u_mbist.mem_no[1].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
+                  0.06    0.25    3.79 ^ mprj/u_mbist1/u_mbist.mem_no[1].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     2    0.02                           mprj/u_mbist1/u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
+                  0.06    0.00    3.79 ^ mprj/u_mbist1/wire12/A (sky130_fd_sc_hd__buf_2)
+                  0.29    0.30    4.09 ^ mprj/u_mbist1/wire12/X (sky130_fd_sc_hd__buf_2)
+     2    0.06                           mprj/u_mbist1/net2105 (net)
+                  0.29    0.00    4.09 ^ mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[1].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    4.35 ^ mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[1].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.08                           mprj/u_mbist1/clknet_0_u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
+                  0.10    0.00    4.35 ^ mprj/u_mbist1/clkbuf_3_3__f_u_mbist.mem_no[1].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    4.50 ^ mprj/u_mbist1/clkbuf_3_3__f_u_mbist.mem_no[1].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     3    0.01                           mprj/u_mbist1/clknet_3_3__leaf_u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
+                  0.04    0.00    4.50 ^ mprj/u_mbist1/wire18/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.21    0.26    4.75 ^ mprj/u_mbist1/wire18/X (sky130_fd_sc_hd__clkbuf_4)
+    16    0.07                           mprj/u_mbist1/net2111 (net)
+                  0.21    0.01    4.76 ^ mprj/u_mbist1/_4144_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    4.86   clock uncertainty
+                         -0.16    4.69   clock reconvergence pessimism
+                         -0.01    4.68   library hold time
+                                  4.68   data required time
+-----------------------------------------------------------------------------
+                                  4.68   data required time
+                                 -4.89   data arrival time
+-----------------------------------------------------------------------------
+                                  0.21   slack (MET)
+
+
+Startpoint: mprj/u_mbist0/_4200_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist0/_4144_
+          (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Path Group: wbs_clk_i
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.41    0.41 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00    0.41 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.19    0.60 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00    0.60 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.21    0.81 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00    0.81 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    0.97 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00    0.97 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    1.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00    1.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.44 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00    1.44 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12    1.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00    1.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00    1.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.93 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00    1.93 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23    2.16 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00    2.16 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23    2.39 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00    2.40 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19    2.59 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00    2.59 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16    2.75 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00    2.75 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16    2.92 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00    2.92 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.05 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_0_0_wb_clk_i (net)
+                  0.05    0.00    3.05 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.18 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_0_1_wb_clk_i (net)
+                  0.05    0.00    3.18 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    3.29 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_0_2_wb_clk_i (net)
+                  0.03    0.00    3.29 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.17    3.46 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist0/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.47 ^ mprj/u_mbist0/clkbuf_leaf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.21    3.67 ^ mprj/u_mbist0/clkbuf_leaf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.10                           mprj/u_mbist0/clknet_leaf_0_wb_clk_i (net)
+                  0.12    0.00    3.67 ^ mprj/u_mbist0/_4200_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.05    0.33    4.01 ^ mprj/u_mbist0/_4200_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.00                           mprj/u_mbist0/u_mbist.bist_error_addr[1][8] (net)
+                  0.05    0.00    4.01 ^ mprj/u_mbist0/hold84/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.09    0.55    4.55 ^ mprj/u_mbist0/hold84/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     2    0.01                           mprj/u_mbist0/net2198 (net)
+                  0.09    0.00    4.55 ^ mprj/u_mbist0/fanout1938/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.21    0.23    4.78 ^ mprj/u_mbist0/fanout1938/X (sky130_fd_sc_hd__clkbuf_2)
+     8    0.04                           mprj/u_mbist0/net1938 (net)
+                  0.21    0.00    4.78 ^ mprj/u_mbist0/_3096_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17    4.95 ^ mprj/u_mbist0/_3096_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/_0135_ (net)
+                  0.05    0.00    4.95 ^ mprj/u_mbist0/_4144_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  4.95   data arrival time
+
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.44    0.44 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00    0.45 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.20    0.65 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00    0.65 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.23    0.87 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00    0.88 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.17    1.04 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00    1.04 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.12    1.55 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00    1.55 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.08 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00    2.08 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.33 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00    2.33 ^ mprj/u_mbist0/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.17    0.25    2.58 ^ mprj/u_mbist0/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2103 (net)
+                  0.17    0.00    2.59 ^ mprj/u_mbist0/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.21    2.79 ^ mprj/u_mbist0/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2102 (net)
+                  0.11    0.00    2.80 ^ mprj/u_mbist0/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.18    2.97 ^ mprj/u_mbist0/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2101 (net)
+                  0.11    0.01    2.98 ^ mprj/u_mbist0/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.13 ^ mprj/u_mbist0/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist0/clknet_0_wb_clk2_i (net)
+                  0.04    0.00    3.14 ^ mprj/u_mbist0/clkbuf_1_1__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.09    0.17    3.30 ^ mprj/u_mbist0/clkbuf_1_1__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.07                           mprj/u_mbist0/clknet_1_1__leaf_wb_clk2_i (net)
+                  0.09    0.00    3.30 ^ mprj/u_mbist0/wire15/A (sky130_fd_sc_hd__buf_2)
+                  0.28    0.30    3.60 ^ mprj/u_mbist0/wire15/X (sky130_fd_sc_hd__buf_2)
+     4    0.06                           mprj/u_mbist0/net2108 (net)
+                  0.28    0.00    3.60 ^ mprj/u_mbist0/u_mbist.mem_no[1].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
+                  0.06    0.25    3.85 ^ mprj/u_mbist0/u_mbist.mem_no[1].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     2    0.02                           mprj/u_mbist0/u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
+                  0.06    0.00    3.86 ^ mprj/u_mbist0/wire12/A (sky130_fd_sc_hd__buf_2)
+                  0.29    0.30    4.15 ^ mprj/u_mbist0/wire12/X (sky130_fd_sc_hd__buf_2)
+     2    0.06                           mprj/u_mbist0/net2105 (net)
+                  0.29    0.00    4.16 ^ mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[1].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    4.41 ^ mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[1].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.08                           mprj/u_mbist0/clknet_0_u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
+                  0.10    0.00    4.42 ^ mprj/u_mbist0/clkbuf_3_3__f_u_mbist.mem_no[1].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    4.56 ^ mprj/u_mbist0/clkbuf_3_3__f_u_mbist.mem_no[1].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     3    0.01                           mprj/u_mbist0/clknet_3_3__leaf_u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
+                  0.04    0.00    4.56 ^ mprj/u_mbist0/wire18/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.21    0.26    4.81 ^ mprj/u_mbist0/wire18/X (sky130_fd_sc_hd__clkbuf_4)
+    16    0.07                           mprj/u_mbist0/net2111 (net)
+                  0.21    0.01    4.82 ^ mprj/u_mbist0/_4144_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    4.92   clock uncertainty
+                         -0.17    4.75   clock reconvergence pessimism
+                         -0.01    4.74   library hold time
+                                  4.74   data required time
+-----------------------------------------------------------------------------
+                                  4.74   data required time
+                                 -4.95   data arrival time
+-----------------------------------------------------------------------------
+                                  0.21   slack (MET)
+
+
+Startpoint: mprj/u_mbist0/_4200_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist0/_4178_
+          (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Path Group: wbs_clk_i
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.41    0.41 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00    0.41 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.19    0.60 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00    0.60 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.21    0.81 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00    0.81 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    0.97 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00    0.97 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    1.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00    1.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.44 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00    1.44 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12    1.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00    1.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00    1.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.93 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00    1.93 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23    2.16 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00    2.16 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23    2.39 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00    2.40 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19    2.59 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00    2.59 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16    2.75 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00    2.75 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16    2.92 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00    2.92 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.05 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_0_0_wb_clk_i (net)
+                  0.05    0.00    3.05 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.18 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_0_1_wb_clk_i (net)
+                  0.05    0.00    3.18 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    3.29 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_0_2_wb_clk_i (net)
+                  0.03    0.00    3.29 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.17    3.46 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist0/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.47 ^ mprj/u_mbist0/clkbuf_leaf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.21    3.67 ^ mprj/u_mbist0/clkbuf_leaf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.10                           mprj/u_mbist0/clknet_leaf_0_wb_clk_i (net)
+                  0.12    0.00    3.67 ^ mprj/u_mbist0/_4200_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.05    0.33    4.01 ^ mprj/u_mbist0/_4200_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.00                           mprj/u_mbist0/u_mbist.bist_error_addr[1][8] (net)
+                  0.05    0.00    4.01 ^ mprj/u_mbist0/hold84/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.09    0.55    4.55 ^ mprj/u_mbist0/hold84/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     2    0.01                           mprj/u_mbist0/net2198 (net)
+                  0.09    0.00    4.55 ^ mprj/u_mbist0/_3134_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.08    0.16    4.71 ^ mprj/u_mbist0/_3134_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/_0168_ (net)
+                  0.08    0.00    4.71 ^ mprj/u_mbist0/_4178_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  4.71   data arrival time
+
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.44    0.44 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00    0.45 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.20    0.65 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00    0.65 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.23    0.87 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00    0.88 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.17    1.04 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00    1.04 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.12    1.55 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00    1.55 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.08 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00    2.08 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.33 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00    2.33 ^ mprj/u_mbist0/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.17    0.25    2.58 ^ mprj/u_mbist0/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2103 (net)
+                  0.17    0.00    2.59 ^ mprj/u_mbist0/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.21    2.79 ^ mprj/u_mbist0/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2102 (net)
+                  0.11    0.00    2.80 ^ mprj/u_mbist0/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.18    2.97 ^ mprj/u_mbist0/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2101 (net)
+                  0.11    0.01    2.98 ^ mprj/u_mbist0/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.13 ^ mprj/u_mbist0/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist0/clknet_0_wb_clk2_i (net)
+                  0.04    0.00    3.14 ^ mprj/u_mbist0/clkbuf_1_1__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.09    0.17    3.30 ^ mprj/u_mbist0/clkbuf_1_1__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.07                           mprj/u_mbist0/clknet_1_1__leaf_wb_clk2_i (net)
+                  0.09    0.00    3.30 ^ mprj/u_mbist0/wire15/A (sky130_fd_sc_hd__buf_2)
+                  0.28    0.30    3.60 ^ mprj/u_mbist0/wire15/X (sky130_fd_sc_hd__buf_2)
+     4    0.06                           mprj/u_mbist0/net2108 (net)
+                  0.28    0.00    3.60 ^ mprj/u_mbist0/u_mbist.mem_no[1].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
+                  0.06    0.25    3.85 ^ mprj/u_mbist0/u_mbist.mem_no[1].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     2    0.02                           mprj/u_mbist0/u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
+                  0.06    0.00    3.86 ^ mprj/u_mbist0/wire12/A (sky130_fd_sc_hd__buf_2)
+                  0.29    0.30    4.15 ^ mprj/u_mbist0/wire12/X (sky130_fd_sc_hd__buf_2)
+     2    0.06                           mprj/u_mbist0/net2105 (net)
+                  0.29    0.00    4.16 ^ mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[1].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    4.41 ^ mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[1].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.08                           mprj/u_mbist0/clknet_0_u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
+                  0.10    0.00    4.42 ^ mprj/u_mbist0/clkbuf_3_2__f_u_mbist.mem_no[1].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.09    0.19    4.60 ^ mprj/u_mbist0/clkbuf_3_2__f_u_mbist.mem_no[1].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    14    0.07                           mprj/u_mbist0/clknet_3_2__leaf_u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
+                  0.09    0.00    4.61 ^ mprj/u_mbist0/_4178_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    4.71   clock uncertainty
+                         -0.17    4.54   clock reconvergence pessimism
+                         -0.04    4.50   library hold time
+                                  4.50   data required time
+-----------------------------------------------------------------------------
+                                  4.50   data required time
+                                 -4.71   data arrival time
+-----------------------------------------------------------------------------
+                                  0.21   slack (MET)
+
+
+Startpoint: mprj/u_mbist1/_4200_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist1/_4178_
+          (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Path Group: wbs_clk_i
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.22    0.51 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.20    0.74 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02    0.76 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11    0.87 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00    0.87 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.16    1.02 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00    1.03 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    1.17 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00    1.17 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    1.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.39 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12    1.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00    1.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00    1.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13    1.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.87 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00    1.87 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23    2.10 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00    2.10 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23    2.33 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00    2.34 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19    2.53 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00    2.53 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16    2.69 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00    2.70 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16    2.86 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00    2.86 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    2.99 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_0_0_wb_clk_i (net)
+                  0.05    0.00    2.99 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.12 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_0_1_wb_clk_i (net)
+                  0.05    0.00    3.12 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    3.23 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_0_2_wb_clk_i (net)
+                  0.03    0.00    3.23 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.17    3.41 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist1/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.41 ^ mprj/u_mbist1/clkbuf_leaf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.21    3.61 ^ mprj/u_mbist1/clkbuf_leaf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.10                           mprj/u_mbist1/clknet_leaf_0_wb_clk_i (net)
+                  0.12    0.00    3.62 ^ mprj/u_mbist1/_4200_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.05    0.33    3.95 ^ mprj/u_mbist1/_4200_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.00                           mprj/u_mbist1/u_mbist.bist_error_addr[1][8] (net)
+                  0.05    0.00    3.95 ^ mprj/u_mbist1/hold84/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.09    0.55    4.50 ^ mprj/u_mbist1/hold84/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     2    0.01                           mprj/u_mbist1/net2198 (net)
+                  0.09    0.00    4.50 ^ mprj/u_mbist1/_3134_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.08    0.16    4.66 ^ mprj/u_mbist1/_3134_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/_0168_ (net)
+                  0.08    0.00    4.66 ^ mprj/u_mbist1/_4178_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  4.66   data arrival time
+
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.31    0.31 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00    0.32 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.23    0.55 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03    0.58 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.21    0.80 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02    0.81 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.12    0.94 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00    0.94 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.17    1.10 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00    1.11 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.16    1.26 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00    1.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.50 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.50 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.02 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00    2.02 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.26 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00    2.27 ^ mprj/u_mbist1/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.17    0.25    2.52 ^ mprj/u_mbist1/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2103 (net)
+                  0.17    0.00    2.52 ^ mprj/u_mbist1/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.21    2.73 ^ mprj/u_mbist1/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2102 (net)
+                  0.11    0.00    2.73 ^ mprj/u_mbist1/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.18    2.91 ^ mprj/u_mbist1/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2101 (net)
+                  0.11    0.01    2.92 ^ mprj/u_mbist1/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.07 ^ mprj/u_mbist1/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist1/clknet_0_wb_clk2_i (net)
+                  0.04    0.00    3.07 ^ mprj/u_mbist1/clkbuf_1_1__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.09    0.17    3.24 ^ mprj/u_mbist1/clkbuf_1_1__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.07                           mprj/u_mbist1/clknet_1_1__leaf_wb_clk2_i (net)
+                  0.09    0.00    3.24 ^ mprj/u_mbist1/wire15/A (sky130_fd_sc_hd__buf_2)
+                  0.28    0.30    3.54 ^ mprj/u_mbist1/wire15/X (sky130_fd_sc_hd__buf_2)
+     4    0.06                           mprj/u_mbist1/net2108 (net)
+                  0.28    0.00    3.54 ^ mprj/u_mbist1/u_mbist.mem_no[1].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
+                  0.06    0.25    3.79 ^ mprj/u_mbist1/u_mbist.mem_no[1].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     2    0.02                           mprj/u_mbist1/u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
+                  0.06    0.00    3.79 ^ mprj/u_mbist1/wire12/A (sky130_fd_sc_hd__buf_2)
+                  0.29    0.30    4.09 ^ mprj/u_mbist1/wire12/X (sky130_fd_sc_hd__buf_2)
+     2    0.06                           mprj/u_mbist1/net2105 (net)
+                  0.29    0.00    4.09 ^ mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[1].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    4.35 ^ mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[1].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.08                           mprj/u_mbist1/clknet_0_u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
+                  0.10    0.00    4.35 ^ mprj/u_mbist1/clkbuf_3_2__f_u_mbist.mem_no[1].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.09    0.19    4.54 ^ mprj/u_mbist1/clkbuf_3_2__f_u_mbist.mem_no[1].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    14    0.07                           mprj/u_mbist1/clknet_3_2__leaf_u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
+                  0.09    0.00    4.54 ^ mprj/u_mbist1/_4178_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    4.64   clock uncertainty
+                         -0.16    4.48   clock reconvergence pessimism
+                         -0.04    4.44   library hold time
+                                  4.44   data required time
+-----------------------------------------------------------------------------
+                                  4.44   data required time
+                                 -4.66   data arrival time
+-----------------------------------------------------------------------------
+                                  0.21   slack (MET)
+
+
 Startpoint: mprj/u_intercon/_4150_
             (rising edge-triggered flip-flop clocked by wbs_clk_i)
 Endpoint: mprj/u_mbist1/_4591_
@@ -67948,6703 +85079,205 @@
 -----------------------------------------------------------------------------
                           0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input317/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.20    0.25    0.26 ^ mprj/u_intercon/input317/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input317/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.21    0.26    0.26 ^ mprj/u_intercon/input317/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.02                           mprj/u_intercon/net317 (net)
-                  0.20    0.00    0.26 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.14    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.21    0.00    0.26 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.14    0.40 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_intercon/u_skew_wi.clk_d1 (net)
-                  0.09    0.00    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.00    0.40 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    0.51 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_intercon/u_skew_wi.clk_d2 (net)
-                  0.07    0.00    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.00    0.51 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_intercon/u_skew_wi.clk_d3 (net)
-                  0.10    0.00    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.00    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    0.73 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_intercon/u_skew_wi.clk_d4 (net)
-                  0.08    0.00    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.00    0.73 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    0.84 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_intercon/u_skew_wi.clk_d5 (net)
-                  0.08    0.00    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.00    0.84 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
                   0.07    0.11    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_intercon/u_skew_wi.clk_d6 (net)
                   0.07    0.00    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
                   0.08    0.11    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_intercon/u_skew_wi.clk_d7 (net)
                   0.08    0.00    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.18 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    1.17 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_intercon/u_skew_wi.clk_d8 (net)
-                  0.08    0.00    1.18 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d04 (net)
-                  0.05    0.00    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.12    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
+                  0.08    0.00    1.17 ^ mprj/u_intercon/u_skew_wi.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    1.28 ^ mprj/u_intercon/u_skew_wi.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d9 (net)
+                  0.08    0.00    1.28 ^ mprj/u_intercon/u_skew_wi.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.12    1.40 ^ mprj/u_intercon/u_skew_wi.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d10 (net)
+                  0.09    0.00    1.40 ^ mprj/u_intercon/u_skew_wi.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.52 ^ mprj/u_intercon/u_skew_wi.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_intercon/u_skew_wi.d05 (net)
+                  0.04    0.00    1.52 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.13    1.64 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_intercon/u_skew_wi.d12 (net)
-                  0.06    0.00    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.00    1.65 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12    1.77 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_intercon/u_skew_wi.d21 (net)
-                  0.05    0.00    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    1.69 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    1.77 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.13    1.90 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
      1    0.01                           mprj/u_intercon/net626 (net)
-                  0.07    0.00    1.69 ^ mprj/u_intercon/output626/A (sky130_fd_sc_hd__buf_2)
-                  0.80    0.60    2.29 ^ mprj/u_intercon/output626/X (sky130_fd_sc_hd__buf_2)
-     2    0.17                           mprj/wbd_clk_wi_skew (net)
-                  0.81    0.04    2.33 ^ mprj/u_intercon/clkbuf_0_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.28    2.61 ^ mprj/u_intercon/clkbuf_0_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.00    1.90 ^ mprj/u_intercon/output626/A (sky130_fd_sc_hd__buf_2)
+                  0.06    0.12    2.02 ^ mprj/u_intercon/output626/X (sky130_fd_sc_hd__buf_2)
+     2    0.01                           mprj/wbd_clk_wi_skew (net)
+                  0.06    0.00    2.02 ^ mprj/u_intercon/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.24    0.24    2.26 ^ mprj/u_intercon/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.04                           mprj/u_intercon/net1226 (net)
+                  0.24    0.00    2.26 ^ mprj/u_intercon/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.14    0.23    2.50 ^ mprj/u_intercon/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.05                           mprj/u_intercon/net1225 (net)
+                  0.14    0.00    2.50 ^ mprj/u_intercon/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.16    2.65 ^ mprj/u_intercon/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/u_intercon/net1224 (net)
+                  0.09    0.01    2.66 ^ mprj/u_intercon/clkbuf_0_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.15    2.81 ^ mprj/u_intercon/clkbuf_0_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_intercon/clknet_0_clk_i (net)
-                  0.07    0.00    2.61 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.74 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_intercon/clknet_1_1_0_clk_i (net)
-                  0.04    0.00    2.74 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.86 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_1_clk_i (net)
-                  0.04    0.00    2.86 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.11    2.97 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    2.81 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    2.93 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_intercon/clknet_1_1_0_clk_i (net)
+                  0.04    0.00    2.93 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12    3.05 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_intercon/clknet_1_1_1_clk_i (net)
+                  0.05    0.00    3.05 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    3.16 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.01                           mprj/u_intercon/clknet_1_1_2_clk_i (net)
-                  0.04    0.00    2.97 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.13    3.11 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.00    3.16 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.13    3.29 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_intercon/clknet_1_1_3_clk_i (net)
-                  0.07    0.00    3.11 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    3.25 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    3.30 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    3.44 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_intercon/clknet_2_2_0_clk_i (net)
-                  0.06    0.00    3.25 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    3.37 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    3.44 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    3.56 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.01                           mprj/u_intercon/clknet_3_5_0_clk_i (net)
-                  0.04    0.00    3.37 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.21    0.24    3.61 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.00    3.56 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.21    0.24    3.80 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
     14    0.12                           mprj/u_intercon/clknet_3_5_1_clk_i (net)
-                  0.21    0.01    3.62 ^ mprj/u_intercon/clkbuf_leaf_19_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.09    0.22    3.84 ^ mprj/u_intercon/clkbuf_leaf_19_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.21    0.01    3.81 ^ mprj/u_intercon/clkbuf_leaf_19_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.22    4.03 ^ mprj/u_intercon/clkbuf_leaf_19_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
     32    0.08                           mprj/u_intercon/clknet_leaf_19_clk_i (net)
-                  0.09    0.00    3.84 ^ mprj/u_intercon/_4150_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.06    0.34    4.17 ^ mprj/u_intercon/_4150_/Q (sky130_fd_sc_hd__dfrtp_1)
+                  0.10    0.00    4.03 ^ mprj/u_intercon/_4150_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.06    0.34    4.37 ^ mprj/u_intercon/_4150_/Q (sky130_fd_sc_hd__dfrtp_1)
      2    0.01                           mprj/u_intercon/u_s3.u_sync_wbb.s_cmd_rd_data_l[38] (net)
-                  0.06    0.00    4.17 ^ mprj/u_intercon/_2156_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    4.34 ^ mprj/u_intercon/_2156_/X (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.00    4.37 ^ mprj/u_intercon/_2156_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    4.53 ^ mprj/u_intercon/_2156_/X (sky130_fd_sc_hd__mux2_1)
      1    0.01                           mprj/u_intercon/net598 (net)
-                  0.10    0.00    4.34 ^ mprj/u_intercon/output598/A (sky130_fd_sc_hd__buf_2)
-                  0.18    0.21    4.55 ^ mprj/u_intercon/output598/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_mbist1_dat_o[20] (net)
-                  0.18    0.00    4.55 ^ mprj/u_mbist1/input172/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.04    0.10    4.66 ^ mprj/u_mbist1/input172/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.00    4.53 ^ mprj/u_intercon/output598/A (sky130_fd_sc_hd__buf_2)
+                  0.16    0.20    4.73 ^ mprj/u_intercon/output598/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_mbist1_dat_o[20] (net)
+                  0.16    0.00    4.74 ^ mprj/u_mbist1/input172/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.10    4.84 ^ mprj/u_mbist1/input172/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.00                           mprj/u_mbist1/net172 (net)
-                  0.04    0.00    4.66 ^ mprj/u_mbist1/_3907_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.12    4.78 ^ mprj/u_mbist1/_3907_/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.84 ^ mprj/u_mbist1/_3907_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.13    4.96 ^ mprj/u_mbist1/_3907_/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist1/_0541_ (net)
-                  0.06    0.00    4.78 ^ mprj/u_mbist1/_4591_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  4.78   data arrival time
-
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.24    0.53 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
-     2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.21    0.76 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02    0.77 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.13    0.90 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00    0.90 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.17    1.07 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
-     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00    1.07 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    1.22 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00    1.22 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    2.07 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    2.07 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.60 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00    2.60 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.46 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05    3.51 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.84 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.85 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.99 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00    3.99 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.13 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00    4.13 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.24 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00    4.25 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.41    4.66 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
-                  0.44    0.02    4.67 ^ mprj/u_mbist1/clkbuf_opt_5_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.24    4.91 ^ mprj/u_mbist1/clkbuf_opt_5_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_5_0_wb_clk_i (net)
-                  0.05    0.00    4.91 ^ mprj/u_mbist1/clkbuf_opt_5_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.04 ^ mprj/u_mbist1/clkbuf_opt_5_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_5_1_wb_clk_i (net)
-                  0.04    0.00    5.05 ^ mprj/u_mbist1/clkbuf_opt_5_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.17 ^ mprj/u_mbist1/clkbuf_opt_5_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_5_2_wb_clk_i (net)
-                  0.04    0.00    5.18 ^ mprj/u_mbist1/clkbuf_opt_5_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    5.31 ^ mprj/u_mbist1/clkbuf_opt_5_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_5_3_wb_clk_i (net)
-                  0.05    0.00    5.31 ^ mprj/u_mbist1/clkbuf_leaf_16_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.18    5.49 ^ mprj/u_mbist1/clkbuf_leaf_16_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_mbist1/clknet_leaf_16_wb_clk_i (net)
-                  0.10    0.00    5.49 ^ mprj/u_mbist1/_4591_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    5.59   clock uncertainty
-                          0.00    5.59   clock reconvergence pessimism
-                         -0.03    5.56   library hold time
-                                  5.56   data required time
------------------------------------------------------------------------------
-                                  5.56   data required time
-                                 -4.78   data arrival time
------------------------------------------------------------------------------
-                                 -0.78   slack (VIOLATED)
-
-
-Startpoint: mprj/u_intercon/_4147_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist1/_4588_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input317/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.20    0.25    0.26 ^ mprj/u_intercon/input317/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.02                           mprj/u_intercon/net317 (net)
-                  0.20    0.00    0.26 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.14    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d1 (net)
-                  0.09    0.00    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d2 (net)
-                  0.07    0.00    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d3 (net)
-                  0.10    0.00    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d4 (net)
-                  0.08    0.00    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d5 (net)
-                  0.08    0.00    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d6 (net)
-                  0.07    0.00    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d7 (net)
-                  0.08    0.00    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.18 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d8 (net)
-                  0.08    0.00    1.18 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d04 (net)
-                  0.05    0.00    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.12    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d12 (net)
-                  0.06    0.00    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d21 (net)
-                  0.05    0.00    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    1.69 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_intercon/net626 (net)
-                  0.07    0.00    1.69 ^ mprj/u_intercon/output626/A (sky130_fd_sc_hd__buf_2)
-                  0.80    0.60    2.29 ^ mprj/u_intercon/output626/X (sky130_fd_sc_hd__buf_2)
-     2    0.17                           mprj/wbd_clk_wi_skew (net)
-                  0.81    0.04    2.33 ^ mprj/u_intercon/clkbuf_0_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.28    2.61 ^ mprj/u_intercon/clkbuf_0_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_intercon/clknet_0_clk_i (net)
-                  0.07    0.00    2.61 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.74 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_intercon/clknet_1_1_0_clk_i (net)
-                  0.04    0.00    2.74 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.86 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_1_clk_i (net)
-                  0.04    0.00    2.86 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.11    2.97 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_2_clk_i (net)
-                  0.04    0.00    2.97 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.13    3.11 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_1_1_3_clk_i (net)
-                  0.07    0.00    3.11 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    3.25 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_2_2_0_clk_i (net)
-                  0.06    0.00    3.25 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    3.37 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_3_5_0_clk_i (net)
-                  0.04    0.00    3.37 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.21    0.24    3.61 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.12                           mprj/u_intercon/clknet_3_5_1_clk_i (net)
-                  0.21    0.01    3.62 ^ mprj/u_intercon/clkbuf_leaf_19_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.09    0.22    3.84 ^ mprj/u_intercon/clkbuf_leaf_19_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.08                           mprj/u_intercon/clknet_leaf_19_clk_i (net)
-                  0.09    0.00    3.84 ^ mprj/u_intercon/_4147_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.09    0.36    4.19 ^ mprj/u_intercon/_4147_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_intercon/u_s3.u_sync_wbb.s_cmd_rd_data_l[35] (net)
-                  0.09    0.00    4.19 ^ mprj/u_intercon/_2150_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.11    0.18    4.37 ^ mprj/u_intercon/_2150_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_intercon/net594 (net)
-                  0.11    0.00    4.37 ^ mprj/u_intercon/output594/A (sky130_fd_sc_hd__buf_2)
-                  0.14    0.19    4.56 ^ mprj/u_intercon/output594/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_mbist1_dat_o[17] (net)
-                  0.14    0.00    4.57 ^ mprj/u_mbist1/input168/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.11    4.68 ^ mprj/u_mbist1/input168/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_mbist1/net168 (net)
-                  0.06    0.00    4.68 ^ mprj/u_mbist1/_3904_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    4.80 ^ mprj/u_mbist1/_3904_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/_0538_ (net)
-                  0.04    0.00    4.80 ^ mprj/u_mbist1/_4588_/D (sky130_fd_sc_hd__dfrtp_4)
-                                  4.80   data arrival time
-
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.24    0.53 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
-     2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.21    0.76 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02    0.77 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.13    0.90 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00    0.90 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.17    1.07 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
-     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00    1.07 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    1.22 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00    1.22 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    2.07 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    2.07 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.60 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00    2.60 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.46 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05    3.51 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.84 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.85 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.99 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00    3.99 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.13 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00    4.13 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.24 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00    4.25 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.41    4.66 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
-                  0.44    0.01    4.67 ^ mprj/u_mbist1/clkbuf_opt_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.24    4.91 ^ mprj/u_mbist1/clkbuf_opt_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_3_0_wb_clk_i (net)
-                  0.05    0.00    4.91 ^ mprj/u_mbist1/clkbuf_opt_3_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.04 ^ mprj/u_mbist1/clkbuf_opt_3_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_3_1_wb_clk_i (net)
-                  0.04    0.00    5.04 ^ mprj/u_mbist1/clkbuf_opt_3_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.17 ^ mprj/u_mbist1/clkbuf_opt_3_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_3_2_wb_clk_i (net)
-                  0.04    0.00    5.17 ^ mprj/u_mbist1/clkbuf_opt_3_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14    5.31 ^ mprj/u_mbist1/clkbuf_opt_3_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.03                           mprj/u_mbist1/clknet_opt_3_3_wb_clk_i (net)
-                  0.05    0.00    5.31 ^ mprj/u_mbist1/clkbuf_leaf_12_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.18    5.49 ^ mprj/u_mbist1/clkbuf_leaf_12_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.08                           mprj/u_mbist1/clknet_leaf_12_wb_clk_i (net)
-                  0.10    0.00    5.49 ^ mprj/u_mbist1/_4588_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                          0.10    5.59   clock uncertainty
-                          0.00    5.59   clock reconvergence pessimism
-                         -0.03    5.57   library hold time
-                                  5.57   data required time
------------------------------------------------------------------------------
-                                  5.57   data required time
-                                 -4.80   data arrival time
------------------------------------------------------------------------------
-                                 -0.77   slack (VIOLATED)
-
-
-Startpoint: mprj/u_intercon/_4149_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist1/_4590_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input317/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.20    0.25    0.26 ^ mprj/u_intercon/input317/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.02                           mprj/u_intercon/net317 (net)
-                  0.20    0.00    0.26 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.14    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d1 (net)
-                  0.09    0.00    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d2 (net)
-                  0.07    0.00    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d3 (net)
-                  0.10    0.00    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d4 (net)
-                  0.08    0.00    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d5 (net)
-                  0.08    0.00    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d6 (net)
-                  0.07    0.00    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d7 (net)
-                  0.08    0.00    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.18 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d8 (net)
-                  0.08    0.00    1.18 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d04 (net)
-                  0.05    0.00    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.12    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d12 (net)
-                  0.06    0.00    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d21 (net)
-                  0.05    0.00    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    1.69 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_intercon/net626 (net)
-                  0.07    0.00    1.69 ^ mprj/u_intercon/output626/A (sky130_fd_sc_hd__buf_2)
-                  0.80    0.60    2.29 ^ mprj/u_intercon/output626/X (sky130_fd_sc_hd__buf_2)
-     2    0.17                           mprj/wbd_clk_wi_skew (net)
-                  0.81    0.04    2.33 ^ mprj/u_intercon/clkbuf_0_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.28    2.61 ^ mprj/u_intercon/clkbuf_0_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_intercon/clknet_0_clk_i (net)
-                  0.07    0.00    2.61 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.74 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_intercon/clknet_1_1_0_clk_i (net)
-                  0.04    0.00    2.74 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.86 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_1_clk_i (net)
-                  0.04    0.00    2.86 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.11    2.97 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_2_clk_i (net)
-                  0.04    0.00    2.97 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.13    3.11 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_1_1_3_clk_i (net)
-                  0.07    0.00    3.11 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    3.25 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_2_2_0_clk_i (net)
-                  0.06    0.00    3.25 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    3.37 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_3_5_0_clk_i (net)
-                  0.04    0.00    3.37 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.21    0.24    3.61 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.12                           mprj/u_intercon/clknet_3_5_1_clk_i (net)
-                  0.21    0.01    3.62 ^ mprj/u_intercon/clkbuf_leaf_19_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.09    0.22    3.84 ^ mprj/u_intercon/clkbuf_leaf_19_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.08                           mprj/u_intercon/clknet_leaf_19_clk_i (net)
-                  0.10    0.00    3.84 ^ mprj/u_intercon/_4149_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.06    0.34    4.18 ^ mprj/u_intercon/_4149_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_intercon/u_s3.u_sync_wbb.s_cmd_rd_data_l[37] (net)
-                  0.06    0.00    4.18 ^ mprj/u_intercon/_2154_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.16    4.33 ^ mprj/u_intercon/_2154_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_intercon/net596 (net)
-                  0.09    0.00    4.33 ^ mprj/u_intercon/output596/A (sky130_fd_sc_hd__buf_2)
-                  0.16    0.20    4.53 ^ mprj/u_intercon/output596/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_mbist1_dat_o[19] (net)
-                  0.16    0.00    4.53 ^ mprj/u_mbist1/input170/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    4.69 ^ mprj/u_mbist1/input170/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_mbist1/net170 (net)
-                  0.12    0.00    4.69 ^ mprj/u_mbist1/_3906_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    4.82 ^ mprj/u_mbist1/_3906_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/_0540_ (net)
-                  0.04    0.00    4.82 ^ mprj/u_mbist1/_4590_/D (sky130_fd_sc_hd__dfrtp_4)
-                                  4.82   data arrival time
-
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.24    0.53 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
-     2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.21    0.76 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02    0.77 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.13    0.90 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00    0.90 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.17    1.07 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
-     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00    1.07 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    1.22 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00    1.22 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    2.07 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    2.07 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.60 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00    2.60 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.46 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05    3.51 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.84 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.85 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.99 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00    3.99 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.13 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00    4.13 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.24 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00    4.25 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.41    4.66 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
-                  0.44    0.02    4.67 ^ mprj/u_mbist1/clkbuf_opt_5_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.24    4.91 ^ mprj/u_mbist1/clkbuf_opt_5_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_5_0_wb_clk_i (net)
-                  0.05    0.00    4.91 ^ mprj/u_mbist1/clkbuf_opt_5_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.04 ^ mprj/u_mbist1/clkbuf_opt_5_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_5_1_wb_clk_i (net)
-                  0.04    0.00    5.05 ^ mprj/u_mbist1/clkbuf_opt_5_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.17 ^ mprj/u_mbist1/clkbuf_opt_5_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_5_2_wb_clk_i (net)
-                  0.04    0.00    5.18 ^ mprj/u_mbist1/clkbuf_opt_5_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    5.31 ^ mprj/u_mbist1/clkbuf_opt_5_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_5_3_wb_clk_i (net)
-                  0.05    0.00    5.31 ^ mprj/u_mbist1/clkbuf_leaf_16_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.18    5.49 ^ mprj/u_mbist1/clkbuf_leaf_16_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_mbist1/clknet_leaf_16_wb_clk_i (net)
-                  0.10    0.00    5.49 ^ mprj/u_mbist1/_4590_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                          0.10    5.59   clock uncertainty
-                          0.00    5.59   clock reconvergence pessimism
-                         -0.03    5.57   library hold time
-                                  5.57   data required time
------------------------------------------------------------------------------
-                                  5.57   data required time
-                                 -4.82   data arrival time
------------------------------------------------------------------------------
-                                 -0.74   slack (VIOLATED)
-
-
-Startpoint: mprj/u_intercon/_4160_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist1/_4601_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input317/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.20    0.25    0.26 ^ mprj/u_intercon/input317/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.02                           mprj/u_intercon/net317 (net)
-                  0.20    0.00    0.26 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.14    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d1 (net)
-                  0.09    0.00    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d2 (net)
-                  0.07    0.00    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d3 (net)
-                  0.10    0.00    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d4 (net)
-                  0.08    0.00    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d5 (net)
-                  0.08    0.00    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d6 (net)
-                  0.07    0.00    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d7 (net)
-                  0.08    0.00    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.18 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d8 (net)
-                  0.08    0.00    1.18 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d04 (net)
-                  0.05    0.00    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.12    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d12 (net)
-                  0.06    0.00    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d21 (net)
-                  0.05    0.00    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    1.69 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_intercon/net626 (net)
-                  0.07    0.00    1.69 ^ mprj/u_intercon/output626/A (sky130_fd_sc_hd__buf_2)
-                  0.80    0.60    2.29 ^ mprj/u_intercon/output626/X (sky130_fd_sc_hd__buf_2)
-     2    0.17                           mprj/wbd_clk_wi_skew (net)
-                  0.81    0.04    2.33 ^ mprj/u_intercon/clkbuf_0_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.28    2.61 ^ mprj/u_intercon/clkbuf_0_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_intercon/clknet_0_clk_i (net)
-                  0.07    0.00    2.61 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.74 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_intercon/clknet_1_1_0_clk_i (net)
-                  0.04    0.00    2.74 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.86 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_1_clk_i (net)
-                  0.04    0.00    2.86 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.11    2.97 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_2_clk_i (net)
-                  0.04    0.00    2.97 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.13    3.11 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_1_1_3_clk_i (net)
-                  0.07    0.00    3.11 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    3.25 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_2_2_0_clk_i (net)
-                  0.06    0.00    3.25 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    3.37 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_3_5_0_clk_i (net)
-                  0.04    0.00    3.37 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.21    0.24    3.61 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.12                           mprj/u_intercon/clknet_3_5_1_clk_i (net)
-                  0.21    0.01    3.62 ^ mprj/u_intercon/clkbuf_leaf_18_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.12    0.23    3.85 ^ mprj/u_intercon/clkbuf_leaf_18_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.10                           mprj/u_intercon/clknet_leaf_18_clk_i (net)
-                  0.12    0.00    3.85 ^ mprj/u_intercon/_4160_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.07    0.35    4.20 ^ mprj/u_intercon/_4160_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_intercon/u_s3.u_sync_wbb.s_cmd_rd_data_l[48] (net)
-                  0.07    0.00    4.20 ^ mprj/u_intercon/_2176_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.16    4.36 ^ mprj/u_intercon/_2176_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_intercon/net609 (net)
-                  0.09    0.00    4.36 ^ mprj/u_intercon/output609/A (sky130_fd_sc_hd__buf_2)
-                  0.20    0.23    4.59 ^ mprj/u_intercon/output609/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_mbist1_dat_o[30] (net)
-                  0.20    0.00    4.59 ^ mprj/u_mbist1/input183/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.13    4.72 ^ mprj/u_mbist1/input183/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_mbist1/net183 (net)
-                  0.07    0.00    4.72 ^ mprj/u_mbist1/_3917_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.85 ^ mprj/u_mbist1/_3917_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/_0551_ (net)
-                  0.05    0.00    4.85 ^ mprj/u_mbist1/_4601_/D (sky130_fd_sc_hd__dfrtp_4)
-                                  4.85   data arrival time
-
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.24    0.53 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
-     2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.21    0.76 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02    0.77 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.13    0.90 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00    0.90 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.17    1.07 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
-     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00    1.07 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    1.22 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00    1.22 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    2.07 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    2.07 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.60 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00    2.60 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.46 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05    3.51 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.84 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.85 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.99 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00    3.99 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.13 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00    4.13 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.24 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00    4.25 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.41    4.66 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
-                  0.44    0.02    4.67 ^ mprj/u_mbist1/clkbuf_opt_5_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.24    4.91 ^ mprj/u_mbist1/clkbuf_opt_5_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_5_0_wb_clk_i (net)
-                  0.05    0.00    4.91 ^ mprj/u_mbist1/clkbuf_opt_5_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.04 ^ mprj/u_mbist1/clkbuf_opt_5_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_5_1_wb_clk_i (net)
-                  0.04    0.00    5.05 ^ mprj/u_mbist1/clkbuf_opt_5_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.17 ^ mprj/u_mbist1/clkbuf_opt_5_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_5_2_wb_clk_i (net)
-                  0.04    0.00    5.18 ^ mprj/u_mbist1/clkbuf_opt_5_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    5.31 ^ mprj/u_mbist1/clkbuf_opt_5_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_5_3_wb_clk_i (net)
-                  0.05    0.00    5.31 ^ mprj/u_mbist1/clkbuf_leaf_16_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.18    5.49 ^ mprj/u_mbist1/clkbuf_leaf_16_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_mbist1/clknet_leaf_16_wb_clk_i (net)
-                  0.10    0.00    5.49 ^ mprj/u_mbist1/_4601_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                          0.10    5.59   clock uncertainty
-                          0.00    5.59   clock reconvergence pessimism
-                         -0.03    5.57   library hold time
-                                  5.57   data required time
------------------------------------------------------------------------------
-                                  5.57   data required time
-                                 -4.85   data arrival time
------------------------------------------------------------------------------
-                                 -0.72   slack (VIOLATED)
-
-
-Startpoint: mprj/u_intercon/_4151_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist1/_4592_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input317/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.20    0.25    0.26 ^ mprj/u_intercon/input317/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.02                           mprj/u_intercon/net317 (net)
-                  0.20    0.00    0.26 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.14    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d1 (net)
-                  0.09    0.00    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d2 (net)
-                  0.07    0.00    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d3 (net)
-                  0.10    0.00    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d4 (net)
-                  0.08    0.00    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d5 (net)
-                  0.08    0.00    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d6 (net)
-                  0.07    0.00    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d7 (net)
-                  0.08    0.00    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.18 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d8 (net)
-                  0.08    0.00    1.18 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d04 (net)
-                  0.05    0.00    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.12    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d12 (net)
-                  0.06    0.00    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d21 (net)
-                  0.05    0.00    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    1.69 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_intercon/net626 (net)
-                  0.07    0.00    1.69 ^ mprj/u_intercon/output626/A (sky130_fd_sc_hd__buf_2)
-                  0.80    0.60    2.29 ^ mprj/u_intercon/output626/X (sky130_fd_sc_hd__buf_2)
-     2    0.17                           mprj/wbd_clk_wi_skew (net)
-                  0.81    0.04    2.33 ^ mprj/u_intercon/clkbuf_0_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.28    2.61 ^ mprj/u_intercon/clkbuf_0_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_intercon/clknet_0_clk_i (net)
-                  0.07    0.00    2.61 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.74 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_intercon/clknet_1_1_0_clk_i (net)
-                  0.04    0.00    2.74 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.86 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_1_clk_i (net)
-                  0.04    0.00    2.86 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.11    2.97 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_2_clk_i (net)
-                  0.04    0.00    2.97 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.13    3.11 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_1_1_3_clk_i (net)
-                  0.07    0.00    3.11 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    3.25 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_2_2_0_clk_i (net)
-                  0.06    0.00    3.25 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    3.37 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_3_5_0_clk_i (net)
-                  0.04    0.00    3.37 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.21    0.24    3.61 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.12                           mprj/u_intercon/clknet_3_5_1_clk_i (net)
-                  0.21    0.01    3.62 ^ mprj/u_intercon/clkbuf_leaf_19_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.09    0.22    3.84 ^ mprj/u_intercon/clkbuf_leaf_19_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.08                           mprj/u_intercon/clknet_leaf_19_clk_i (net)
-                  0.10    0.00    3.84 ^ mprj/u_intercon/_4151_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.06    0.34    4.18 ^ mprj/u_intercon/_4151_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_intercon/u_s3.u_sync_wbb.s_cmd_rd_data_l[39] (net)
-                  0.06    0.00    4.18 ^ mprj/u_intercon/_2158_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.17    4.34 ^ mprj/u_intercon/_2158_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_intercon/net599 (net)
-                  0.10    0.00    4.34 ^ mprj/u_intercon/output599/A (sky130_fd_sc_hd__buf_2)
-                  0.18    0.22    4.56 ^ mprj/u_intercon/output599/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_mbist1_dat_o[21] (net)
-                  0.18    0.00    4.56 ^ mprj/u_mbist1/input173/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.14    0.17    4.74 ^ mprj/u_mbist1/input173/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_mbist1/net173 (net)
-                  0.14    0.00    4.74 ^ mprj/u_mbist1/_3908_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.15    4.89 ^ mprj/u_mbist1/_3908_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/_0542_ (net)
-                  0.05    0.00    4.89 ^ mprj/u_mbist1/_4592_/D (sky130_fd_sc_hd__dfrtp_4)
-                                  4.89   data arrival time
-
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.24    0.53 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
-     2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.21    0.76 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02    0.77 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.13    0.90 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00    0.90 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.17    1.07 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
-     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00    1.07 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    1.22 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00    1.22 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    2.07 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    2.07 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.60 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00    2.60 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.46 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05    3.51 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.84 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.85 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.99 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00    3.99 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.13 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00    4.13 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.24 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00    4.25 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.41    4.66 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
-                  0.44    0.02    4.67 ^ mprj/u_mbist1/clkbuf_opt_5_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.24    4.91 ^ mprj/u_mbist1/clkbuf_opt_5_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_5_0_wb_clk_i (net)
-                  0.05    0.00    4.91 ^ mprj/u_mbist1/clkbuf_opt_5_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.04 ^ mprj/u_mbist1/clkbuf_opt_5_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_5_1_wb_clk_i (net)
-                  0.04    0.00    5.05 ^ mprj/u_mbist1/clkbuf_opt_5_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.17 ^ mprj/u_mbist1/clkbuf_opt_5_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_5_2_wb_clk_i (net)
-                  0.04    0.00    5.18 ^ mprj/u_mbist1/clkbuf_opt_5_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    5.31 ^ mprj/u_mbist1/clkbuf_opt_5_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_5_3_wb_clk_i (net)
-                  0.05    0.00    5.31 ^ mprj/u_mbist1/clkbuf_leaf_16_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.18    5.49 ^ mprj/u_mbist1/clkbuf_leaf_16_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_mbist1/clknet_leaf_16_wb_clk_i (net)
-                  0.10    0.00    5.49 ^ mprj/u_mbist1/_4592_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                          0.10    5.59   clock uncertainty
-                          0.00    5.59   clock reconvergence pessimism
-                         -0.03    5.57   library hold time
-                                  5.57   data required time
------------------------------------------------------------------------------
-                                  5.57   data required time
-                                 -4.89   data arrival time
------------------------------------------------------------------------------
-                                 -0.68   slack (VIOLATED)
-
-
-Startpoint: mprj/u_intercon/_4139_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist1/_4580_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input317/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.20    0.25    0.26 ^ mprj/u_intercon/input317/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.02                           mprj/u_intercon/net317 (net)
-                  0.20    0.00    0.26 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.14    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d1 (net)
-                  0.09    0.00    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d2 (net)
-                  0.07    0.00    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d3 (net)
-                  0.10    0.00    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d4 (net)
-                  0.08    0.00    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d5 (net)
-                  0.08    0.00    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d6 (net)
-                  0.07    0.00    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d7 (net)
-                  0.08    0.00    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.18 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d8 (net)
-                  0.08    0.00    1.18 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d04 (net)
-                  0.05    0.00    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.12    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d12 (net)
-                  0.06    0.00    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d21 (net)
-                  0.05    0.00    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    1.69 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_intercon/net626 (net)
-                  0.07    0.00    1.69 ^ mprj/u_intercon/output626/A (sky130_fd_sc_hd__buf_2)
-                  0.80    0.60    2.29 ^ mprj/u_intercon/output626/X (sky130_fd_sc_hd__buf_2)
-     2    0.17                           mprj/wbd_clk_wi_skew (net)
-                  0.81    0.04    2.33 ^ mprj/u_intercon/clkbuf_0_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.28    2.61 ^ mprj/u_intercon/clkbuf_0_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_intercon/clknet_0_clk_i (net)
-                  0.07    0.00    2.61 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.74 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_intercon/clknet_1_1_0_clk_i (net)
-                  0.04    0.00    2.74 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.86 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_1_clk_i (net)
-                  0.04    0.00    2.86 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.11    2.97 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_2_clk_i (net)
-                  0.04    0.00    2.97 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.13    3.11 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_1_1_3_clk_i (net)
-                  0.07    0.00    3.11 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    3.25 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_2_2_0_clk_i (net)
-                  0.06    0.00    3.25 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    3.37 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_3_5_0_clk_i (net)
-                  0.04    0.00    3.37 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.21    0.24    3.61 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.12                           mprj/u_intercon/clknet_3_5_1_clk_i (net)
-                  0.21    0.00    3.62 ^ mprj/u_intercon/clkbuf_leaf_16_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.22    3.84 ^ mprj/u_intercon/clkbuf_leaf_16_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_intercon/clknet_leaf_16_clk_i (net)
-                  0.10    0.00    3.84 ^ mprj/u_intercon/_4139_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.08    0.35    4.19 ^ mprj/u_intercon/_4139_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_intercon/u_s3.u_sync_wbb.s_cmd_rd_data_l[27] (net)
-                  0.08    0.00    4.19 ^ mprj/u_intercon/_2134_/A0 (sky130_fd_sc_hd__mux2_4)
-                  0.17    0.25    4.44 ^ mprj/u_intercon/_2134_/X (sky130_fd_sc_hd__mux2_4)
-     2    0.06                           mprj/u_intercon/net617 (net)
-                  0.17    0.01    4.45 ^ mprj/u_intercon/output617/A (sky130_fd_sc_hd__buf_2)
-                  0.16    0.22    4.67 ^ mprj/u_intercon/output617/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_mbist1_dat_o[9] (net)
-                  0.16    0.00    4.67 ^ mprj/u_mbist1/input191/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.11    4.78 ^ mprj/u_mbist1/input191/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_mbist1/net191 (net)
-                  0.06    0.00    4.78 ^ mprj/u_mbist1/_3896_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    4.90 ^ mprj/u_mbist1/_3896_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/_0530_ (net)
-                  0.04    0.00    4.90 ^ mprj/u_mbist1/_4580_/D (sky130_fd_sc_hd__dfrtp_4)
-                                  4.90   data arrival time
-
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.24    0.53 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
-     2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.21    0.76 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02    0.77 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.13    0.90 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00    0.90 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.17    1.07 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
-     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00    1.07 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    1.22 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00    1.22 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    2.07 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    2.07 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.60 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00    2.60 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.46 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05    3.51 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.84 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.85 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.99 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00    3.99 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.13 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00    4.13 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.24 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00    4.25 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.41    4.66 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
-                  0.44    0.01    4.67 ^ mprj/u_mbist1/clkbuf_opt_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.24    4.91 ^ mprj/u_mbist1/clkbuf_opt_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_4_0_wb_clk_i (net)
-                  0.05    0.00    4.91 ^ mprj/u_mbist1/clkbuf_opt_4_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.04 ^ mprj/u_mbist1/clkbuf_opt_4_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_4_1_wb_clk_i (net)
-                  0.04    0.00    5.04 ^ mprj/u_mbist1/clkbuf_opt_4_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.17 ^ mprj/u_mbist1/clkbuf_opt_4_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_4_2_wb_clk_i (net)
-                  0.04    0.00    5.17 ^ mprj/u_mbist1/clkbuf_opt_4_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14    5.31 ^ mprj/u_mbist1/clkbuf_opt_4_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.03                           mprj/u_mbist1/clknet_opt_4_3_wb_clk_i (net)
-                  0.05    0.00    5.31 ^ mprj/u_mbist1/clkbuf_leaf_13_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.19    5.50 ^ mprj/u_mbist1/clkbuf_leaf_13_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_mbist1/clknet_leaf_13_wb_clk_i (net)
-                  0.11    0.00    5.50 ^ mprj/u_mbist1/_4580_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                          0.10    5.60   clock uncertainty
-                          0.00    5.60   clock reconvergence pessimism
-                         -0.03    5.58   library hold time
-                                  5.58   data required time
------------------------------------------------------------------------------
-                                  5.58   data required time
-                                 -4.90   data arrival time
------------------------------------------------------------------------------
-                                 -0.68   slack (VIOLATED)
-
-
-Startpoint: mprj/u_intercon/_4138_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist1/_4579_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input317/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.20    0.25    0.26 ^ mprj/u_intercon/input317/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.02                           mprj/u_intercon/net317 (net)
-                  0.20    0.00    0.26 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.14    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d1 (net)
-                  0.09    0.00    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d2 (net)
-                  0.07    0.00    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d3 (net)
-                  0.10    0.00    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d4 (net)
-                  0.08    0.00    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d5 (net)
-                  0.08    0.00    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d6 (net)
-                  0.07    0.00    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d7 (net)
-                  0.08    0.00    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.18 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d8 (net)
-                  0.08    0.00    1.18 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d04 (net)
-                  0.05    0.00    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.12    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d12 (net)
-                  0.06    0.00    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d21 (net)
-                  0.05    0.00    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    1.69 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_intercon/net626 (net)
-                  0.07    0.00    1.69 ^ mprj/u_intercon/output626/A (sky130_fd_sc_hd__buf_2)
-                  0.80    0.60    2.29 ^ mprj/u_intercon/output626/X (sky130_fd_sc_hd__buf_2)
-     2    0.17                           mprj/wbd_clk_wi_skew (net)
-                  0.81    0.04    2.33 ^ mprj/u_intercon/clkbuf_0_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.28    2.61 ^ mprj/u_intercon/clkbuf_0_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_intercon/clknet_0_clk_i (net)
-                  0.07    0.00    2.61 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.74 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_intercon/clknet_1_1_0_clk_i (net)
-                  0.04    0.00    2.74 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.86 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_1_clk_i (net)
-                  0.04    0.00    2.86 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.11    2.97 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_2_clk_i (net)
-                  0.04    0.00    2.97 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.13    3.11 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_1_1_3_clk_i (net)
-                  0.07    0.00    3.11 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    3.25 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_2_2_0_clk_i (net)
-                  0.06    0.00    3.25 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    3.37 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_3_5_0_clk_i (net)
-                  0.04    0.00    3.37 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.21    0.24    3.61 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.12                           mprj/u_intercon/clknet_3_5_1_clk_i (net)
-                  0.21    0.00    3.62 ^ mprj/u_intercon/clkbuf_leaf_20_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.22    3.84 ^ mprj/u_intercon/clkbuf_leaf_20_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.08                           mprj/u_intercon/clknet_leaf_20_clk_i (net)
-                  0.10    0.00    3.84 ^ mprj/u_intercon/_4138_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.06    0.34    4.17 ^ mprj/u_intercon/_4138_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.00                           mprj/u_intercon/u_s3.u_sync_wbb.s_cmd_rd_data_l[26] (net)
-                  0.06    0.00    4.17 ^ mprj/u_intercon/_2132_/A0 (sky130_fd_sc_hd__mux2_2)
-                  0.20    0.27    4.44 ^ mprj/u_intercon/_2132_/X (sky130_fd_sc_hd__mux2_2)
-     2    0.04                           mprj/u_intercon/net616 (net)
-                  0.20    0.00    4.44 ^ mprj/u_intercon/output616/A (sky130_fd_sc_hd__buf_2)
-                  0.18    0.25    4.69 ^ mprj/u_intercon/output616/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_mbist1_dat_o[8] (net)
-                  0.18    0.00    4.69 ^ mprj/u_mbist1/input190/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.05    0.11    4.80 ^ mprj/u_mbist1/input190/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_mbist1/net190 (net)
-                  0.05    0.00    4.80 ^ mprj/u_mbist1/_3895_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    4.91 ^ mprj/u_mbist1/_3895_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/_0529_ (net)
-                  0.04    0.00    4.91 ^ mprj/u_mbist1/_4579_/D (sky130_fd_sc_hd__dfrtp_4)
-                                  4.91   data arrival time
-
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.24    0.53 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
-     2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.21    0.76 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02    0.77 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.13    0.90 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00    0.90 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.17    1.07 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
-     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00    1.07 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    1.22 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00    1.22 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    2.07 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    2.07 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.60 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00    2.60 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.46 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05    3.51 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.84 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.85 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.99 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00    3.99 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.13 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00    4.13 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.24 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00    4.25 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.41    4.66 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
-                  0.44    0.01    4.67 ^ mprj/u_mbist1/clkbuf_opt_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.24    4.91 ^ mprj/u_mbist1/clkbuf_opt_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_4_0_wb_clk_i (net)
-                  0.05    0.00    4.91 ^ mprj/u_mbist1/clkbuf_opt_4_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.04 ^ mprj/u_mbist1/clkbuf_opt_4_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_4_1_wb_clk_i (net)
-                  0.04    0.00    5.04 ^ mprj/u_mbist1/clkbuf_opt_4_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.17 ^ mprj/u_mbist1/clkbuf_opt_4_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_4_2_wb_clk_i (net)
-                  0.04    0.00    5.17 ^ mprj/u_mbist1/clkbuf_opt_4_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14    5.31 ^ mprj/u_mbist1/clkbuf_opt_4_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.03                           mprj/u_mbist1/clknet_opt_4_3_wb_clk_i (net)
-                  0.05    0.00    5.31 ^ mprj/u_mbist1/clkbuf_leaf_13_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.19    5.50 ^ mprj/u_mbist1/clkbuf_leaf_13_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_mbist1/clknet_leaf_13_wb_clk_i (net)
-                  0.11    0.00    5.50 ^ mprj/u_mbist1/_4579_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                          0.10    5.60   clock uncertainty
-                          0.00    5.60   clock reconvergence pessimism
-                         -0.02    5.58   library hold time
-                                  5.58   data required time
------------------------------------------------------------------------------
-                                  5.58   data required time
-                                 -4.91   data arrival time
------------------------------------------------------------------------------
-                                 -0.67   slack (VIOLATED)
-
-
-Startpoint: mprj/u_intercon/_4158_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist1/_4599_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input317/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.20    0.25    0.26 ^ mprj/u_intercon/input317/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.02                           mprj/u_intercon/net317 (net)
-                  0.20    0.00    0.26 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.14    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d1 (net)
-                  0.09    0.00    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d2 (net)
-                  0.07    0.00    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d3 (net)
-                  0.10    0.00    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d4 (net)
-                  0.08    0.00    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d5 (net)
-                  0.08    0.00    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d6 (net)
-                  0.07    0.00    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d7 (net)
-                  0.08    0.00    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.18 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d8 (net)
-                  0.08    0.00    1.18 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d04 (net)
-                  0.05    0.00    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.12    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d12 (net)
-                  0.06    0.00    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d21 (net)
-                  0.05    0.00    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    1.69 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_intercon/net626 (net)
-                  0.07    0.00    1.69 ^ mprj/u_intercon/output626/A (sky130_fd_sc_hd__buf_2)
-                  0.80    0.60    2.29 ^ mprj/u_intercon/output626/X (sky130_fd_sc_hd__buf_2)
-     2    0.17                           mprj/wbd_clk_wi_skew (net)
-                  0.81    0.04    2.33 ^ mprj/u_intercon/clkbuf_0_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.28    2.61 ^ mprj/u_intercon/clkbuf_0_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_intercon/clknet_0_clk_i (net)
-                  0.07    0.00    2.61 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.74 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_intercon/clknet_1_1_0_clk_i (net)
-                  0.04    0.00    2.74 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.86 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_1_clk_i (net)
-                  0.04    0.00    2.86 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.11    2.97 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_2_clk_i (net)
-                  0.04    0.00    2.97 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.13    3.11 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_1_1_3_clk_i (net)
-                  0.07    0.00    3.11 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    3.25 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_2_2_0_clk_i (net)
-                  0.06    0.00    3.25 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    3.37 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_3_5_0_clk_i (net)
-                  0.04    0.00    3.37 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.21    0.24    3.61 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.12                           mprj/u_intercon/clknet_3_5_1_clk_i (net)
-                  0.21    0.01    3.62 ^ mprj/u_intercon/clkbuf_leaf_18_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.12    0.23    3.85 ^ mprj/u_intercon/clkbuf_leaf_18_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.10                           mprj/u_intercon/clknet_leaf_18_clk_i (net)
-                  0.12    0.00    3.85 ^ mprj/u_intercon/_4158_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.07    0.35    4.20 ^ mprj/u_intercon/_4158_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_intercon/u_s3.u_sync_wbb.s_cmd_rd_data_l[46] (net)
-                  0.07    0.00    4.20 ^ mprj/u_intercon/_2172_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.16    4.36 ^ mprj/u_intercon/_2172_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_intercon/net606 (net)
-                  0.09    0.00    4.36 ^ mprj/u_intercon/output606/A (sky130_fd_sc_hd__buf_2)
-                  0.18    0.21    4.57 ^ mprj/u_intercon/output606/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_mbist1_dat_o[28] (net)
-                  0.18    0.00    4.57 ^ mprj/u_mbist1/input180/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    4.70 ^ mprj/u_mbist1/input180/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_mbist1/net180 (net)
-                  0.07    0.00    4.70 ^ mprj/u_mbist1/_3915_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    4.82 ^ mprj/u_mbist1/_3915_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/_0549_ (net)
-                  0.04    0.00    4.82 ^ mprj/u_mbist1/_4599_/D (sky130_fd_sc_hd__dfrtp_4)
-                                  4.82   data arrival time
-
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.24    0.53 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
-     2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.21    0.76 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02    0.77 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.13    0.90 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00    0.90 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.17    1.07 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
-     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00    1.07 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    1.22 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00    1.22 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    2.07 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    2.07 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.60 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00    2.60 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.46 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05    3.51 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.84 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.85 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.99 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00    3.99 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.13 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00    4.13 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.24 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00    4.25 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.41    4.66 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
-                  0.44    0.01    4.67 ^ mprj/u_mbist1/clkbuf_opt_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.24    4.91 ^ mprj/u_mbist1/clkbuf_opt_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_6_0_wb_clk_i (net)
-                  0.05    0.00    4.91 ^ mprj/u_mbist1/clkbuf_opt_6_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.04 ^ mprj/u_mbist1/clkbuf_opt_6_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_6_1_wb_clk_i (net)
-                  0.04    0.00    5.04 ^ mprj/u_mbist1/clkbuf_opt_6_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.14    5.19 ^ mprj/u_mbist1/clkbuf_opt_6_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.04                           mprj/u_mbist1/clknet_opt_6_2_wb_clk_i (net)
-                  0.06    0.00    5.19 ^ mprj/u_mbist1/clkbuf_leaf_17_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.19    5.38 ^ mprj/u_mbist1/clkbuf_leaf_17_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_mbist1/clknet_leaf_17_wb_clk_i (net)
-                  0.11    0.00    5.38 ^ mprj/u_mbist1/_4599_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                          0.10    5.48   clock uncertainty
-                          0.00    5.48   clock reconvergence pessimism
-                         -0.03    5.46   library hold time
-                                  5.46   data required time
------------------------------------------------------------------------------
-                                  5.46   data required time
-                                 -4.82   data arrival time
------------------------------------------------------------------------------
-                                 -0.64   slack (VIOLATED)
-
-
-Startpoint: mprj/u_intercon/_4137_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist1/_4528_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input317/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.20    0.25    0.26 ^ mprj/u_intercon/input317/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.02                           mprj/u_intercon/net317 (net)
-                  0.20    0.00    0.26 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.14    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d1 (net)
-                  0.09    0.00    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d2 (net)
-                  0.07    0.00    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d3 (net)
-                  0.10    0.00    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d4 (net)
-                  0.08    0.00    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d5 (net)
-                  0.08    0.00    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d6 (net)
-                  0.07    0.00    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d7 (net)
-                  0.08    0.00    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.18 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d8 (net)
-                  0.08    0.00    1.18 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d04 (net)
-                  0.05    0.00    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.12    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d12 (net)
-                  0.06    0.00    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d21 (net)
-                  0.05    0.00    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    1.69 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_intercon/net626 (net)
-                  0.07    0.00    1.69 ^ mprj/u_intercon/output626/A (sky130_fd_sc_hd__buf_2)
-                  0.80    0.60    2.29 ^ mprj/u_intercon/output626/X (sky130_fd_sc_hd__buf_2)
-     2    0.17                           mprj/wbd_clk_wi_skew (net)
-                  0.81    0.04    2.33 ^ mprj/u_intercon/clkbuf_0_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.28    2.61 ^ mprj/u_intercon/clkbuf_0_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_intercon/clknet_0_clk_i (net)
-                  0.07    0.00    2.61 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.74 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_intercon/clknet_1_1_0_clk_i (net)
-                  0.04    0.00    2.74 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.86 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_1_clk_i (net)
-                  0.04    0.00    2.86 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.11    2.97 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_2_clk_i (net)
-                  0.04    0.00    2.97 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.13    3.11 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_1_1_3_clk_i (net)
-                  0.07    0.00    3.11 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    3.25 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_2_2_0_clk_i (net)
-                  0.06    0.00    3.25 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    3.37 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_3_5_0_clk_i (net)
-                  0.04    0.00    3.37 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.21    0.24    3.61 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.12                           mprj/u_intercon/clknet_3_5_1_clk_i (net)
-                  0.21    0.01    3.62 ^ mprj/u_intercon/clkbuf_leaf_18_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.12    0.23    3.85 ^ mprj/u_intercon/clkbuf_leaf_18_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.10                           mprj/u_intercon/clknet_leaf_18_clk_i (net)
-                  0.12    0.00    3.86 ^ mprj/u_intercon/_4137_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.06    0.34    4.20 ^ mprj/u_intercon/_4137_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.00                           mprj/u_intercon/u_s3.u_sync_wbb.s_cmd_rd_data_l[25] (net)
-                  0.06    0.00    4.20 ^ mprj/u_intercon/_2130_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.32 ^ mprj/u_intercon/_2130_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/net615 (net)
-                  0.05    0.00    4.32 ^ mprj/u_intercon/output615/A (sky130_fd_sc_hd__buf_2)
-                  0.16    0.19    4.51 ^ mprj/u_intercon/output615/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_mbist1_dat_o[7] (net)
-                  0.16    0.00    4.52 ^ mprj/u_mbist1/input189/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.23    0.26    4.78 ^ mprj/u_mbist1/input189/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.04                           mprj/u_mbist1/net189 (net)
-                  0.23    0.00    4.78 ^ mprj/u_mbist1/_3768_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.16    4.94 ^ mprj/u_mbist1/_3768_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/_0478_ (net)
-                  0.04    0.00    4.94 ^ mprj/u_mbist1/_4528_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  4.94   data arrival time
-
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.24    0.53 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
-     2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.21    0.76 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02    0.77 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.13    0.90 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00    0.90 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.17    1.07 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
-     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00    1.07 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    1.22 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00    1.22 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    2.07 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    2.07 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.60 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00    2.60 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.46 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05    3.51 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.84 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.85 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.99 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00    3.99 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.13 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00    4.13 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.24 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00    4.25 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.41    4.66 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
-                  0.44    0.01    4.67 ^ mprj/u_mbist1/clkbuf_opt_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.24    4.91 ^ mprj/u_mbist1/clkbuf_opt_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_3_0_wb_clk_i (net)
-                  0.05    0.00    4.91 ^ mprj/u_mbist1/clkbuf_opt_3_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.04 ^ mprj/u_mbist1/clkbuf_opt_3_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_3_1_wb_clk_i (net)
-                  0.04    0.00    5.04 ^ mprj/u_mbist1/clkbuf_opt_3_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.17 ^ mprj/u_mbist1/clkbuf_opt_3_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_3_2_wb_clk_i (net)
-                  0.04    0.00    5.17 ^ mprj/u_mbist1/clkbuf_opt_3_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14    5.31 ^ mprj/u_mbist1/clkbuf_opt_3_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.03                           mprj/u_mbist1/clknet_opt_3_3_wb_clk_i (net)
-                  0.05    0.00    5.31 ^ mprj/u_mbist1/clkbuf_leaf_12_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.18    5.49 ^ mprj/u_mbist1/clkbuf_leaf_12_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.08                           mprj/u_mbist1/clknet_leaf_12_wb_clk_i (net)
-                  0.10    0.00    5.49 ^ mprj/u_mbist1/_4528_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    5.59   clock uncertainty
-                          0.00    5.59   clock reconvergence pessimism
-                         -0.03    5.56   library hold time
-                                  5.56   data required time
------------------------------------------------------------------------------
-                                  5.56   data required time
-                                 -4.94   data arrival time
------------------------------------------------------------------------------
-                                 -0.62   slack (VIOLATED)
-
-
-Startpoint: mprj/u_intercon/_4141_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist1/_4582_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input317/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.20    0.25    0.26 ^ mprj/u_intercon/input317/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.02                           mprj/u_intercon/net317 (net)
-                  0.20    0.00    0.26 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.14    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d1 (net)
-                  0.09    0.00    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d2 (net)
-                  0.07    0.00    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d3 (net)
-                  0.10    0.00    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d4 (net)
-                  0.08    0.00    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d5 (net)
-                  0.08    0.00    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d6 (net)
-                  0.07    0.00    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d7 (net)
-                  0.08    0.00    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.18 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d8 (net)
-                  0.08    0.00    1.18 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d04 (net)
-                  0.05    0.00    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.12    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d12 (net)
-                  0.06    0.00    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d21 (net)
-                  0.05    0.00    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    1.69 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_intercon/net626 (net)
-                  0.07    0.00    1.69 ^ mprj/u_intercon/output626/A (sky130_fd_sc_hd__buf_2)
-                  0.80    0.60    2.29 ^ mprj/u_intercon/output626/X (sky130_fd_sc_hd__buf_2)
-     2    0.17                           mprj/wbd_clk_wi_skew (net)
-                  0.81    0.04    2.33 ^ mprj/u_intercon/clkbuf_0_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.28    2.61 ^ mprj/u_intercon/clkbuf_0_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_intercon/clknet_0_clk_i (net)
-                  0.07    0.00    2.61 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.74 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_intercon/clknet_1_1_0_clk_i (net)
-                  0.04    0.00    2.74 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.86 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_1_clk_i (net)
-                  0.04    0.00    2.86 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.11    2.97 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_2_clk_i (net)
-                  0.04    0.00    2.97 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.13    3.11 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_1_1_3_clk_i (net)
-                  0.07    0.00    3.11 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    3.25 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_2_2_0_clk_i (net)
-                  0.06    0.00    3.25 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    3.37 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_3_5_0_clk_i (net)
-                  0.04    0.00    3.37 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.21    0.24    3.61 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.12                           mprj/u_intercon/clknet_3_5_1_clk_i (net)
-                  0.21    0.00    3.61 ^ mprj/u_intercon/clkbuf_leaf_17_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.22    3.83 ^ mprj/u_intercon/clkbuf_leaf_17_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.08                           mprj/u_intercon/clknet_leaf_17_clk_i (net)
-                  0.10    0.00    3.83 ^ mprj/u_intercon/_4141_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.07    0.34    4.18 ^ mprj/u_intercon/_4141_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_intercon/u_s3.u_sync_wbb.s_cmd_rd_data_l[29] (net)
-                  0.07    0.00    4.18 ^ mprj/u_intercon/_2138_/A0 (sky130_fd_sc_hd__mux2_2)
-                  0.23    0.29    4.46 ^ mprj/u_intercon/_2138_/X (sky130_fd_sc_hd__mux2_2)
-     2    0.04                           mprj/u_intercon/net588 (net)
-                  0.23    0.01    4.47 ^ mprj/u_intercon/output588/A (sky130_fd_sc_hd__buf_2)
-                  0.18    0.25    4.72 ^ mprj/u_intercon/output588/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_mbist1_dat_o[11] (net)
-                  0.18    0.00    4.73 ^ mprj/u_mbist1/input162/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.12    4.84 ^ mprj/u_mbist1/input162/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_mbist1/net162 (net)
-                  0.06    0.00    4.84 ^ mprj/u_mbist1/_3898_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    4.96 ^ mprj/u_mbist1/_3898_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/_0532_ (net)
-                  0.04    0.00    4.96 ^ mprj/u_mbist1/_4582_/D (sky130_fd_sc_hd__dfrtp_1)
+                  0.06    0.00    4.96 ^ mprj/u_mbist1/_4591_/D (sky130_fd_sc_hd__dfrtp_1)
                                   4.96   data arrival time
 
                           0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.24    0.53 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.31    0.31 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00    0.32 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.23    0.55 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
      2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.21    0.76 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+                  0.20    0.03    0.58 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.21    0.80 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
      2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02    0.77 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.13    0.90 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.02    0.81 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.12    0.94 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00    0.90 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.17    1.07 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+                  0.06    0.00    0.94 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.17    1.10 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
      2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00    1.07 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    1.22 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.00    1.11 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.16    1.26 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00    1.22 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00    1.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.00    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.50 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    2.07 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    2.07 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00    1.50 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
      1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.60 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.02 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00    2.60 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.46 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05    3.51 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.84 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00    2.02 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.26 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00    2.27 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.25    2.52 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00    2.52 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.20    2.72 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00    2.73 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.17    2.90 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00    2.91 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17    3.08 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.85 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.99 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    3.08 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.14    3.22 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00    3.99 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.13 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00    4.13 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.24 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.00    3.22 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.35 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00    3.35 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    3.46 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00    4.25 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.41    4.66 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
-                  0.44    0.01    4.67 ^ mprj/u_mbist1/clkbuf_opt_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.24    4.91 ^ mprj/u_mbist1/clkbuf_opt_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_4_0_wb_clk_i (net)
-                  0.05    0.00    4.91 ^ mprj/u_mbist1/clkbuf_opt_4_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.04 ^ mprj/u_mbist1/clkbuf_opt_4_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_4_1_wb_clk_i (net)
-                  0.04    0.00    5.04 ^ mprj/u_mbist1/clkbuf_opt_4_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.17 ^ mprj/u_mbist1/clkbuf_opt_4_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_4_2_wb_clk_i (net)
-                  0.04    0.00    5.17 ^ mprj/u_mbist1/clkbuf_opt_4_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14    5.31 ^ mprj/u_mbist1/clkbuf_opt_4_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.03                           mprj/u_mbist1/clknet_opt_4_3_wb_clk_i (net)
-                  0.05    0.00    5.31 ^ mprj/u_mbist1/clkbuf_leaf_13_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.19    5.50 ^ mprj/u_mbist1/clkbuf_leaf_13_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_mbist1/clknet_leaf_13_wb_clk_i (net)
-                  0.11    0.00    5.50 ^ mprj/u_mbist1/_4582_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    5.60   clock uncertainty
-                          0.00    5.60   clock reconvergence pessimism
-                         -0.02    5.58   library hold time
-                                  5.58   data required time
+                  0.03    0.00    3.46 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.19    3.66 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00    3.66 ^ mprj/u_mbist1/wire7/A (sky130_fd_sc_hd__buf_8)
+                  0.20    0.24    3.90 ^ mprj/u_mbist1/wire7/X (sky130_fd_sc_hd__buf_8)
+    18    0.12                           mprj/u_mbist1/net2100 (net)
+                  0.20    0.01    3.91 ^ mprj/u_mbist1/clkbuf_opt_5_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    4.09 ^ mprj/u_mbist1/clkbuf_opt_5_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist1/clknet_opt_5_0_wb_clk_i (net)
+                  0.04    0.00    4.09 ^ mprj/u_mbist1/clkbuf_opt_5_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.22 ^ mprj/u_mbist1/clkbuf_opt_5_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist1/clknet_opt_5_1_wb_clk_i (net)
+                  0.04    0.00    4.22 ^ mprj/u_mbist1/clkbuf_opt_5_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.35 ^ mprj/u_mbist1/clkbuf_opt_5_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist1/clknet_opt_5_2_wb_clk_i (net)
+                  0.04    0.00    4.35 ^ mprj/u_mbist1/clkbuf_opt_5_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.48 ^ mprj/u_mbist1/clkbuf_opt_5_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist1/clknet_opt_5_3_wb_clk_i (net)
+                  0.04    0.00    4.49 ^ mprj/u_mbist1/clkbuf_leaf_16_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.18    4.67 ^ mprj/u_mbist1/clkbuf_leaf_16_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           mprj/u_mbist1/clknet_leaf_16_wb_clk_i (net)
+                  0.10    0.00    4.67 ^ mprj/u_mbist1/_4591_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    4.77   clock uncertainty
+                          0.00    4.77   clock reconvergence pessimism
+                         -0.03    4.74   library hold time
+                                  4.74   data required time
 -----------------------------------------------------------------------------
-                                  5.58   data required time
+                                  4.74   data required time
                                  -4.96   data arrival time
 -----------------------------------------------------------------------------
-                                 -0.62   slack (VIOLATED)
-
-
-Startpoint: mprj/u_intercon/_4148_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist1/_4589_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input317/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.20    0.25    0.26 ^ mprj/u_intercon/input317/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.02                           mprj/u_intercon/net317 (net)
-                  0.20    0.00    0.26 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.14    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d1 (net)
-                  0.09    0.00    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d2 (net)
-                  0.07    0.00    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d3 (net)
-                  0.10    0.00    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d4 (net)
-                  0.08    0.00    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d5 (net)
-                  0.08    0.00    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d6 (net)
-                  0.07    0.00    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d7 (net)
-                  0.08    0.00    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.18 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d8 (net)
-                  0.08    0.00    1.18 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d04 (net)
-                  0.05    0.00    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.12    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d12 (net)
-                  0.06    0.00    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d21 (net)
-                  0.05    0.00    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    1.69 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_intercon/net626 (net)
-                  0.07    0.00    1.69 ^ mprj/u_intercon/output626/A (sky130_fd_sc_hd__buf_2)
-                  0.80    0.60    2.29 ^ mprj/u_intercon/output626/X (sky130_fd_sc_hd__buf_2)
-     2    0.17                           mprj/wbd_clk_wi_skew (net)
-                  0.81    0.04    2.33 ^ mprj/u_intercon/clkbuf_0_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.28    2.61 ^ mprj/u_intercon/clkbuf_0_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_intercon/clknet_0_clk_i (net)
-                  0.07    0.00    2.61 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.74 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_intercon/clknet_1_1_0_clk_i (net)
-                  0.04    0.00    2.74 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.86 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_1_clk_i (net)
-                  0.04    0.00    2.86 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.11    2.97 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_2_clk_i (net)
-                  0.04    0.00    2.97 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.13    3.11 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_1_1_3_clk_i (net)
-                  0.07    0.00    3.11 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    3.25 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_2_2_0_clk_i (net)
-                  0.06    0.00    3.25 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    3.37 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_3_5_0_clk_i (net)
-                  0.04    0.00    3.37 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.21    0.24    3.61 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.12                           mprj/u_intercon/clknet_3_5_1_clk_i (net)
-                  0.21    0.00    3.61 ^ mprj/u_intercon/clkbuf_leaf_17_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.22    3.83 ^ mprj/u_intercon/clkbuf_leaf_17_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.08                           mprj/u_intercon/clknet_leaf_17_clk_i (net)
-                  0.10    0.00    3.84 ^ mprj/u_intercon/_4148_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.07    0.34    4.18 ^ mprj/u_intercon/_4148_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_intercon/u_s3.u_sync_wbb.s_cmd_rd_data_l[36] (net)
-                  0.07    0.00    4.18 ^ mprj/u_intercon/_2152_/A0 (sky130_fd_sc_hd__mux2_2)
-                  0.16    0.24    4.41 ^ mprj/u_intercon/_2152_/X (sky130_fd_sc_hd__mux2_2)
-     2    0.03                           mprj/u_intercon/net595 (net)
-                  0.16    0.00    4.42 ^ mprj/u_intercon/output595/A (sky130_fd_sc_hd__buf_2)
-                  0.19    0.24    4.66 ^ mprj/u_intercon/output595/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_mbist1_dat_o[18] (net)
-                  0.19    0.00    4.66 ^ mprj/u_mbist1/input169/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    4.82 ^ mprj/u_mbist1/input169/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_mbist1/net169 (net)
-                  0.12    0.00    4.82 ^ mprj/u_mbist1/_3905_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    4.95 ^ mprj/u_mbist1/_3905_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/_0539_ (net)
-                  0.04    0.00    4.95 ^ mprj/u_mbist1/_4589_/D (sky130_fd_sc_hd__dfrtp_4)
-                                  4.95   data arrival time
-
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.24    0.53 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
-     2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.21    0.76 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02    0.77 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.13    0.90 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00    0.90 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.17    1.07 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
-     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00    1.07 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    1.22 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00    1.22 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    2.07 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    2.07 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.60 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00    2.60 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.46 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05    3.51 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.84 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.85 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.99 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00    3.99 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.13 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00    4.13 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.24 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00    4.25 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.41    4.66 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
-                  0.44    0.01    4.67 ^ mprj/u_mbist1/clkbuf_opt_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.24    4.91 ^ mprj/u_mbist1/clkbuf_opt_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_3_0_wb_clk_i (net)
-                  0.05    0.00    4.91 ^ mprj/u_mbist1/clkbuf_opt_3_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.04 ^ mprj/u_mbist1/clkbuf_opt_3_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_3_1_wb_clk_i (net)
-                  0.04    0.00    5.04 ^ mprj/u_mbist1/clkbuf_opt_3_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.17 ^ mprj/u_mbist1/clkbuf_opt_3_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_3_2_wb_clk_i (net)
-                  0.04    0.00    5.17 ^ mprj/u_mbist1/clkbuf_opt_3_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14    5.31 ^ mprj/u_mbist1/clkbuf_opt_3_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.03                           mprj/u_mbist1/clknet_opt_3_3_wb_clk_i (net)
-                  0.05    0.00    5.31 ^ mprj/u_mbist1/clkbuf_leaf_12_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.18    5.49 ^ mprj/u_mbist1/clkbuf_leaf_12_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.08                           mprj/u_mbist1/clknet_leaf_12_wb_clk_i (net)
-                  0.10    0.00    5.49 ^ mprj/u_mbist1/_4589_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                          0.10    5.59   clock uncertainty
-                          0.00    5.59   clock reconvergence pessimism
-                         -0.03    5.57   library hold time
-                                  5.57   data required time
------------------------------------------------------------------------------
-                                  5.57   data required time
-                                 -4.95   data arrival time
------------------------------------------------------------------------------
-                                 -0.61   slack (VIOLATED)
-
-
-Startpoint: mprj/u_intercon/_4143_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist1/_4584_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input317/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.20    0.25    0.26 ^ mprj/u_intercon/input317/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.02                           mprj/u_intercon/net317 (net)
-                  0.20    0.00    0.26 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.14    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d1 (net)
-                  0.09    0.00    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d2 (net)
-                  0.07    0.00    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d3 (net)
-                  0.10    0.00    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d4 (net)
-                  0.08    0.00    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d5 (net)
-                  0.08    0.00    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d6 (net)
-                  0.07    0.00    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d7 (net)
-                  0.08    0.00    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.18 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d8 (net)
-                  0.08    0.00    1.18 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d04 (net)
-                  0.05    0.00    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.12    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d12 (net)
-                  0.06    0.00    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d21 (net)
-                  0.05    0.00    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    1.69 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_intercon/net626 (net)
-                  0.07    0.00    1.69 ^ mprj/u_intercon/output626/A (sky130_fd_sc_hd__buf_2)
-                  0.80    0.60    2.29 ^ mprj/u_intercon/output626/X (sky130_fd_sc_hd__buf_2)
-     2    0.17                           mprj/wbd_clk_wi_skew (net)
-                  0.81    0.04    2.33 ^ mprj/u_intercon/clkbuf_0_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.28    2.61 ^ mprj/u_intercon/clkbuf_0_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_intercon/clknet_0_clk_i (net)
-                  0.07    0.00    2.61 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.74 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_intercon/clknet_1_1_0_clk_i (net)
-                  0.04    0.00    2.74 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.86 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_1_clk_i (net)
-                  0.04    0.00    2.86 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.11    2.97 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_2_clk_i (net)
-                  0.04    0.00    2.97 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.13    3.11 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_1_1_3_clk_i (net)
-                  0.07    0.00    3.11 ^ mprj/u_intercon/clkbuf_2_3_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.15    3.25 ^ mprj/u_intercon/clkbuf_2_3_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_2_3_0_clk_i (net)
-                  0.07    0.00    3.26 ^ mprj/u_intercon/clkbuf_3_6_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    3.38 ^ mprj/u_intercon/clkbuf_3_6_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_3_6_0_clk_i (net)
-                  0.04    0.00    3.38 ^ mprj/u_intercon/clkbuf_3_6_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.26    0.27    3.65 ^ mprj/u_intercon/clkbuf_3_6_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.15                           mprj/u_intercon/clknet_3_6_1_clk_i (net)
-                  0.26    0.00    3.65 ^ mprj/u_intercon/clkbuf_leaf_15_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.12    0.25    3.90 ^ mprj/u_intercon/clkbuf_leaf_15_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.11                           mprj/u_intercon/clknet_leaf_15_clk_i (net)
-                  0.12    0.00    3.90 ^ mprj/u_intercon/_4143_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.07    0.35    4.26 ^ mprj/u_intercon/_4143_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_intercon/u_s3.u_sync_wbb.s_cmd_rd_data_l[31] (net)
-                  0.07    0.00    4.26 ^ mprj/u_intercon/_2142_/A0 (sky130_fd_sc_hd__mux2_4)
-                  0.15    0.23    4.49 ^ mprj/u_intercon/_2142_/X (sky130_fd_sc_hd__mux2_4)
-     2    0.05                           mprj/u_intercon/net590 (net)
-                  0.15    0.01    4.50 ^ mprj/u_intercon/output590/A (sky130_fd_sc_hd__buf_2)
-                  0.16    0.22    4.72 ^ mprj/u_intercon/output590/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_mbist1_dat_o[13] (net)
-                  0.16    0.00    4.72 ^ mprj/u_mbist1/input164/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    4.85 ^ mprj/u_mbist1/input164/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_mbist1/net164 (net)
-                  0.08    0.00    4.85 ^ mprj/u_mbist1/_3900_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    4.97 ^ mprj/u_mbist1/_3900_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/_0534_ (net)
-                  0.04    0.00    4.97 ^ mprj/u_mbist1/_4584_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  4.97   data arrival time
-
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.24    0.53 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
-     2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.21    0.76 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02    0.77 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.13    0.90 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00    0.90 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.17    1.07 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
-     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00    1.07 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    1.22 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00    1.22 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    2.07 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    2.07 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.60 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00    2.60 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.46 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05    3.51 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.84 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.85 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.99 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00    3.99 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.13 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00    4.13 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.24 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00    4.25 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.41    4.66 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
-                  0.44    0.01    4.67 ^ mprj/u_mbist1/clkbuf_opt_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.24    4.91 ^ mprj/u_mbist1/clkbuf_opt_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_4_0_wb_clk_i (net)
-                  0.05    0.00    4.91 ^ mprj/u_mbist1/clkbuf_opt_4_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.04 ^ mprj/u_mbist1/clkbuf_opt_4_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_4_1_wb_clk_i (net)
-                  0.04    0.00    5.04 ^ mprj/u_mbist1/clkbuf_opt_4_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.17 ^ mprj/u_mbist1/clkbuf_opt_4_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_4_2_wb_clk_i (net)
-                  0.04    0.00    5.17 ^ mprj/u_mbist1/clkbuf_opt_4_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14    5.31 ^ mprj/u_mbist1/clkbuf_opt_4_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.03                           mprj/u_mbist1/clknet_opt_4_3_wb_clk_i (net)
-                  0.05    0.00    5.31 ^ mprj/u_mbist1/clkbuf_leaf_13_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.19    5.50 ^ mprj/u_mbist1/clkbuf_leaf_13_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_mbist1/clknet_leaf_13_wb_clk_i (net)
-                  0.11    0.00    5.50 ^ mprj/u_mbist1/_4584_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    5.60   clock uncertainty
-                          0.00    5.60   clock reconvergence pessimism
-                         -0.02    5.58   library hold time
-                                  5.58   data required time
------------------------------------------------------------------------------
-                                  5.58   data required time
-                                 -4.97   data arrival time
------------------------------------------------------------------------------
-                                 -0.61   slack (VIOLATED)
-
-
-Startpoint: mprj/u_intercon/_4153_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist1/_4594_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input317/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.20    0.25    0.26 ^ mprj/u_intercon/input317/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.02                           mprj/u_intercon/net317 (net)
-                  0.20    0.00    0.26 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.14    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d1 (net)
-                  0.09    0.00    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d2 (net)
-                  0.07    0.00    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d3 (net)
-                  0.10    0.00    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d4 (net)
-                  0.08    0.00    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d5 (net)
-                  0.08    0.00    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d6 (net)
-                  0.07    0.00    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d7 (net)
-                  0.08    0.00    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.18 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d8 (net)
-                  0.08    0.00    1.18 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d04 (net)
-                  0.05    0.00    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.12    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d12 (net)
-                  0.06    0.00    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d21 (net)
-                  0.05    0.00    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    1.69 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_intercon/net626 (net)
-                  0.07    0.00    1.69 ^ mprj/u_intercon/output626/A (sky130_fd_sc_hd__buf_2)
-                  0.80    0.60    2.29 ^ mprj/u_intercon/output626/X (sky130_fd_sc_hd__buf_2)
-     2    0.17                           mprj/wbd_clk_wi_skew (net)
-                  0.81    0.04    2.33 ^ mprj/u_intercon/clkbuf_0_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.28    2.61 ^ mprj/u_intercon/clkbuf_0_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_intercon/clknet_0_clk_i (net)
-                  0.07    0.00    2.61 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.74 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_intercon/clknet_1_1_0_clk_i (net)
-                  0.04    0.00    2.74 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.86 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_1_clk_i (net)
-                  0.04    0.00    2.86 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.11    2.97 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_2_clk_i (net)
-                  0.04    0.00    2.97 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.13    3.11 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_1_1_3_clk_i (net)
-                  0.07    0.00    3.11 ^ mprj/u_intercon/clkbuf_2_3_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.15    3.25 ^ mprj/u_intercon/clkbuf_2_3_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_2_3_0_clk_i (net)
-                  0.07    0.00    3.26 ^ mprj/u_intercon/clkbuf_3_6_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    3.38 ^ mprj/u_intercon/clkbuf_3_6_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_3_6_0_clk_i (net)
-                  0.04    0.00    3.38 ^ mprj/u_intercon/clkbuf_3_6_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.26    0.27    3.65 ^ mprj/u_intercon/clkbuf_3_6_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.15                           mprj/u_intercon/clknet_3_6_1_clk_i (net)
-                  0.26    0.00    3.65 ^ mprj/u_intercon/clkbuf_leaf_15_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.12    0.25    3.90 ^ mprj/u_intercon/clkbuf_leaf_15_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.11                           mprj/u_intercon/clknet_leaf_15_clk_i (net)
-                  0.12    0.00    3.90 ^ mprj/u_intercon/_4153_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.07    0.35    4.25 ^ mprj/u_intercon/_4153_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_intercon/u_s3.u_sync_wbb.s_cmd_rd_data_l[41] (net)
-                  0.07    0.00    4.25 ^ mprj/u_intercon/_2162_/A0 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.27    4.53 ^ mprj/u_intercon/_2162_/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_intercon/net601 (net)
-                  0.21    0.00    4.53 ^ mprj/u_intercon/output601/A (sky130_fd_sc_hd__buf_2)
-                  0.16    0.23    4.76 ^ mprj/u_intercon/output601/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_mbist1_dat_o[23] (net)
-                  0.16    0.00    4.76 ^ mprj/u_mbist1/input175/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.12    4.88 ^ mprj/u_mbist1/input175/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_mbist1/net175 (net)
-                  0.06    0.00    4.88 ^ mprj/u_mbist1/_3910_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    5.00 ^ mprj/u_mbist1/_3910_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/_0544_ (net)
-                  0.04    0.00    5.00 ^ mprj/u_mbist1/_4594_/D (sky130_fd_sc_hd__dfrtp_4)
-                                  5.00   data arrival time
-
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.24    0.53 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
-     2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.21    0.76 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02    0.77 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.13    0.90 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00    0.90 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.17    1.07 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
-     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00    1.07 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    1.22 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00    1.22 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    2.07 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    2.07 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.60 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00    2.60 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.46 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05    3.51 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.84 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.85 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.99 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00    3.99 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.13 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00    4.13 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.24 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00    4.25 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.41    4.66 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
-                  0.44    0.02    4.67 ^ mprj/u_mbist1/clkbuf_opt_5_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.24    4.91 ^ mprj/u_mbist1/clkbuf_opt_5_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_5_0_wb_clk_i (net)
-                  0.05    0.00    4.91 ^ mprj/u_mbist1/clkbuf_opt_5_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.04 ^ mprj/u_mbist1/clkbuf_opt_5_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_5_1_wb_clk_i (net)
-                  0.04    0.00    5.05 ^ mprj/u_mbist1/clkbuf_opt_5_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.17 ^ mprj/u_mbist1/clkbuf_opt_5_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_5_2_wb_clk_i (net)
-                  0.04    0.00    5.18 ^ mprj/u_mbist1/clkbuf_opt_5_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    5.31 ^ mprj/u_mbist1/clkbuf_opt_5_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_5_3_wb_clk_i (net)
-                  0.05    0.00    5.31 ^ mprj/u_mbist1/clkbuf_leaf_16_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.18    5.49 ^ mprj/u_mbist1/clkbuf_leaf_16_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_mbist1/clknet_leaf_16_wb_clk_i (net)
-                  0.10    0.00    5.49 ^ mprj/u_mbist1/_4594_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                          0.10    5.59   clock uncertainty
-                          0.00    5.59   clock reconvergence pessimism
-                         -0.03    5.57   library hold time
-                                  5.57   data required time
------------------------------------------------------------------------------
-                                  5.57   data required time
-                                 -5.00   data arrival time
------------------------------------------------------------------------------
-                                 -0.57   slack (VIOLATED)
-
-
-Startpoint: mprj/u_intercon/_4159_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist1/_4600_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input317/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.20    0.25    0.26 ^ mprj/u_intercon/input317/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.02                           mprj/u_intercon/net317 (net)
-                  0.20    0.00    0.26 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.14    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d1 (net)
-                  0.09    0.00    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d2 (net)
-                  0.07    0.00    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d3 (net)
-                  0.10    0.00    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d4 (net)
-                  0.08    0.00    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d5 (net)
-                  0.08    0.00    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d6 (net)
-                  0.07    0.00    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d7 (net)
-                  0.08    0.00    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.18 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d8 (net)
-                  0.08    0.00    1.18 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d04 (net)
-                  0.05    0.00    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.12    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d12 (net)
-                  0.06    0.00    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d21 (net)
-                  0.05    0.00    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    1.69 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_intercon/net626 (net)
-                  0.07    0.00    1.69 ^ mprj/u_intercon/output626/A (sky130_fd_sc_hd__buf_2)
-                  0.80    0.60    2.29 ^ mprj/u_intercon/output626/X (sky130_fd_sc_hd__buf_2)
-     2    0.17                           mprj/wbd_clk_wi_skew (net)
-                  0.81    0.04    2.33 ^ mprj/u_intercon/clkbuf_0_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.28    2.61 ^ mprj/u_intercon/clkbuf_0_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_intercon/clknet_0_clk_i (net)
-                  0.07    0.00    2.61 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.74 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_intercon/clknet_1_1_0_clk_i (net)
-                  0.04    0.00    2.74 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.86 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_1_clk_i (net)
-                  0.04    0.00    2.86 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.11    2.97 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_2_clk_i (net)
-                  0.04    0.00    2.97 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.13    3.11 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_1_1_3_clk_i (net)
-                  0.07    0.00    3.11 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    3.25 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_2_2_0_clk_i (net)
-                  0.06    0.00    3.25 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    3.37 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_3_5_0_clk_i (net)
-                  0.04    0.00    3.37 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.21    0.24    3.61 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.12                           mprj/u_intercon/clknet_3_5_1_clk_i (net)
-                  0.21    0.01    3.62 ^ mprj/u_intercon/clkbuf_leaf_18_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.12    0.23    3.85 ^ mprj/u_intercon/clkbuf_leaf_18_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.10                           mprj/u_intercon/clknet_leaf_18_clk_i (net)
-                  0.12    0.00    3.85 ^ mprj/u_intercon/_4159_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.06    0.35    4.20 ^ mprj/u_intercon/_4159_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_intercon/u_s3.u_sync_wbb.s_cmd_rd_data_l[47] (net)
-                  0.06    0.00    4.20 ^ mprj/u_intercon/_2174_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.14    0.19    4.39 ^ mprj/u_intercon/_2174_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.02                           mprj/u_intercon/net607 (net)
-                  0.14    0.00    4.39 ^ mprj/u_intercon/output607/A (sky130_fd_sc_hd__buf_2)
-                  0.15    0.21    4.60 ^ mprj/u_intercon/output607/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_mbist1_dat_o[29] (net)
-                  0.15    0.00    4.60 ^ mprj/u_mbist1/input181/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.15    4.76 ^ mprj/u_mbist1/input181/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_mbist1/net181 (net)
-                  0.12    0.00    4.76 ^ mprj/u_mbist1/_3916_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.14    4.90 ^ mprj/u_mbist1/_3916_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/_0550_ (net)
-                  0.04    0.00    4.90 ^ mprj/u_mbist1/_4600_/D (sky130_fd_sc_hd__dfrtp_4)
-                                  4.90   data arrival time
-
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.24    0.53 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
-     2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.21    0.76 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02    0.77 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.13    0.90 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00    0.90 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.17    1.07 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
-     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00    1.07 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    1.22 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00    1.22 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    2.07 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    2.07 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.60 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00    2.60 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.46 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05    3.51 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.84 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.85 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.99 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00    3.99 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.13 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00    4.13 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.24 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00    4.25 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.41    4.66 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
-                  0.44    0.01    4.67 ^ mprj/u_mbist1/clkbuf_opt_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.24    4.91 ^ mprj/u_mbist1/clkbuf_opt_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_6_0_wb_clk_i (net)
-                  0.05    0.00    4.91 ^ mprj/u_mbist1/clkbuf_opt_6_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.04 ^ mprj/u_mbist1/clkbuf_opt_6_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_6_1_wb_clk_i (net)
-                  0.04    0.00    5.04 ^ mprj/u_mbist1/clkbuf_opt_6_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.14    5.19 ^ mprj/u_mbist1/clkbuf_opt_6_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.04                           mprj/u_mbist1/clknet_opt_6_2_wb_clk_i (net)
-                  0.06    0.00    5.19 ^ mprj/u_mbist1/clkbuf_leaf_17_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.19    5.38 ^ mprj/u_mbist1/clkbuf_leaf_17_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_mbist1/clknet_leaf_17_wb_clk_i (net)
-                  0.11    0.00    5.38 ^ mprj/u_mbist1/_4600_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                          0.10    5.48   clock uncertainty
-                          0.00    5.48   clock reconvergence pessimism
-                         -0.03    5.46   library hold time
-                                  5.46   data required time
------------------------------------------------------------------------------
-                                  5.46   data required time
-                                 -4.90   data arrival time
------------------------------------------------------------------------------
-                                 -0.56   slack (VIOLATED)
-
-
-Startpoint: mprj/u_intercon/_4131_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist1/_4522_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input317/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.20    0.25    0.26 ^ mprj/u_intercon/input317/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.02                           mprj/u_intercon/net317 (net)
-                  0.20    0.00    0.26 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.14    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d1 (net)
-                  0.09    0.00    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d2 (net)
-                  0.07    0.00    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d3 (net)
-                  0.10    0.00    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d4 (net)
-                  0.08    0.00    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d5 (net)
-                  0.08    0.00    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d6 (net)
-                  0.07    0.00    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d7 (net)
-                  0.08    0.00    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.18 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d8 (net)
-                  0.08    0.00    1.18 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d04 (net)
-                  0.05    0.00    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.12    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d12 (net)
-                  0.06    0.00    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d21 (net)
-                  0.05    0.00    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    1.69 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_intercon/net626 (net)
-                  0.07    0.00    1.69 ^ mprj/u_intercon/output626/A (sky130_fd_sc_hd__buf_2)
-                  0.80    0.60    2.29 ^ mprj/u_intercon/output626/X (sky130_fd_sc_hd__buf_2)
-     2    0.17                           mprj/wbd_clk_wi_skew (net)
-                  0.81    0.04    2.33 ^ mprj/u_intercon/clkbuf_0_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.28    2.61 ^ mprj/u_intercon/clkbuf_0_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_intercon/clknet_0_clk_i (net)
-                  0.07    0.00    2.61 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.74 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_intercon/clknet_1_1_0_clk_i (net)
-                  0.04    0.00    2.74 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.86 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_1_clk_i (net)
-                  0.04    0.00    2.86 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.11    2.97 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_2_clk_i (net)
-                  0.04    0.00    2.97 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.13    3.11 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_1_1_3_clk_i (net)
-                  0.07    0.00    3.11 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    3.25 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_2_2_0_clk_i (net)
-                  0.06    0.00    3.25 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    3.37 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_3_5_0_clk_i (net)
-                  0.04    0.00    3.37 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.21    0.24    3.61 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.12                           mprj/u_intercon/clknet_3_5_1_clk_i (net)
-                  0.21    0.01    3.62 ^ mprj/u_intercon/clkbuf_leaf_18_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.12    0.23    3.85 ^ mprj/u_intercon/clkbuf_leaf_18_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.10                           mprj/u_intercon/clknet_leaf_18_clk_i (net)
-                  0.12    0.00    3.86 ^ mprj/u_intercon/_4131_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.07    0.35    4.20 ^ mprj/u_intercon/_4131_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_intercon/u_s3.u_sync_wbb.s_cmd_rd_data_l[19] (net)
-                  0.07    0.00    4.20 ^ mprj/u_intercon/_2118_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    4.32 ^ mprj/u_intercon/_2118_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/net597 (net)
-                  0.05    0.00    4.32 ^ mprj/u_intercon/output597/A (sky130_fd_sc_hd__buf_2)
-                  0.18    0.20    4.52 ^ mprj/u_intercon/output597/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_mbist1_dat_o[1] (net)
-                  0.18    0.00    4.52 ^ mprj/u_mbist1/input171/A (sky130_fd_sc_hd__buf_2)
-                  0.34    0.34    4.86 ^ mprj/u_mbist1/input171/X (sky130_fd_sc_hd__buf_2)
-     4    0.07                           mprj/u_mbist1/net171 (net)
-                  0.34    0.01    4.87 ^ mprj/u_mbist1/_3762_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.19    5.05 ^ mprj/u_mbist1/_3762_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/_0472_ (net)
-                  0.04    0.00    5.05 ^ mprj/u_mbist1/_4522_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  5.05   data arrival time
-
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.24    0.53 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
-     2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.21    0.76 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02    0.77 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.13    0.90 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00    0.90 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.17    1.07 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
-     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00    1.07 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    1.22 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00    1.22 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    2.07 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    2.07 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.60 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00    2.60 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.46 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05    3.51 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.84 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.85 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.99 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00    3.99 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.13 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00    4.13 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.24 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00    4.25 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.41    4.66 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
-                  0.44    0.01    4.67 ^ mprj/u_mbist1/clkbuf_opt_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.24    4.91 ^ mprj/u_mbist1/clkbuf_opt_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_3_0_wb_clk_i (net)
-                  0.05    0.00    4.91 ^ mprj/u_mbist1/clkbuf_opt_3_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.04 ^ mprj/u_mbist1/clkbuf_opt_3_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_3_1_wb_clk_i (net)
-                  0.04    0.00    5.04 ^ mprj/u_mbist1/clkbuf_opt_3_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.17 ^ mprj/u_mbist1/clkbuf_opt_3_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_3_2_wb_clk_i (net)
-                  0.04    0.00    5.17 ^ mprj/u_mbist1/clkbuf_opt_3_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14    5.31 ^ mprj/u_mbist1/clkbuf_opt_3_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.03                           mprj/u_mbist1/clknet_opt_3_3_wb_clk_i (net)
-                  0.05    0.00    5.31 ^ mprj/u_mbist1/clkbuf_leaf_12_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.18    5.49 ^ mprj/u_mbist1/clkbuf_leaf_12_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.08                           mprj/u_mbist1/clknet_leaf_12_wb_clk_i (net)
-                  0.10    0.00    5.49 ^ mprj/u_mbist1/_4522_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    5.59   clock uncertainty
-                          0.00    5.59   clock reconvergence pessimism
-                         -0.03    5.56   library hold time
-                                  5.56   data required time
------------------------------------------------------------------------------
-                                  5.56   data required time
-                                 -5.05   data arrival time
------------------------------------------------------------------------------
-                                 -0.51   slack (VIOLATED)
-
-
-Startpoint: mprj/u_intercon/_4161_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist1/_4602_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input317/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.20    0.25    0.26 ^ mprj/u_intercon/input317/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.02                           mprj/u_intercon/net317 (net)
-                  0.20    0.00    0.26 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.14    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d1 (net)
-                  0.09    0.00    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d2 (net)
-                  0.07    0.00    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d3 (net)
-                  0.10    0.00    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d4 (net)
-                  0.08    0.00    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d5 (net)
-                  0.08    0.00    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d6 (net)
-                  0.07    0.00    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d7 (net)
-                  0.08    0.00    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.18 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d8 (net)
-                  0.08    0.00    1.18 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d04 (net)
-                  0.05    0.00    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.12    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d12 (net)
-                  0.06    0.00    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d21 (net)
-                  0.05    0.00    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    1.69 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_intercon/net626 (net)
-                  0.07    0.00    1.69 ^ mprj/u_intercon/output626/A (sky130_fd_sc_hd__buf_2)
-                  0.80    0.60    2.29 ^ mprj/u_intercon/output626/X (sky130_fd_sc_hd__buf_2)
-     2    0.17                           mprj/wbd_clk_wi_skew (net)
-                  0.81    0.04    2.33 ^ mprj/u_intercon/clkbuf_0_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.28    2.61 ^ mprj/u_intercon/clkbuf_0_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_intercon/clknet_0_clk_i (net)
-                  0.07    0.00    2.61 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.74 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_intercon/clknet_1_1_0_clk_i (net)
-                  0.04    0.00    2.74 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.86 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_1_clk_i (net)
-                  0.04    0.00    2.86 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.11    2.97 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_2_clk_i (net)
-                  0.04    0.00    2.97 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.13    3.11 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_1_1_3_clk_i (net)
-                  0.07    0.00    3.11 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    3.25 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_2_2_0_clk_i (net)
-                  0.06    0.00    3.25 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    3.37 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_3_5_0_clk_i (net)
-                  0.04    0.00    3.37 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.21    0.24    3.61 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.12                           mprj/u_intercon/clknet_3_5_1_clk_i (net)
-                  0.21    0.00    3.61 ^ mprj/u_intercon/clkbuf_leaf_17_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.22    3.83 ^ mprj/u_intercon/clkbuf_leaf_17_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.08                           mprj/u_intercon/clknet_leaf_17_clk_i (net)
-                  0.10    0.00    3.84 ^ mprj/u_intercon/_4161_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.06    0.34    4.17 ^ mprj/u_intercon/_4161_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.00                           mprj/u_intercon/u_s3.u_sync_wbb.s_cmd_rd_data_l[49] (net)
-                  0.06    0.00    4.17 ^ mprj/u_intercon/_2178_/A0 (sky130_fd_sc_hd__mux2_2)
-                  0.26    0.31    4.48 ^ mprj/u_intercon/_2178_/X (sky130_fd_sc_hd__mux2_2)
-     2    0.05                           mprj/u_intercon/net610 (net)
-                  0.26    0.00    4.48 ^ mprj/u_intercon/output610/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.25    4.74 ^ mprj/u_intercon/output610/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_mbist1_dat_o[31] (net)
-                  0.17    0.00    4.74 ^ mprj/u_mbist1/input184/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.05    0.11    4.84 ^ mprj/u_mbist1/input184/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_mbist1/net184 (net)
-                  0.05    0.00    4.84 ^ mprj/u_mbist1/_3918_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    4.96 ^ mprj/u_mbist1/_3918_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/_0552_ (net)
-                  0.04    0.00    4.96 ^ mprj/u_mbist1/_4602_/D (sky130_fd_sc_hd__dfrtp_4)
-                                  4.96   data arrival time
-
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.24    0.53 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
-     2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.21    0.76 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02    0.77 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.13    0.90 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00    0.90 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.17    1.07 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
-     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00    1.07 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    1.22 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00    1.22 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    2.07 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    2.07 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.60 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00    2.60 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.46 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05    3.51 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.84 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.85 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.99 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00    3.99 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.13 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00    4.13 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.24 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00    4.25 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.41    4.66 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
-                  0.44    0.01    4.67 ^ mprj/u_mbist1/clkbuf_opt_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.24    4.91 ^ mprj/u_mbist1/clkbuf_opt_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_6_0_wb_clk_i (net)
-                  0.05    0.00    4.91 ^ mprj/u_mbist1/clkbuf_opt_6_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.04 ^ mprj/u_mbist1/clkbuf_opt_6_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_6_1_wb_clk_i (net)
-                  0.04    0.00    5.04 ^ mprj/u_mbist1/clkbuf_opt_6_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.14    5.19 ^ mprj/u_mbist1/clkbuf_opt_6_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.04                           mprj/u_mbist1/clknet_opt_6_2_wb_clk_i (net)
-                  0.06    0.00    5.19 ^ mprj/u_mbist1/clkbuf_leaf_17_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.19    5.38 ^ mprj/u_mbist1/clkbuf_leaf_17_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_mbist1/clknet_leaf_17_wb_clk_i (net)
-                  0.11    0.00    5.38 ^ mprj/u_mbist1/_4602_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                          0.10    5.48   clock uncertainty
-                          0.00    5.48   clock reconvergence pessimism
-                         -0.02    5.46   library hold time
-                                  5.46   data required time
------------------------------------------------------------------------------
-                                  5.46   data required time
-                                 -4.96   data arrival time
------------------------------------------------------------------------------
-                                 -0.50   slack (VIOLATED)
-
-
-Startpoint: mprj/u_intercon/_4155_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist1/_4596_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input317/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.20    0.25    0.26 ^ mprj/u_intercon/input317/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.02                           mprj/u_intercon/net317 (net)
-                  0.20    0.00    0.26 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.14    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d1 (net)
-                  0.09    0.00    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d2 (net)
-                  0.07    0.00    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d3 (net)
-                  0.10    0.00    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d4 (net)
-                  0.08    0.00    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d5 (net)
-                  0.08    0.00    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d6 (net)
-                  0.07    0.00    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d7 (net)
-                  0.08    0.00    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.18 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d8 (net)
-                  0.08    0.00    1.18 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d04 (net)
-                  0.05    0.00    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.12    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d12 (net)
-                  0.06    0.00    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d21 (net)
-                  0.05    0.00    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    1.69 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_intercon/net626 (net)
-                  0.07    0.00    1.69 ^ mprj/u_intercon/output626/A (sky130_fd_sc_hd__buf_2)
-                  0.80    0.60    2.29 ^ mprj/u_intercon/output626/X (sky130_fd_sc_hd__buf_2)
-     2    0.17                           mprj/wbd_clk_wi_skew (net)
-                  0.81    0.04    2.33 ^ mprj/u_intercon/clkbuf_0_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.28    2.61 ^ mprj/u_intercon/clkbuf_0_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_intercon/clknet_0_clk_i (net)
-                  0.07    0.00    2.61 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.74 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_intercon/clknet_1_1_0_clk_i (net)
-                  0.04    0.00    2.74 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.86 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_1_clk_i (net)
-                  0.04    0.00    2.86 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.11    2.97 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_2_clk_i (net)
-                  0.04    0.00    2.97 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.13    3.11 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_1_1_3_clk_i (net)
-                  0.07    0.00    3.11 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    3.25 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_2_2_0_clk_i (net)
-                  0.06    0.00    3.25 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    3.37 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_3_5_0_clk_i (net)
-                  0.04    0.00    3.37 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.21    0.24    3.61 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.12                           mprj/u_intercon/clknet_3_5_1_clk_i (net)
-                  0.21    0.00    3.61 ^ mprj/u_intercon/clkbuf_leaf_17_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.22    3.83 ^ mprj/u_intercon/clkbuf_leaf_17_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.08                           mprj/u_intercon/clknet_leaf_17_clk_i (net)
-                  0.10    0.00    3.84 ^ mprj/u_intercon/_4155_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.07    0.34    4.18 ^ mprj/u_intercon/_4155_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_intercon/u_s3.u_sync_wbb.s_cmd_rd_data_l[43] (net)
-                  0.07    0.00    4.18 ^ mprj/u_intercon/_2166_/A0 (sky130_fd_sc_hd__mux2_2)
-                  0.17    0.24    4.42 ^ mprj/u_intercon/_2166_/X (sky130_fd_sc_hd__mux2_2)
-     2    0.03                           mprj/u_intercon/net603 (net)
-                  0.17    0.00    4.42 ^ mprj/u_intercon/output603/A (sky130_fd_sc_hd__buf_2)
-                  0.20    0.25    4.67 ^ mprj/u_intercon/output603/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_mbist1_dat_o[25] (net)
-                  0.20    0.00    4.67 ^ mprj/u_mbist1/input177/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.16    0.19    4.86 ^ mprj/u_mbist1/input177/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_mbist1/net177 (net)
-                  0.16    0.00    4.86 ^ mprj/u_mbist1/_3912_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.14    5.00 ^ mprj/u_mbist1/_3912_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/_0546_ (net)
-                  0.04    0.00    5.00 ^ mprj/u_mbist1/_4596_/D (sky130_fd_sc_hd__dfrtp_4)
-                                  5.00   data arrival time
-
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.24    0.53 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
-     2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.21    0.76 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02    0.77 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.13    0.90 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00    0.90 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.17    1.07 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
-     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00    1.07 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    1.22 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00    1.22 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    2.07 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    2.07 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.60 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00    2.60 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.46 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05    3.51 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.84 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.85 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.99 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00    3.99 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.13 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00    4.13 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.24 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00    4.25 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.41    4.66 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
-                  0.44    0.01    4.67 ^ mprj/u_mbist1/clkbuf_opt_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.24    4.91 ^ mprj/u_mbist1/clkbuf_opt_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_6_0_wb_clk_i (net)
-                  0.05    0.00    4.91 ^ mprj/u_mbist1/clkbuf_opt_6_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.04 ^ mprj/u_mbist1/clkbuf_opt_6_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_6_1_wb_clk_i (net)
-                  0.04    0.00    5.04 ^ mprj/u_mbist1/clkbuf_opt_6_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.14    5.19 ^ mprj/u_mbist1/clkbuf_opt_6_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.04                           mprj/u_mbist1/clknet_opt_6_2_wb_clk_i (net)
-                  0.06    0.00    5.19 ^ mprj/u_mbist1/clkbuf_leaf_17_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.19    5.38 ^ mprj/u_mbist1/clkbuf_leaf_17_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_mbist1/clknet_leaf_17_wb_clk_i (net)
-                  0.11    0.00    5.38 ^ mprj/u_mbist1/_4596_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                          0.10    5.48   clock uncertainty
-                          0.00    5.48   clock reconvergence pessimism
-                         -0.02    5.46   library hold time
-                                  5.46   data required time
------------------------------------------------------------------------------
-                                  5.46   data required time
-                                 -5.00   data arrival time
------------------------------------------------------------------------------
-                                 -0.45   slack (VIOLATED)
-
-
-Startpoint: mprj/u_intercon/_4157_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist1/_4598_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input317/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.20    0.25    0.26 ^ mprj/u_intercon/input317/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.02                           mprj/u_intercon/net317 (net)
-                  0.20    0.00    0.26 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.14    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d1 (net)
-                  0.09    0.00    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d2 (net)
-                  0.07    0.00    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d3 (net)
-                  0.10    0.00    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d4 (net)
-                  0.08    0.00    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d5 (net)
-                  0.08    0.00    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d6 (net)
-                  0.07    0.00    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d7 (net)
-                  0.08    0.00    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.18 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d8 (net)
-                  0.08    0.00    1.18 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d04 (net)
-                  0.05    0.00    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.12    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d12 (net)
-                  0.06    0.00    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d21 (net)
-                  0.05    0.00    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    1.69 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_intercon/net626 (net)
-                  0.07    0.00    1.69 ^ mprj/u_intercon/output626/A (sky130_fd_sc_hd__buf_2)
-                  0.80    0.60    2.29 ^ mprj/u_intercon/output626/X (sky130_fd_sc_hd__buf_2)
-     2    0.17                           mprj/wbd_clk_wi_skew (net)
-                  0.81    0.04    2.33 ^ mprj/u_intercon/clkbuf_0_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.28    2.61 ^ mprj/u_intercon/clkbuf_0_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_intercon/clknet_0_clk_i (net)
-                  0.07    0.00    2.61 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.74 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_intercon/clknet_1_1_0_clk_i (net)
-                  0.04    0.00    2.74 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.86 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_1_clk_i (net)
-                  0.04    0.00    2.86 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.11    2.97 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_2_clk_i (net)
-                  0.04    0.00    2.97 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.13    3.11 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_1_1_3_clk_i (net)
-                  0.07    0.00    3.11 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    3.25 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_2_2_0_clk_i (net)
-                  0.06    0.00    3.25 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    3.37 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_3_5_0_clk_i (net)
-                  0.04    0.00    3.37 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.21    0.24    3.61 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.12                           mprj/u_intercon/clknet_3_5_1_clk_i (net)
-                  0.21    0.01    3.62 ^ mprj/u_intercon/clkbuf_leaf_18_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.12    0.23    3.85 ^ mprj/u_intercon/clkbuf_leaf_18_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.10                           mprj/u_intercon/clknet_leaf_18_clk_i (net)
-                  0.12    0.00    3.85 ^ mprj/u_intercon/_4157_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.07    0.35    4.20 ^ mprj/u_intercon/_4157_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_intercon/u_s3.u_sync_wbb.s_cmd_rd_data_l[45] (net)
-                  0.07    0.00    4.20 ^ mprj/u_intercon/_2170_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.18    0.22    4.43 ^ mprj/u_intercon/_2170_/X (sky130_fd_sc_hd__mux2_1)
-     2    0.02                           mprj/u_intercon/net605 (net)
-                  0.18    0.00    4.43 ^ mprj/u_intercon/output605/A (sky130_fd_sc_hd__buf_2)
-                  0.18    0.24    4.67 ^ mprj/u_intercon/output605/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_mbist1_dat_o[27] (net)
-                  0.18    0.00    4.67 ^ mprj/u_mbist1/input179/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.34    0.32    4.99 ^ mprj/u_mbist1/input179/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     2    0.03                           mprj/u_mbist1/net179 (net)
-                  0.34    0.00    4.99 ^ mprj/u_mbist1/_3914_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.18    5.18 ^ mprj/u_mbist1/_3914_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/_0548_ (net)
-                  0.04    0.00    5.18 ^ mprj/u_mbist1/_4598_/D (sky130_fd_sc_hd__dfrtp_4)
-                                  5.18   data arrival time
-
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.24    0.53 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
-     2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.21    0.76 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02    0.77 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.13    0.90 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00    0.90 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.17    1.07 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
-     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00    1.07 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    1.22 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00    1.22 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    2.07 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    2.07 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.60 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00    2.60 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.46 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05    3.51 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.84 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.85 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.99 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00    3.99 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.13 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00    4.13 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.24 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00    4.25 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.41    4.66 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
-                  0.44    0.02    4.67 ^ mprj/u_mbist1/clkbuf_opt_5_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.24    4.91 ^ mprj/u_mbist1/clkbuf_opt_5_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_5_0_wb_clk_i (net)
-                  0.05    0.00    4.91 ^ mprj/u_mbist1/clkbuf_opt_5_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.04 ^ mprj/u_mbist1/clkbuf_opt_5_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_5_1_wb_clk_i (net)
-                  0.04    0.00    5.05 ^ mprj/u_mbist1/clkbuf_opt_5_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.17 ^ mprj/u_mbist1/clkbuf_opt_5_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_5_2_wb_clk_i (net)
-                  0.04    0.00    5.18 ^ mprj/u_mbist1/clkbuf_opt_5_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    5.31 ^ mprj/u_mbist1/clkbuf_opt_5_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_5_3_wb_clk_i (net)
-                  0.05    0.00    5.31 ^ mprj/u_mbist1/clkbuf_leaf_16_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.18    5.49 ^ mprj/u_mbist1/clkbuf_leaf_16_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_mbist1/clknet_leaf_16_wb_clk_i (net)
-                  0.10    0.00    5.49 ^ mprj/u_mbist1/_4598_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                          0.10    5.59   clock uncertainty
-                          0.00    5.59   clock reconvergence pessimism
-                         -0.03    5.57   library hold time
-                                  5.57   data required time
------------------------------------------------------------------------------
-                                  5.57   data required time
-                                 -5.18   data arrival time
------------------------------------------------------------------------------
-                                 -0.39   slack (VIOLATED)
-
-
-Startpoint: mprj/u_intercon/_4142_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist1/_4583_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input317/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.20    0.25    0.26 ^ mprj/u_intercon/input317/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.02                           mprj/u_intercon/net317 (net)
-                  0.20    0.00    0.26 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.14    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d1 (net)
-                  0.09    0.00    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d2 (net)
-                  0.07    0.00    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d3 (net)
-                  0.10    0.00    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d4 (net)
-                  0.08    0.00    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d5 (net)
-                  0.08    0.00    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d6 (net)
-                  0.07    0.00    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d7 (net)
-                  0.08    0.00    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.18 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d8 (net)
-                  0.08    0.00    1.18 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d04 (net)
-                  0.05    0.00    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.12    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d12 (net)
-                  0.06    0.00    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d21 (net)
-                  0.05    0.00    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    1.69 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_intercon/net626 (net)
-                  0.07    0.00    1.69 ^ mprj/u_intercon/output626/A (sky130_fd_sc_hd__buf_2)
-                  0.80    0.60    2.29 ^ mprj/u_intercon/output626/X (sky130_fd_sc_hd__buf_2)
-     2    0.17                           mprj/wbd_clk_wi_skew (net)
-                  0.81    0.04    2.33 ^ mprj/u_intercon/clkbuf_0_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.28    2.61 ^ mprj/u_intercon/clkbuf_0_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_intercon/clknet_0_clk_i (net)
-                  0.07    0.00    2.61 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.74 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_intercon/clknet_1_1_0_clk_i (net)
-                  0.04    0.00    2.74 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.86 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_1_clk_i (net)
-                  0.04    0.00    2.86 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.11    2.97 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_2_clk_i (net)
-                  0.04    0.00    2.97 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.13    3.11 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_1_1_3_clk_i (net)
-                  0.07    0.00    3.11 ^ mprj/u_intercon/clkbuf_2_3_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.15    3.25 ^ mprj/u_intercon/clkbuf_2_3_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_2_3_0_clk_i (net)
-                  0.07    0.00    3.26 ^ mprj/u_intercon/clkbuf_3_6_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    3.38 ^ mprj/u_intercon/clkbuf_3_6_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_3_6_0_clk_i (net)
-                  0.04    0.00    3.38 ^ mprj/u_intercon/clkbuf_3_6_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.26    0.27    3.65 ^ mprj/u_intercon/clkbuf_3_6_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.15                           mprj/u_intercon/clknet_3_6_1_clk_i (net)
-                  0.26    0.00    3.65 ^ mprj/u_intercon/clkbuf_leaf_15_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.12    0.25    3.90 ^ mprj/u_intercon/clkbuf_leaf_15_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.11                           mprj/u_intercon/clknet_leaf_15_clk_i (net)
-                  0.12    0.01    3.91 ^ mprj/u_intercon/_4142_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.06    0.34    4.25 ^ mprj/u_intercon/_4142_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.00                           mprj/u_intercon/u_s3.u_sync_wbb.s_cmd_rd_data_l[30] (net)
-                  0.06    0.00    4.25 ^ mprj/u_intercon/_2140_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.15    0.20    4.45 ^ mprj/u_intercon/_2140_/X (sky130_fd_sc_hd__mux2_1)
-     2    0.02                           mprj/u_intercon/net589 (net)
-                  0.15    0.00    4.45 ^ mprj/u_intercon/wire732/A (sky130_fd_sc_hd__buf_4)
-                  0.24    0.28    4.72 ^ mprj/u_intercon/wire732/X (sky130_fd_sc_hd__buf_4)
-     2    0.09                           mprj/u_intercon/net732 (net)
-                  0.24    0.01    4.73 ^ mprj/u_intercon/output589/A (sky130_fd_sc_hd__buf_2)
-                  0.16    0.24    4.97 ^ mprj/u_intercon/output589/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_mbist1_dat_o[12] (net)
-                  0.16    0.00    4.97 ^ mprj/u_mbist1/input163/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    5.09 ^ mprj/u_mbist1/input163/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_mbist1/net163 (net)
-                  0.07    0.00    5.09 ^ mprj/u_mbist1/_3899_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    5.21 ^ mprj/u_mbist1/_3899_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/_0533_ (net)
-                  0.04    0.00    5.21 ^ mprj/u_mbist1/_4583_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  5.21   data arrival time
-
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.24    0.53 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
-     2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.21    0.76 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02    0.77 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.13    0.90 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00    0.90 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.17    1.07 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
-     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00    1.07 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    1.22 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00    1.22 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    2.07 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    2.07 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.60 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00    2.60 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.46 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05    3.51 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.84 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.85 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.99 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00    3.99 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.13 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00    4.13 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.24 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00    4.25 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.41    4.66 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
-                  0.44    0.01    4.67 ^ mprj/u_mbist1/clkbuf_opt_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.24    4.91 ^ mprj/u_mbist1/clkbuf_opt_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_4_0_wb_clk_i (net)
-                  0.05    0.00    4.91 ^ mprj/u_mbist1/clkbuf_opt_4_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.04 ^ mprj/u_mbist1/clkbuf_opt_4_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_4_1_wb_clk_i (net)
-                  0.04    0.00    5.04 ^ mprj/u_mbist1/clkbuf_opt_4_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.17 ^ mprj/u_mbist1/clkbuf_opt_4_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_4_2_wb_clk_i (net)
-                  0.04    0.00    5.17 ^ mprj/u_mbist1/clkbuf_opt_4_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14    5.31 ^ mprj/u_mbist1/clkbuf_opt_4_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.03                           mprj/u_mbist1/clknet_opt_4_3_wb_clk_i (net)
-                  0.05    0.00    5.31 ^ mprj/u_mbist1/clkbuf_leaf_13_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.19    5.50 ^ mprj/u_mbist1/clkbuf_leaf_13_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_mbist1/clknet_leaf_13_wb_clk_i (net)
-                  0.11    0.00    5.50 ^ mprj/u_mbist1/_4583_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    5.60   clock uncertainty
-                          0.00    5.60   clock reconvergence pessimism
-                         -0.03    5.58   library hold time
-                                  5.58   data required time
------------------------------------------------------------------------------
-                                  5.58   data required time
-                                 -5.21   data arrival time
------------------------------------------------------------------------------
-                                 -0.37   slack (VIOLATED)
-
-
-Startpoint: mprj/u_intercon/_4154_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist1/_4595_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input317/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.20    0.25    0.26 ^ mprj/u_intercon/input317/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.02                           mprj/u_intercon/net317 (net)
-                  0.20    0.00    0.26 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.14    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d1 (net)
-                  0.09    0.00    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d2 (net)
-                  0.07    0.00    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d3 (net)
-                  0.10    0.00    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d4 (net)
-                  0.08    0.00    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d5 (net)
-                  0.08    0.00    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d6 (net)
-                  0.07    0.00    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d7 (net)
-                  0.08    0.00    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.18 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d8 (net)
-                  0.08    0.00    1.18 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d04 (net)
-                  0.05    0.00    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.12    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d12 (net)
-                  0.06    0.00    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d21 (net)
-                  0.05    0.00    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    1.69 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_intercon/net626 (net)
-                  0.07    0.00    1.69 ^ mprj/u_intercon/output626/A (sky130_fd_sc_hd__buf_2)
-                  0.80    0.60    2.29 ^ mprj/u_intercon/output626/X (sky130_fd_sc_hd__buf_2)
-     2    0.17                           mprj/wbd_clk_wi_skew (net)
-                  0.81    0.04    2.33 ^ mprj/u_intercon/clkbuf_0_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.28    2.61 ^ mprj/u_intercon/clkbuf_0_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_intercon/clknet_0_clk_i (net)
-                  0.07    0.00    2.61 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.74 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_intercon/clknet_1_1_0_clk_i (net)
-                  0.04    0.00    2.74 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.86 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_1_clk_i (net)
-                  0.04    0.00    2.86 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.11    2.97 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_2_clk_i (net)
-                  0.04    0.00    2.97 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.13    3.11 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_1_1_3_clk_i (net)
-                  0.07    0.00    3.11 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    3.25 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_2_2_0_clk_i (net)
-                  0.06    0.00    3.25 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    3.37 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_3_5_0_clk_i (net)
-                  0.04    0.00    3.37 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.21    0.24    3.61 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.12                           mprj/u_intercon/clknet_3_5_1_clk_i (net)
-                  0.21    0.01    3.62 ^ mprj/u_intercon/clkbuf_leaf_18_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.12    0.23    3.85 ^ mprj/u_intercon/clkbuf_leaf_18_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.10                           mprj/u_intercon/clknet_leaf_18_clk_i (net)
-                  0.12    0.00    3.85 ^ mprj/u_intercon/_4154_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.07    0.35    4.20 ^ mprj/u_intercon/_4154_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_intercon/u_s3.u_sync_wbb.s_cmd_rd_data_l[42] (net)
-                  0.07    0.00    4.20 ^ mprj/u_intercon/_2164_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.24    0.26    4.46 ^ mprj/u_intercon/_2164_/X (sky130_fd_sc_hd__mux2_1)
-     2    0.03                           mprj/u_intercon/net602 (net)
-                  0.24    0.00    4.47 ^ mprj/u_intercon/output602/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.25    4.71 ^ mprj/u_intercon/output602/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_mbist1_dat_o[24] (net)
-                  0.17    0.00    4.71 ^ mprj/u_mbist1/input176/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.25    0.24    4.95 ^ mprj/u_mbist1/input176/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.02                           mprj/u_mbist1/net176 (net)
-                  0.25    0.00    4.96 ^ mprj/u_mbist1/_3911_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.17    5.12 ^ mprj/u_mbist1/_3911_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/_0545_ (net)
-                  0.04    0.00    5.12 ^ mprj/u_mbist1/_4595_/D (sky130_fd_sc_hd__dfrtp_4)
-                                  5.12   data arrival time
-
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.24    0.53 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
-     2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.21    0.76 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02    0.77 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.13    0.90 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00    0.90 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.17    1.07 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
-     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00    1.07 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    1.22 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00    1.22 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    2.07 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    2.07 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.60 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00    2.60 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.46 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05    3.51 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.84 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.85 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.99 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00    3.99 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.13 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00    4.13 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.24 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00    4.25 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.41    4.66 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
-                  0.44    0.01    4.67 ^ mprj/u_mbist1/clkbuf_opt_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.24    4.91 ^ mprj/u_mbist1/clkbuf_opt_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_6_0_wb_clk_i (net)
-                  0.05    0.00    4.91 ^ mprj/u_mbist1/clkbuf_opt_6_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.04 ^ mprj/u_mbist1/clkbuf_opt_6_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_6_1_wb_clk_i (net)
-                  0.04    0.00    5.04 ^ mprj/u_mbist1/clkbuf_opt_6_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.14    5.19 ^ mprj/u_mbist1/clkbuf_opt_6_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.04                           mprj/u_mbist1/clknet_opt_6_2_wb_clk_i (net)
-                  0.06    0.00    5.19 ^ mprj/u_mbist1/clkbuf_leaf_17_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.19    5.38 ^ mprj/u_mbist1/clkbuf_leaf_17_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_mbist1/clknet_leaf_17_wb_clk_i (net)
-                  0.11    0.00    5.38 ^ mprj/u_mbist1/_4595_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                          0.10    5.48   clock uncertainty
-                          0.00    5.48   clock reconvergence pessimism
-                         -0.03    5.46   library hold time
-                                  5.46   data required time
------------------------------------------------------------------------------
-                                  5.46   data required time
-                                 -5.12   data arrival time
------------------------------------------------------------------------------
-                                 -0.33   slack (VIOLATED)
-
-
-Startpoint: mprj/u_intercon/_3925_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist0/_4597_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input317/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.20    0.25    0.26 ^ mprj/u_intercon/input317/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.02                           mprj/u_intercon/net317 (net)
-                  0.20    0.00    0.26 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.14    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d1 (net)
-                  0.09    0.00    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d2 (net)
-                  0.07    0.00    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d3 (net)
-                  0.10    0.00    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d4 (net)
-                  0.08    0.00    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d5 (net)
-                  0.08    0.00    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d6 (net)
-                  0.07    0.00    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d7 (net)
-                  0.08    0.00    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.18 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d8 (net)
-                  0.08    0.00    1.18 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d04 (net)
-                  0.05    0.00    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.12    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d12 (net)
-                  0.06    0.00    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d21 (net)
-                  0.05    0.00    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    1.69 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_intercon/net626 (net)
-                  0.07    0.00    1.69 ^ mprj/u_intercon/output626/A (sky130_fd_sc_hd__buf_2)
-                  0.80    0.60    2.29 ^ mprj/u_intercon/output626/X (sky130_fd_sc_hd__buf_2)
-     2    0.17                           mprj/wbd_clk_wi_skew (net)
-                  0.81    0.04    2.33 ^ mprj/u_intercon/clkbuf_0_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.28    2.61 ^ mprj/u_intercon/clkbuf_0_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_intercon/clknet_0_clk_i (net)
-                  0.07    0.00    2.61 ^ mprj/u_intercon/clkbuf_1_0_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.74 ^ mprj/u_intercon/clkbuf_1_0_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_intercon/clknet_1_0_0_clk_i (net)
-                  0.04    0.00    2.74 ^ mprj/u_intercon/clkbuf_1_0_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.86 ^ mprj/u_intercon/clkbuf_1_0_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_intercon/clknet_1_0_1_clk_i (net)
-                  0.04    0.00    2.86 ^ mprj/u_intercon/clkbuf_1_0_2_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.11    2.97 ^ mprj/u_intercon/clkbuf_1_0_2_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_0_2_clk_i (net)
-                  0.03    0.00    2.97 ^ mprj/u_intercon/clkbuf_1_0_3_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14    3.11 ^ mprj/u_intercon/clkbuf_1_0_3_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_intercon/clknet_1_0_3_clk_i (net)
-                  0.07    0.00    3.11 ^ mprj/u_intercon/clkbuf_2_0_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    3.26 ^ mprj/u_intercon/clkbuf_2_0_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_2_0_0_clk_i (net)
-                  0.06    0.00    3.26 ^ mprj/u_intercon/clkbuf_3_0_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    3.38 ^ mprj/u_intercon/clkbuf_3_0_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_3_0_0_clk_i (net)
-                  0.04    0.00    3.38 ^ mprj/u_intercon/clkbuf_3_0_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.26    0.27    3.66 ^ mprj/u_intercon/clkbuf_3_0_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.15                           mprj/u_intercon/clknet_3_0_1_clk_i (net)
-                  0.26    0.01    3.67 ^ mprj/u_intercon/clkbuf_leaf_65_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.22    3.88 ^ mprj/u_intercon/clkbuf_leaf_65_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    14    0.06                           mprj/u_intercon/clknet_leaf_65_clk_i (net)
-                  0.08    0.00    3.88 ^ mprj/u_intercon/_3925_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.06    0.33    4.21 ^ mprj/u_intercon/_3925_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.00                           mprj/u_intercon/u_s2.u_sync_wbb.s_cmd_rd_data_l[44] (net)
-                  0.06    0.00    4.21 ^ mprj/u_intercon/_2275_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13    4.34 ^ mprj/u_intercon/_2275_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_intercon/net552 (net)
-                  0.06    0.00    4.34 ^ mprj/u_intercon/output552/A (sky130_fd_sc_hd__buf_2)
-                  0.20    0.22    4.56 ^ mprj/u_intercon/output552/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_mbist0_dat_o[26] (net)
-                  0.20    0.00    4.56 ^ mprj/u_mbist0/input178/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.12    4.68 ^ mprj/u_mbist0/input178/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_mbist0/net178 (net)
-                  0.06    0.00    4.68 ^ mprj/u_mbist0/_3913_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    4.80 ^ mprj/u_mbist0/_3913_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/_0547_ (net)
-                  0.04    0.00    4.80 ^ mprj/u_mbist0/_4597_/D (sky130_fd_sc_hd__dfrtp_4)
-                                  4.80   data arrival time
-
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.27    0.36    0.36 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     2    0.03                           mprj/u_intercon/net2 (net)
-                  0.27    0.00    0.36 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.13    0.50 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net319 (net)
-                  0.06    0.00    0.50 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
-                  0.15    0.19    0.69 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
-                  0.15    0.00    0.69 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    0.86 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net198 (net)
-                  0.12    0.00    0.86 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.70 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    1.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d03 (net)
-                  0.04    0.00    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
-                  0.04    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.23 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/net427 (net)
-                  0.05    0.00    2.23 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.09 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist0_skew (net)
-                  1.11    0.05    3.14 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.48 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.48 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.63 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00    3.63 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    3.76 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00    3.76 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    3.88 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00    3.88 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.41    4.29 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
-                  0.44    0.01    4.30 ^ mprj/u_mbist0/clkbuf_opt_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.24    4.54 ^ mprj/u_mbist0/clkbuf_opt_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_6_0_wb_clk_i (net)
-                  0.05    0.00    4.54 ^ mprj/u_mbist0/clkbuf_opt_6_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.67 ^ mprj/u_mbist0/clkbuf_opt_6_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_6_1_wb_clk_i (net)
-                  0.04    0.00    4.67 ^ mprj/u_mbist0/clkbuf_opt_6_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.14    4.82 ^ mprj/u_mbist0/clkbuf_opt_6_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.04                           mprj/u_mbist0/clknet_opt_6_2_wb_clk_i (net)
-                  0.06    0.00    4.82 ^ mprj/u_mbist0/clkbuf_leaf_17_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.19    5.01 ^ mprj/u_mbist0/clkbuf_leaf_17_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_mbist0/clknet_leaf_17_wb_clk_i (net)
-                  0.11    0.00    5.01 ^ mprj/u_mbist0/_4597_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                          0.10    5.11   clock uncertainty
-                          0.00    5.11   clock reconvergence pessimism
-                         -0.02    5.09   library hold time
-                                  5.09   data required time
------------------------------------------------------------------------------
-                                  5.09   data required time
-                                 -4.80   data arrival time
------------------------------------------------------------------------------
-                                 -0.29   slack (VIOLATED)
-
-
-Startpoint: mprj/u_intercon/_4144_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist1/_4585_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input317/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.20    0.25    0.26 ^ mprj/u_intercon/input317/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.02                           mprj/u_intercon/net317 (net)
-                  0.20    0.00    0.26 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.14    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d1 (net)
-                  0.09    0.00    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d2 (net)
-                  0.07    0.00    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d3 (net)
-                  0.10    0.00    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d4 (net)
-                  0.08    0.00    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d5 (net)
-                  0.08    0.00    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d6 (net)
-                  0.07    0.00    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d7 (net)
-                  0.08    0.00    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.18 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d8 (net)
-                  0.08    0.00    1.18 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d04 (net)
-                  0.05    0.00    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.12    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d12 (net)
-                  0.06    0.00    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d21 (net)
-                  0.05    0.00    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    1.69 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_intercon/net626 (net)
-                  0.07    0.00    1.69 ^ mprj/u_intercon/output626/A (sky130_fd_sc_hd__buf_2)
-                  0.80    0.60    2.29 ^ mprj/u_intercon/output626/X (sky130_fd_sc_hd__buf_2)
-     2    0.17                           mprj/wbd_clk_wi_skew (net)
-                  0.81    0.04    2.33 ^ mprj/u_intercon/clkbuf_0_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.28    2.61 ^ mprj/u_intercon/clkbuf_0_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_intercon/clknet_0_clk_i (net)
-                  0.07    0.00    2.61 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.74 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_intercon/clknet_1_1_0_clk_i (net)
-                  0.04    0.00    2.74 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.86 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_1_clk_i (net)
-                  0.04    0.00    2.86 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.11    2.97 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_2_clk_i (net)
-                  0.04    0.00    2.97 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.13    3.11 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_1_1_3_clk_i (net)
-                  0.07    0.00    3.11 ^ mprj/u_intercon/clkbuf_2_3_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.15    3.25 ^ mprj/u_intercon/clkbuf_2_3_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_2_3_0_clk_i (net)
-                  0.07    0.00    3.26 ^ mprj/u_intercon/clkbuf_3_6_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    3.38 ^ mprj/u_intercon/clkbuf_3_6_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_3_6_0_clk_i (net)
-                  0.04    0.00    3.38 ^ mprj/u_intercon/clkbuf_3_6_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.26    0.27    3.65 ^ mprj/u_intercon/clkbuf_3_6_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.15                           mprj/u_intercon/clknet_3_6_1_clk_i (net)
-                  0.26    0.01    3.66 ^ mprj/u_intercon/clkbuf_leaf_14_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.24    3.89 ^ mprj/u_intercon/clkbuf_leaf_14_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_intercon/clknet_leaf_14_clk_i (net)
-                  0.10    0.00    3.90 ^ mprj/u_intercon/_4144_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.06    0.34    4.23 ^ mprj/u_intercon/_4144_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.00                           mprj/u_intercon/u_s3.u_sync_wbb.s_cmd_rd_data_l[32] (net)
-                  0.06    0.00    4.23 ^ mprj/u_intercon/_2144_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.17    0.21    4.45 ^ mprj/u_intercon/_2144_/X (sky130_fd_sc_hd__mux2_1)
-     2    0.02                           mprj/u_intercon/net591 (net)
-                  0.17    0.00    4.45 ^ mprj/u_intercon/wire731/A (sky130_fd_sc_hd__buf_4)
-                  0.23    0.28    4.73 ^ mprj/u_intercon/wire731/X (sky130_fd_sc_hd__buf_4)
-     2    0.08                           mprj/u_intercon/net731 (net)
-                  0.23    0.00    4.73 ^ mprj/u_intercon/output591/A (sky130_fd_sc_hd__buf_2)
-                  0.18    0.25    4.98 ^ mprj/u_intercon/output591/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_mbist1_dat_o[14] (net)
-                  0.18    0.00    4.99 ^ mprj/u_mbist1/input165/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.16    0.18    5.17 ^ mprj/u_mbist1/input165/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_mbist1/net165 (net)
-                  0.16    0.00    5.17 ^ mprj/u_mbist1/_3901_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.14    5.31 ^ mprj/u_mbist1/_3901_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/_0535_ (net)
-                  0.04    0.00    5.31 ^ mprj/u_mbist1/_4585_/D (sky130_fd_sc_hd__dfrtp_4)
-                                  5.31   data arrival time
-
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.24    0.53 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
-     2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.21    0.76 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02    0.77 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.13    0.90 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00    0.90 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.17    1.07 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
-     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00    1.07 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    1.22 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00    1.22 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    2.07 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    2.07 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.60 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00    2.60 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.46 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05    3.51 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.84 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.85 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.99 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00    3.99 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.13 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00    4.13 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.24 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00    4.25 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.41    4.66 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
-                  0.44    0.01    4.67 ^ mprj/u_mbist1/clkbuf_opt_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.24    4.91 ^ mprj/u_mbist1/clkbuf_opt_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_4_0_wb_clk_i (net)
-                  0.05    0.00    4.91 ^ mprj/u_mbist1/clkbuf_opt_4_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.04 ^ mprj/u_mbist1/clkbuf_opt_4_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_4_1_wb_clk_i (net)
-                  0.04    0.00    5.04 ^ mprj/u_mbist1/clkbuf_opt_4_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.17 ^ mprj/u_mbist1/clkbuf_opt_4_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_4_2_wb_clk_i (net)
-                  0.04    0.00    5.17 ^ mprj/u_mbist1/clkbuf_opt_4_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14    5.31 ^ mprj/u_mbist1/clkbuf_opt_4_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.03                           mprj/u_mbist1/clknet_opt_4_3_wb_clk_i (net)
-                  0.05    0.00    5.31 ^ mprj/u_mbist1/clkbuf_leaf_13_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.19    5.50 ^ mprj/u_mbist1/clkbuf_leaf_13_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_mbist1/clknet_leaf_13_wb_clk_i (net)
-                  0.11    0.00    5.50 ^ mprj/u_mbist1/_4585_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                          0.10    5.60   clock uncertainty
-                          0.00    5.60   clock reconvergence pessimism
-                         -0.03    5.58   library hold time
-                                  5.58   data required time
------------------------------------------------------------------------------
-                                  5.58   data required time
-                                 -5.31   data arrival time
------------------------------------------------------------------------------
-                                 -0.27   slack (VIOLATED)
-
-
-Startpoint: mprj/u_intercon/_3911_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist0/_4583_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input317/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.20    0.25    0.26 ^ mprj/u_intercon/input317/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.02                           mprj/u_intercon/net317 (net)
-                  0.20    0.00    0.26 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.14    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d1 (net)
-                  0.09    0.00    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d2 (net)
-                  0.07    0.00    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d3 (net)
-                  0.10    0.00    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d4 (net)
-                  0.08    0.00    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d5 (net)
-                  0.08    0.00    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d6 (net)
-                  0.07    0.00    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d7 (net)
-                  0.08    0.00    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.18 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d8 (net)
-                  0.08    0.00    1.18 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d04 (net)
-                  0.05    0.00    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.12    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d12 (net)
-                  0.06    0.00    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d21 (net)
-                  0.05    0.00    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    1.69 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_intercon/net626 (net)
-                  0.07    0.00    1.69 ^ mprj/u_intercon/output626/A (sky130_fd_sc_hd__buf_2)
-                  0.80    0.60    2.29 ^ mprj/u_intercon/output626/X (sky130_fd_sc_hd__buf_2)
-     2    0.17                           mprj/wbd_clk_wi_skew (net)
-                  0.81    0.04    2.33 ^ mprj/u_intercon/clkbuf_0_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.28    2.61 ^ mprj/u_intercon/clkbuf_0_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_intercon/clknet_0_clk_i (net)
-                  0.07    0.00    2.61 ^ mprj/u_intercon/clkbuf_1_0_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.74 ^ mprj/u_intercon/clkbuf_1_0_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_intercon/clknet_1_0_0_clk_i (net)
-                  0.04    0.00    2.74 ^ mprj/u_intercon/clkbuf_1_0_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.86 ^ mprj/u_intercon/clkbuf_1_0_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_intercon/clknet_1_0_1_clk_i (net)
-                  0.04    0.00    2.86 ^ mprj/u_intercon/clkbuf_1_0_2_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.11    2.97 ^ mprj/u_intercon/clkbuf_1_0_2_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_0_2_clk_i (net)
-                  0.03    0.00    2.97 ^ mprj/u_intercon/clkbuf_1_0_3_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14    3.11 ^ mprj/u_intercon/clkbuf_1_0_3_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_intercon/clknet_1_0_3_clk_i (net)
-                  0.07    0.00    3.11 ^ mprj/u_intercon/clkbuf_2_0_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    3.26 ^ mprj/u_intercon/clkbuf_2_0_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_2_0_0_clk_i (net)
-                  0.06    0.00    3.26 ^ mprj/u_intercon/clkbuf_3_0_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    3.38 ^ mprj/u_intercon/clkbuf_3_0_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_3_0_0_clk_i (net)
-                  0.04    0.00    3.38 ^ mprj/u_intercon/clkbuf_3_0_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.26    0.27    3.66 ^ mprj/u_intercon/clkbuf_3_0_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.15                           mprj/u_intercon/clknet_3_0_1_clk_i (net)
-                  0.26    0.01    3.67 ^ mprj/u_intercon/clkbuf_leaf_60_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.24    3.90 ^ mprj/u_intercon/clkbuf_leaf_60_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_intercon/clknet_leaf_60_clk_i (net)
-                  0.11    0.00    3.91 ^ mprj/u_intercon/_3911_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.06    0.34    4.25 ^ mprj/u_intercon/_3911_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_intercon/u_s2.u_sync_wbb.s_cmd_rd_data_l[30] (net)
-                  0.06    0.00    4.25 ^ mprj/u_intercon/_2247_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.17    0.21    4.46 ^ mprj/u_intercon/_2247_/X (sky130_fd_sc_hd__mux2_1)
-     2    0.02                           mprj/u_intercon/net537 (net)
-                  0.17    0.00    4.46 ^ mprj/u_intercon/output537/A (sky130_fd_sc_hd__buf_2)
-                  0.20    0.25    4.71 ^ mprj/u_intercon/output537/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_mbist0_dat_o[12] (net)
-                  0.20    0.00    4.71 ^ mprj/u_mbist0/input163/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    4.84 ^ mprj/u_mbist0/input163/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_mbist0/net163 (net)
-                  0.07    0.00    4.84 ^ mprj/u_mbist0/_3899_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    4.95 ^ mprj/u_mbist0/_3899_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/_0533_ (net)
-                  0.04    0.00    4.95 ^ mprj/u_mbist0/_4583_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  4.95   data arrival time
-
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.27    0.36    0.36 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     2    0.03                           mprj/u_intercon/net2 (net)
-                  0.27    0.00    0.36 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.13    0.50 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net319 (net)
-                  0.06    0.00    0.50 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
-                  0.15    0.19    0.69 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
-                  0.15    0.00    0.69 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    0.86 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net198 (net)
-                  0.12    0.00    0.86 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.70 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    1.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d03 (net)
-                  0.04    0.00    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
-                  0.04    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.23 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/net427 (net)
-                  0.05    0.00    2.23 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.09 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist0_skew (net)
-                  1.11    0.05    3.14 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.48 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.48 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.63 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00    3.63 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    3.76 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00    3.76 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    3.88 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00    3.88 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.41    4.29 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
-                  0.44    0.01    4.30 ^ mprj/u_mbist0/clkbuf_opt_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.24    4.54 ^ mprj/u_mbist0/clkbuf_opt_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_4_0_wb_clk_i (net)
-                  0.05    0.00    4.54 ^ mprj/u_mbist0/clkbuf_opt_4_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.67 ^ mprj/u_mbist0/clkbuf_opt_4_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_4_1_wb_clk_i (net)
-                  0.04    0.00    4.68 ^ mprj/u_mbist0/clkbuf_opt_4_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.80 ^ mprj/u_mbist0/clkbuf_opt_4_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_4_2_wb_clk_i (net)
-                  0.04    0.00    4.80 ^ mprj/u_mbist0/clkbuf_opt_4_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14    4.94 ^ mprj/u_mbist0/clkbuf_opt_4_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.03                           mprj/u_mbist0/clknet_opt_4_3_wb_clk_i (net)
-                  0.05    0.00    4.94 ^ mprj/u_mbist0/clkbuf_leaf_13_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.19    5.13 ^ mprj/u_mbist0/clkbuf_leaf_13_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_mbist0/clknet_leaf_13_wb_clk_i (net)
-                  0.11    0.00    5.14 ^ mprj/u_mbist0/_4583_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    5.24   clock uncertainty
-                          0.00    5.24   clock reconvergence pessimism
-                         -0.03    5.21   library hold time
-                                  5.21   data required time
------------------------------------------------------------------------------
-                                  5.21   data required time
-                                 -4.95   data arrival time
------------------------------------------------------------------------------
-                                 -0.26   slack (VIOLATED)
-
-
-Startpoint: mprj/u_intercon/_4146_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist1/_4587_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input317/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.20    0.25    0.26 ^ mprj/u_intercon/input317/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.02                           mprj/u_intercon/net317 (net)
-                  0.20    0.00    0.26 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.14    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d1 (net)
-                  0.09    0.00    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d2 (net)
-                  0.07    0.00    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d3 (net)
-                  0.10    0.00    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d4 (net)
-                  0.08    0.00    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d5 (net)
-                  0.08    0.00    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d6 (net)
-                  0.07    0.00    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d7 (net)
-                  0.08    0.00    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.18 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d8 (net)
-                  0.08    0.00    1.18 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d04 (net)
-                  0.05    0.00    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.12    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d12 (net)
-                  0.06    0.00    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d21 (net)
-                  0.05    0.00    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    1.69 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_intercon/net626 (net)
-                  0.07    0.00    1.69 ^ mprj/u_intercon/output626/A (sky130_fd_sc_hd__buf_2)
-                  0.80    0.60    2.29 ^ mprj/u_intercon/output626/X (sky130_fd_sc_hd__buf_2)
-     2    0.17                           mprj/wbd_clk_wi_skew (net)
-                  0.81    0.04    2.33 ^ mprj/u_intercon/clkbuf_0_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.28    2.61 ^ mprj/u_intercon/clkbuf_0_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_intercon/clknet_0_clk_i (net)
-                  0.07    0.00    2.61 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.74 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_intercon/clknet_1_1_0_clk_i (net)
-                  0.04    0.00    2.74 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.86 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_1_clk_i (net)
-                  0.04    0.00    2.86 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.11    2.97 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_2_clk_i (net)
-                  0.04    0.00    2.97 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.13    3.11 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_1_1_3_clk_i (net)
-                  0.07    0.00    3.11 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    3.25 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_2_2_0_clk_i (net)
-                  0.06    0.00    3.25 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    3.37 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_3_5_0_clk_i (net)
-                  0.04    0.00    3.37 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.21    0.24    3.61 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.12                           mprj/u_intercon/clknet_3_5_1_clk_i (net)
-                  0.21    0.01    3.62 ^ mprj/u_intercon/clkbuf_leaf_18_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.12    0.23    3.85 ^ mprj/u_intercon/clkbuf_leaf_18_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.10                           mprj/u_intercon/clknet_leaf_18_clk_i (net)
-                  0.12    0.00    3.85 ^ mprj/u_intercon/_4146_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.07    0.35    4.20 ^ mprj/u_intercon/_4146_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_intercon/u_s3.u_sync_wbb.s_cmd_rd_data_l[34] (net)
-                  0.07    0.00    4.20 ^ mprj/u_intercon/_2148_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.17    4.37 ^ mprj/u_intercon/_2148_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_intercon/net593 (net)
-                  0.10    0.00    4.37 ^ mprj/u_intercon/output593/A (sky130_fd_sc_hd__buf_2)
-                  0.18    0.21    4.59 ^ mprj/u_intercon/output593/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_mbist1_dat_o[16] (net)
-                  0.18    0.00    4.59 ^ mprj/u_mbist1/input167/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.12    4.71 ^ mprj/u_mbist1/input167/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_mbist1/net167 (net)
-                  0.06    0.00    4.71 ^ mprj/u_mbist1/_3903_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    4.82 ^ mprj/u_mbist1/_3903_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/_0537_ (net)
-                  0.04    0.00    4.82 ^ mprj/u_mbist1/_4587_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  4.82   data arrival time
-
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.24    0.53 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
-     2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.21    0.76 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02    0.77 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.13    0.90 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00    0.90 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.17    1.07 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
-     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00    1.07 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    1.22 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00    1.22 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    2.07 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    2.07 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.60 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00    2.60 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.46 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05    3.51 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.84 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.85 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.99 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00    3.99 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.13 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00    4.13 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.24 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00    4.25 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.41    4.66 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
-                  0.45    0.03    4.69 ^ mprj/u_mbist1/clkbuf_leaf_15_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.12    0.30    4.99 ^ mprj/u_mbist1/clkbuf_leaf_15_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.10                           mprj/u_mbist1/clknet_leaf_15_wb_clk_i (net)
-                  0.12    0.00    4.99 ^ mprj/u_mbist1/_4587_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    5.09   clock uncertainty
-                          0.00    5.09   clock reconvergence pessimism
-                         -0.02    5.07   library hold time
-                                  5.07   data required time
------------------------------------------------------------------------------
-                                  5.07   data required time
-                                 -4.82   data arrival time
------------------------------------------------------------------------------
-                                 -0.25   slack (VIOLATED)
-
-
-Startpoint: mprj/u_intercon/_4152_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist1/_4593_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input317/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.20    0.25    0.26 ^ mprj/u_intercon/input317/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.02                           mprj/u_intercon/net317 (net)
-                  0.20    0.00    0.26 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.14    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d1 (net)
-                  0.09    0.00    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d2 (net)
-                  0.07    0.00    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d3 (net)
-                  0.10    0.00    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d4 (net)
-                  0.08    0.00    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d5 (net)
-                  0.08    0.00    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d6 (net)
-                  0.07    0.00    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d7 (net)
-                  0.08    0.00    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.18 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d8 (net)
-                  0.08    0.00    1.18 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d04 (net)
-                  0.05    0.00    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.12    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d12 (net)
-                  0.06    0.00    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d21 (net)
-                  0.05    0.00    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    1.69 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_intercon/net626 (net)
-                  0.07    0.00    1.69 ^ mprj/u_intercon/output626/A (sky130_fd_sc_hd__buf_2)
-                  0.80    0.60    2.29 ^ mprj/u_intercon/output626/X (sky130_fd_sc_hd__buf_2)
-     2    0.17                           mprj/wbd_clk_wi_skew (net)
-                  0.81    0.04    2.33 ^ mprj/u_intercon/clkbuf_0_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.28    2.61 ^ mprj/u_intercon/clkbuf_0_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_intercon/clknet_0_clk_i (net)
-                  0.07    0.00    2.61 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.74 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_intercon/clknet_1_1_0_clk_i (net)
-                  0.04    0.00    2.74 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.86 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_1_clk_i (net)
-                  0.04    0.00    2.86 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.11    2.97 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_2_clk_i (net)
-                  0.04    0.00    2.97 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.13    3.11 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_1_1_3_clk_i (net)
-                  0.07    0.00    3.11 ^ mprj/u_intercon/clkbuf_2_3_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.15    3.25 ^ mprj/u_intercon/clkbuf_2_3_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_2_3_0_clk_i (net)
-                  0.07    0.00    3.26 ^ mprj/u_intercon/clkbuf_3_6_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    3.38 ^ mprj/u_intercon/clkbuf_3_6_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_3_6_0_clk_i (net)
-                  0.04    0.00    3.38 ^ mprj/u_intercon/clkbuf_3_6_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.26    0.27    3.65 ^ mprj/u_intercon/clkbuf_3_6_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.15                           mprj/u_intercon/clknet_3_6_1_clk_i (net)
-                  0.26    0.00    3.65 ^ mprj/u_intercon/clkbuf_leaf_15_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.12    0.25    3.90 ^ mprj/u_intercon/clkbuf_leaf_15_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.11                           mprj/u_intercon/clknet_leaf_15_clk_i (net)
-                  0.12    0.00    3.90 ^ mprj/u_intercon/_4152_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.06    0.35    4.25 ^ mprj/u_intercon/_4152_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_intercon/u_s3.u_sync_wbb.s_cmd_rd_data_l[40] (net)
-                  0.06    0.00    4.25 ^ mprj/u_intercon/_2160_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.17    4.42 ^ mprj/u_intercon/_2160_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_intercon/net600 (net)
-                  0.10    0.00    4.42 ^ mprj/u_intercon/wire730/A (sky130_fd_sc_hd__buf_4)
-                  0.24    0.27    4.68 ^ mprj/u_intercon/wire730/X (sky130_fd_sc_hd__buf_4)
-     2    0.09                           mprj/u_intercon/net730 (net)
-                  0.24    0.00    4.69 ^ mprj/u_intercon/output600/A (sky130_fd_sc_hd__buf_2)
-                  0.18    0.26    4.94 ^ mprj/u_intercon/output600/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_mbist1_dat_o[22] (net)
-                  0.18    0.00    4.95 ^ mprj/u_mbist1/input174/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.15    5.10 ^ mprj/u_mbist1/input174/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_mbist1/net174 (net)
-                  0.11    0.00    5.10 ^ mprj/u_mbist1/_3909_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    5.23 ^ mprj/u_mbist1/_3909_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/_0543_ (net)
-                  0.04    0.00    5.23 ^ mprj/u_mbist1/_4593_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  5.23   data arrival time
-
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.24    0.53 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
-     2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.21    0.76 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02    0.77 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.13    0.90 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00    0.90 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.17    1.07 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
-     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00    1.07 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    1.22 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00    1.22 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    2.07 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    2.07 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.60 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00    2.60 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.46 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05    3.51 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.84 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.85 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.99 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00    3.99 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.13 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00    4.13 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.24 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00    4.25 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.41    4.66 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
-                  0.44    0.01    4.67 ^ mprj/u_mbist1/clkbuf_opt_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.24    4.91 ^ mprj/u_mbist1/clkbuf_opt_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_6_0_wb_clk_i (net)
-                  0.05    0.00    4.91 ^ mprj/u_mbist1/clkbuf_opt_6_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.04 ^ mprj/u_mbist1/clkbuf_opt_6_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_6_1_wb_clk_i (net)
-                  0.04    0.00    5.04 ^ mprj/u_mbist1/clkbuf_opt_6_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.14    5.19 ^ mprj/u_mbist1/clkbuf_opt_6_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.04                           mprj/u_mbist1/clknet_opt_6_2_wb_clk_i (net)
-                  0.06    0.00    5.19 ^ mprj/u_mbist1/clkbuf_leaf_17_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.19    5.38 ^ mprj/u_mbist1/clkbuf_leaf_17_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_mbist1/clknet_leaf_17_wb_clk_i (net)
-                  0.11    0.00    5.38 ^ mprj/u_mbist1/_4593_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    5.48   clock uncertainty
-                          0.00    5.48   clock reconvergence pessimism
-                         -0.02    5.46   library hold time
-                                  5.46   data required time
------------------------------------------------------------------------------
-                                  5.46   data required time
-                                 -5.23   data arrival time
------------------------------------------------------------------------------
-                                 -0.23   slack (VIOLATED)
-
-
-Startpoint: mprj/u_intercon/_3907_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist0/_4579_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input317/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.20    0.25    0.26 ^ mprj/u_intercon/input317/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.02                           mprj/u_intercon/net317 (net)
-                  0.20    0.00    0.26 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.14    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d1 (net)
-                  0.09    0.00    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d2 (net)
-                  0.07    0.00    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d3 (net)
-                  0.10    0.00    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d4 (net)
-                  0.08    0.00    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d5 (net)
-                  0.08    0.00    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d6 (net)
-                  0.07    0.00    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d7 (net)
-                  0.08    0.00    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.18 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d8 (net)
-                  0.08    0.00    1.18 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d04 (net)
-                  0.05    0.00    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.12    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d12 (net)
-                  0.06    0.00    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d21 (net)
-                  0.05    0.00    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    1.69 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_intercon/net626 (net)
-                  0.07    0.00    1.69 ^ mprj/u_intercon/output626/A (sky130_fd_sc_hd__buf_2)
-                  0.80    0.60    2.29 ^ mprj/u_intercon/output626/X (sky130_fd_sc_hd__buf_2)
-     2    0.17                           mprj/wbd_clk_wi_skew (net)
-                  0.81    0.04    2.33 ^ mprj/u_intercon/clkbuf_0_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.28    2.61 ^ mprj/u_intercon/clkbuf_0_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_intercon/clknet_0_clk_i (net)
-                  0.07    0.00    2.61 ^ mprj/u_intercon/clkbuf_1_0_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.74 ^ mprj/u_intercon/clkbuf_1_0_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_intercon/clknet_1_0_0_clk_i (net)
-                  0.04    0.00    2.74 ^ mprj/u_intercon/clkbuf_1_0_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.86 ^ mprj/u_intercon/clkbuf_1_0_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_intercon/clknet_1_0_1_clk_i (net)
-                  0.04    0.00    2.86 ^ mprj/u_intercon/clkbuf_1_0_2_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.11    2.97 ^ mprj/u_intercon/clkbuf_1_0_2_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_0_2_clk_i (net)
-                  0.03    0.00    2.97 ^ mprj/u_intercon/clkbuf_1_0_3_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14    3.11 ^ mprj/u_intercon/clkbuf_1_0_3_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_intercon/clknet_1_0_3_clk_i (net)
-                  0.07    0.00    3.11 ^ mprj/u_intercon/clkbuf_2_0_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    3.26 ^ mprj/u_intercon/clkbuf_2_0_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_2_0_0_clk_i (net)
-                  0.06    0.00    3.26 ^ mprj/u_intercon/clkbuf_3_0_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    3.38 ^ mprj/u_intercon/clkbuf_3_0_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_3_0_0_clk_i (net)
-                  0.04    0.00    3.38 ^ mprj/u_intercon/clkbuf_3_0_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.26    0.27    3.66 ^ mprj/u_intercon/clkbuf_3_0_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.15                           mprj/u_intercon/clknet_3_0_1_clk_i (net)
-                  0.26    0.01    3.66 ^ mprj/u_intercon/clkbuf_leaf_62_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.25    3.91 ^ mprj/u_intercon/clkbuf_leaf_62_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.10                           mprj/u_intercon/clknet_leaf_62_clk_i (net)
-                  0.11    0.00    3.91 ^ mprj/u_intercon/_3907_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.08    0.35    4.26 ^ mprj/u_intercon/_3907_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_intercon/u_s2.u_sync_wbb.s_cmd_rd_data_l[26] (net)
-                  0.08    0.00    4.26 ^ mprj/u_intercon/_2239_/A0 (sky130_fd_sc_hd__mux2_2)
-                  0.19    0.26    4.53 ^ mprj/u_intercon/_2239_/X (sky130_fd_sc_hd__mux2_2)
-     2    0.04                           mprj/u_intercon/net564 (net)
-                  0.19    0.00    4.53 ^ mprj/u_intercon/output564/A (sky130_fd_sc_hd__buf_2)
-                  0.19    0.25    4.78 ^ mprj/u_intercon/output564/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_mbist0_dat_o[8] (net)
-                  0.19    0.00    4.78 ^ mprj/u_mbist0/input190/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.05    0.11    4.89 ^ mprj/u_mbist0/input190/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_mbist0/net190 (net)
-                  0.05    0.00    4.89 ^ mprj/u_mbist0/_3895_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    5.00 ^ mprj/u_mbist0/_3895_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/_0529_ (net)
-                  0.04    0.00    5.00 ^ mprj/u_mbist0/_4579_/D (sky130_fd_sc_hd__dfrtp_4)
-                                  5.00   data arrival time
-
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.27    0.36    0.36 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     2    0.03                           mprj/u_intercon/net2 (net)
-                  0.27    0.00    0.36 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.13    0.50 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net319 (net)
-                  0.06    0.00    0.50 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
-                  0.15    0.19    0.69 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
-                  0.15    0.00    0.69 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    0.86 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net198 (net)
-                  0.12    0.00    0.86 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.70 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    1.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d03 (net)
-                  0.04    0.00    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
-                  0.04    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.23 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/net427 (net)
-                  0.05    0.00    2.23 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.09 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist0_skew (net)
-                  1.11    0.05    3.14 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.48 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.48 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.63 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00    3.63 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    3.76 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00    3.76 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    3.88 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00    3.88 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.41    4.29 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
-                  0.44    0.01    4.30 ^ mprj/u_mbist0/clkbuf_opt_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.24    4.54 ^ mprj/u_mbist0/clkbuf_opt_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_4_0_wb_clk_i (net)
-                  0.05    0.00    4.54 ^ mprj/u_mbist0/clkbuf_opt_4_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.67 ^ mprj/u_mbist0/clkbuf_opt_4_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_4_1_wb_clk_i (net)
-                  0.04    0.00    4.68 ^ mprj/u_mbist0/clkbuf_opt_4_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.80 ^ mprj/u_mbist0/clkbuf_opt_4_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_4_2_wb_clk_i (net)
-                  0.04    0.00    4.80 ^ mprj/u_mbist0/clkbuf_opt_4_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14    4.94 ^ mprj/u_mbist0/clkbuf_opt_4_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.03                           mprj/u_mbist0/clknet_opt_4_3_wb_clk_i (net)
-                  0.05    0.00    4.94 ^ mprj/u_mbist0/clkbuf_leaf_13_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.19    5.13 ^ mprj/u_mbist0/clkbuf_leaf_13_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_mbist0/clknet_leaf_13_wb_clk_i (net)
-                  0.11    0.00    5.14 ^ mprj/u_mbist0/_4579_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                          0.10    5.24   clock uncertainty
-                          0.00    5.24   clock reconvergence pessimism
-                         -0.02    5.21   library hold time
-                                  5.21   data required time
------------------------------------------------------------------------------
-                                  5.21   data required time
-                                 -5.00   data arrival time
------------------------------------------------------------------------------
-                                 -0.21   slack (VIOLATED)
-
-
-Startpoint: mprj/u_intercon/_3910_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist0/_4582_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input317/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.20    0.25    0.26 ^ mprj/u_intercon/input317/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.02                           mprj/u_intercon/net317 (net)
-                  0.20    0.00    0.26 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.14    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d1 (net)
-                  0.09    0.00    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d2 (net)
-                  0.07    0.00    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d3 (net)
-                  0.10    0.00    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d4 (net)
-                  0.08    0.00    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d5 (net)
-                  0.08    0.00    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d6 (net)
-                  0.07    0.00    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d7 (net)
-                  0.08    0.00    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.18 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d8 (net)
-                  0.08    0.00    1.18 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d04 (net)
-                  0.05    0.00    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.12    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d12 (net)
-                  0.06    0.00    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d21 (net)
-                  0.05    0.00    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    1.69 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_intercon/net626 (net)
-                  0.07    0.00    1.69 ^ mprj/u_intercon/output626/A (sky130_fd_sc_hd__buf_2)
-                  0.80    0.60    2.29 ^ mprj/u_intercon/output626/X (sky130_fd_sc_hd__buf_2)
-     2    0.17                           mprj/wbd_clk_wi_skew (net)
-                  0.81    0.04    2.33 ^ mprj/u_intercon/clkbuf_0_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.28    2.61 ^ mprj/u_intercon/clkbuf_0_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_intercon/clknet_0_clk_i (net)
-                  0.07    0.00    2.61 ^ mprj/u_intercon/clkbuf_1_0_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.74 ^ mprj/u_intercon/clkbuf_1_0_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_intercon/clknet_1_0_0_clk_i (net)
-                  0.04    0.00    2.74 ^ mprj/u_intercon/clkbuf_1_0_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.86 ^ mprj/u_intercon/clkbuf_1_0_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_intercon/clknet_1_0_1_clk_i (net)
-                  0.04    0.00    2.86 ^ mprj/u_intercon/clkbuf_1_0_2_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.11    2.97 ^ mprj/u_intercon/clkbuf_1_0_2_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_0_2_clk_i (net)
-                  0.03    0.00    2.97 ^ mprj/u_intercon/clkbuf_1_0_3_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14    3.11 ^ mprj/u_intercon/clkbuf_1_0_3_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_intercon/clknet_1_0_3_clk_i (net)
-                  0.07    0.00    3.11 ^ mprj/u_intercon/clkbuf_2_1_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.15    3.26 ^ mprj/u_intercon/clkbuf_2_1_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_2_1_0_clk_i (net)
-                  0.07    0.00    3.27 ^ mprj/u_intercon/clkbuf_3_3_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    3.39 ^ mprj/u_intercon/clkbuf_3_3_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_3_3_0_clk_i (net)
-                  0.04    0.00    3.39 ^ mprj/u_intercon/clkbuf_3_3_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.32    0.31    3.70 ^ mprj/u_intercon/clkbuf_3_3_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.19                           mprj/u_intercon/clknet_3_3_1_clk_i (net)
-                  0.32    0.01    3.70 ^ mprj/u_intercon/clkbuf_leaf_2_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.25    3.96 ^ mprj/u_intercon/clkbuf_leaf_2_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_intercon/clknet_leaf_2_clk_i (net)
-                  0.11    0.00    3.96 ^ mprj/u_intercon/_3910_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.07    0.35    4.31 ^ mprj/u_intercon/_3910_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_intercon/u_s2.u_sync_wbb.s_cmd_rd_data_l[29] (net)
-                  0.07    0.00    4.31 ^ mprj/u_intercon/_2245_/A0 (sky130_fd_sc_hd__mux2_4)
-                  0.16    0.24    4.54 ^ mprj/u_intercon/_2245_/X (sky130_fd_sc_hd__mux2_4)
-     2    0.05                           mprj/u_intercon/net536 (net)
-                  0.16    0.01    4.55 ^ mprj/u_intercon/output536/A (sky130_fd_sc_hd__buf_2)
-                  0.16    0.22    4.78 ^ mprj/u_intercon/output536/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_mbist0_dat_o[11] (net)
-                  0.16    0.00    4.78 ^ mprj/u_mbist0/input162/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.12    4.89 ^ mprj/u_mbist0/input162/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_mbist0/net162 (net)
-                  0.06    0.00    4.90 ^ mprj/u_mbist0/_3898_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    5.01 ^ mprj/u_mbist0/_3898_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/_0532_ (net)
-                  0.04    0.00    5.01 ^ mprj/u_mbist0/_4582_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  5.01   data arrival time
-
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.27    0.36    0.36 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     2    0.03                           mprj/u_intercon/net2 (net)
-                  0.27    0.00    0.36 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.13    0.50 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net319 (net)
-                  0.06    0.00    0.50 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
-                  0.15    0.19    0.69 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
-                  0.15    0.00    0.69 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    0.86 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net198 (net)
-                  0.12    0.00    0.86 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.70 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    1.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d03 (net)
-                  0.04    0.00    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
-                  0.04    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.23 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/net427 (net)
-                  0.05    0.00    2.23 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.09 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist0_skew (net)
-                  1.11    0.05    3.14 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.48 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.48 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.63 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00    3.63 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    3.76 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00    3.76 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    3.88 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00    3.88 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.41    4.29 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
-                  0.44    0.01    4.30 ^ mprj/u_mbist0/clkbuf_opt_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.24    4.54 ^ mprj/u_mbist0/clkbuf_opt_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_4_0_wb_clk_i (net)
-                  0.05    0.00    4.54 ^ mprj/u_mbist0/clkbuf_opt_4_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.67 ^ mprj/u_mbist0/clkbuf_opt_4_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_4_1_wb_clk_i (net)
-                  0.04    0.00    4.68 ^ mprj/u_mbist0/clkbuf_opt_4_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.80 ^ mprj/u_mbist0/clkbuf_opt_4_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_4_2_wb_clk_i (net)
-                  0.04    0.00    4.80 ^ mprj/u_mbist0/clkbuf_opt_4_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14    4.94 ^ mprj/u_mbist0/clkbuf_opt_4_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.03                           mprj/u_mbist0/clknet_opt_4_3_wb_clk_i (net)
-                  0.05    0.00    4.94 ^ mprj/u_mbist0/clkbuf_leaf_13_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.19    5.13 ^ mprj/u_mbist0/clkbuf_leaf_13_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_mbist0/clknet_leaf_13_wb_clk_i (net)
-                  0.11    0.00    5.14 ^ mprj/u_mbist0/_4582_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    5.24   clock uncertainty
-                          0.00    5.24   clock reconvergence pessimism
-                         -0.02    5.21   library hold time
-                                  5.21   data required time
------------------------------------------------------------------------------
-                                  5.21   data required time
-                                 -5.01   data arrival time
------------------------------------------------------------------------------
-                                 -0.20   slack (VIOLATED)
-
-
-Startpoint: mprj/u_intercon/_4166_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist1/_4503_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input317/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.20    0.25    0.26 ^ mprj/u_intercon/input317/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.02                           mprj/u_intercon/net317 (net)
-                  0.20    0.00    0.26 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.14    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d1 (net)
-                  0.09    0.00    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d2 (net)
-                  0.07    0.00    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d3 (net)
-                  0.10    0.00    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d4 (net)
-                  0.08    0.00    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d5 (net)
-                  0.08    0.00    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d6 (net)
-                  0.07    0.00    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d7 (net)
-                  0.08    0.00    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.18 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d8 (net)
-                  0.08    0.00    1.18 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d04 (net)
-                  0.05    0.00    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.12    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d12 (net)
-                  0.06    0.00    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d21 (net)
-                  0.05    0.00    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    1.69 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_intercon/net626 (net)
-                  0.07    0.00    1.69 ^ mprj/u_intercon/output626/A (sky130_fd_sc_hd__buf_2)
-                  0.80    0.60    2.29 ^ mprj/u_intercon/output626/X (sky130_fd_sc_hd__buf_2)
-     2    0.17                           mprj/wbd_clk_wi_skew (net)
-                  0.81    0.04    2.33 ^ mprj/u_intercon/clkbuf_0_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.28    2.61 ^ mprj/u_intercon/clkbuf_0_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_intercon/clknet_0_clk_i (net)
-                  0.07    0.00    2.61 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.74 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_intercon/clknet_1_1_0_clk_i (net)
-                  0.04    0.00    2.74 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.86 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_1_clk_i (net)
-                  0.04    0.00    2.86 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.11    2.97 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_2_clk_i (net)
-                  0.04    0.00    2.97 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.13    3.11 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_1_1_3_clk_i (net)
-                  0.07    0.00    3.11 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    3.25 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_2_2_0_clk_i (net)
-                  0.06    0.00    3.25 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    3.37 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_3_5_0_clk_i (net)
-                  0.04    0.00    3.37 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.21    0.24    3.61 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.12                           mprj/u_intercon/clknet_3_5_1_clk_i (net)
-                  0.21    0.00    3.61 ^ mprj/u_intercon/clkbuf_leaf_17_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.22    3.83 ^ mprj/u_intercon/clkbuf_leaf_17_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.08                           mprj/u_intercon/clknet_leaf_17_clk_i (net)
-                  0.10    0.00    3.83 ^ mprj/u_intercon/_4166_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.06    0.34    4.17 ^ mprj/u_intercon/_4166_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.00                           mprj/u_intercon/u_s3.u_sync_wbb.s_cmd_rd_data_l[56] (net)
-                  0.06    0.00    4.17 ^ mprj/u_intercon/_2186_/A0 (sky130_fd_sc_hd__mux2_2)
-                  0.19    0.26    4.43 ^ mprj/u_intercon/_2186_/X (sky130_fd_sc_hd__mux2_2)
-     2    0.04                           mprj/u_intercon/net580 (net)
-                  0.19    0.00    4.43 ^ mprj/u_intercon/output580/A (sky130_fd_sc_hd__buf_2)
-                  0.18    0.24    4.67 ^ mprj/u_intercon/output580/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_mbist1_adr_o[5] (net)
-                  0.18    0.00    4.67 ^ mprj/u_mbist1/input144/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.30    0.29    4.97 ^ mprj/u_mbist1/input144/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.03                           mprj/u_mbist1/net144 (net)
-                  0.30    0.00    4.97 ^ mprj/u_mbist1/_3702_/B (sky130_fd_sc_hd__and2b_1)
-                  0.04    0.16    5.12 ^ mprj/u_mbist1/_3702_/X (sky130_fd_sc_hd__and2b_1)
-     1    0.00                           mprj/u_mbist1/_1763_ (net)
-                  0.04    0.00    5.12 ^ mprj/u_mbist1/_3706_/B1 (sky130_fd_sc_hd__o2bb2a_1)
-                  0.04    0.12    5.25 ^ mprj/u_mbist1/_3706_/X (sky130_fd_sc_hd__o2bb2a_1)
-     1    0.00                           mprj/u_mbist1/_0453_ (net)
-                  0.04    0.00    5.25 ^ mprj/u_mbist1/_4503_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  5.25   data arrival time
-
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.24    0.53 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
-     2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.21    0.76 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02    0.77 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.13    0.90 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00    0.90 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.17    1.07 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
-     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00    1.07 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    1.22 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00    1.22 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    2.07 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    2.07 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.60 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00    2.60 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.46 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05    3.51 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.84 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.85 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.99 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00    3.99 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.13 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00    4.13 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.24 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00    4.25 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.41    4.66 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
-                  0.44    0.02    4.67 ^ mprj/u_mbist1/clkbuf_opt_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.24    4.92 ^ mprj/u_mbist1/clkbuf_opt_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_7_0_wb_clk_i (net)
-                  0.05    0.00    4.92 ^ mprj/u_mbist1/clkbuf_opt_7_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.05 ^ mprj/u_mbist1/clkbuf_opt_7_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_7_1_wb_clk_i (net)
-                  0.04    0.00    5.05 ^ mprj/u_mbist1/clkbuf_opt_7_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.14    5.19 ^ mprj/u_mbist1/clkbuf_opt_7_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.04                           mprj/u_mbist1/clknet_opt_7_2_wb_clk_i (net)
-                  0.06    0.00    5.19 ^ mprj/u_mbist1/clkbuf_leaf_22_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.09    0.18    5.37 ^ mprj/u_mbist1/clkbuf_leaf_22_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    26    0.08                           mprj/u_mbist1/clknet_leaf_22_wb_clk_i (net)
-                  0.09    0.00    5.37 ^ mprj/u_mbist1/_4503_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    5.47   clock uncertainty
-                          0.00    5.47   clock reconvergence pessimism
-                         -0.03    5.45   library hold time
-                                  5.45   data required time
------------------------------------------------------------------------------
-                                  5.45   data required time
-                                 -5.25   data arrival time
------------------------------------------------------------------------------
-                                 -0.20   slack (VIOLATED)
-
-
-Startpoint: mprj/u_intercon/_4162_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist1/_4625_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input317/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.20    0.25    0.26 ^ mprj/u_intercon/input317/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.02                           mprj/u_intercon/net317 (net)
-                  0.20    0.00    0.26 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.14    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d1 (net)
-                  0.09    0.00    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d2 (net)
-                  0.07    0.00    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d3 (net)
-                  0.10    0.00    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d4 (net)
-                  0.08    0.00    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d5 (net)
-                  0.08    0.00    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d6 (net)
-                  0.07    0.00    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d7 (net)
-                  0.08    0.00    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.18 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d8 (net)
-                  0.08    0.00    1.18 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d04 (net)
-                  0.05    0.00    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.12    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d12 (net)
-                  0.06    0.00    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d21 (net)
-                  0.05    0.00    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    1.69 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_intercon/net626 (net)
-                  0.07    0.00    1.69 ^ mprj/u_intercon/output626/A (sky130_fd_sc_hd__buf_2)
-                  0.80    0.60    2.29 ^ mprj/u_intercon/output626/X (sky130_fd_sc_hd__buf_2)
-     2    0.17                           mprj/wbd_clk_wi_skew (net)
-                  0.81    0.04    2.33 ^ mprj/u_intercon/clkbuf_0_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.28    2.61 ^ mprj/u_intercon/clkbuf_0_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_intercon/clknet_0_clk_i (net)
-                  0.07    0.00    2.61 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.74 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_intercon/clknet_1_1_0_clk_i (net)
-                  0.04    0.00    2.74 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.86 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_1_clk_i (net)
-                  0.04    0.00    2.86 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.11    2.97 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_2_clk_i (net)
-                  0.04    0.00    2.97 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.13    3.11 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_1_1_3_clk_i (net)
-                  0.07    0.00    3.11 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    3.25 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_2_2_0_clk_i (net)
-                  0.06    0.00    3.25 ^ mprj/u_intercon/clkbuf_3_4_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    3.37 ^ mprj/u_intercon/clkbuf_3_4_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_3_4_0_clk_i (net)
-                  0.04    0.00    3.37 ^ mprj/u_intercon/clkbuf_3_4_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.15    0.20    3.56 ^ mprj/u_intercon/clkbuf_3_4_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    10    0.08                           mprj/u_intercon/clknet_3_4_1_clk_i (net)
-                  0.15    0.00    3.57 ^ mprj/u_intercon/clkbuf_leaf_29_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.18    3.75 ^ mprj/u_intercon/clkbuf_leaf_29_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    14    0.05                           mprj/u_intercon/clknet_leaf_29_clk_i (net)
-                  0.07    0.00    3.75 ^ mprj/u_intercon/_4162_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.09    0.35    4.09 ^ mprj/u_intercon/_4162_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_intercon/u_s3.u_sync_wbb.s_cmd_rd_data_l[50] (net)
-                  0.09    0.00    4.09 ^ mprj/u_intercon/_1859_/A0 (sky130_fd_sc_hd__mux2_8)
-                  0.16    0.25    4.35 ^ mprj/u_intercon/_1859_/X (sky130_fd_sc_hd__mux2_8)
-     8    0.09                           mprj/u_intercon/net623 (net)
-                  0.16    0.00    4.35 ^ mprj/u_intercon/output623/A (sky130_fd_sc_hd__buf_2)
-                  0.13    0.20    4.55 ^ mprj/u_intercon/output623/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_mbist1_we_o (net)
-                  0.13    0.00    4.56 ^ mprj/u_mbist1/input197/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.20    0.24    4.79 ^ mprj/u_mbist1/input197/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.04                           mprj/u_mbist1/net197 (net)
-                  0.20    0.00    4.80 ^ mprj/u_mbist1/_2764_/A (sky130_fd_sc_hd__nor2_1)
-                  0.05    0.07    4.87 v mprj/u_mbist1/_2764_/Y (sky130_fd_sc_hd__nor2_1)
-     1    0.00                           mprj/u_mbist1/_0001_ (net)
-                  0.05    0.00    4.87 v mprj/u_mbist1/_4625_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  4.87   data arrival time
-
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.24    0.53 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
-     2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.21    0.76 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02    0.77 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.13    0.90 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00    0.90 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.17    1.07 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
-     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00    1.07 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    1.22 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00    1.22 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    2.07 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    2.07 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.60 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00    2.60 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.46 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05    3.51 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.84 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.85 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.99 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00    3.99 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.13 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00    4.13 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.24 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00    4.25 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.41    4.66 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
-                  0.44    0.03    4.69 ^ mprj/u_mbist1/clkbuf_leaf_21_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.12    0.31    4.99 ^ mprj/u_mbist1/clkbuf_leaf_21_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.10                           mprj/u_mbist1/clknet_leaf_21_wb_clk_i (net)
-                  0.12    0.00    5.00 ^ mprj/u_mbist1/_4625_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    5.10   clock uncertainty
-                          0.00    5.10   clock reconvergence pessimism
-                         -0.03    5.07   library hold time
-                                  5.07   data required time
------------------------------------------------------------------------------
-                                  5.07   data required time
-                                 -4.87   data arrival time
------------------------------------------------------------------------------
-                                 -0.20   slack (VIOLATED)
-
-
-Startpoint: mprj/u_intercon/_3908_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist0/_4580_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input317/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.20    0.25    0.26 ^ mprj/u_intercon/input317/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.02                           mprj/u_intercon/net317 (net)
-                  0.20    0.00    0.26 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.14    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d1 (net)
-                  0.09    0.00    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d2 (net)
-                  0.07    0.00    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d3 (net)
-                  0.10    0.00    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d4 (net)
-                  0.08    0.00    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d5 (net)
-                  0.08    0.00    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d6 (net)
-                  0.07    0.00    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d7 (net)
-                  0.08    0.00    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.18 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d8 (net)
-                  0.08    0.00    1.18 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d04 (net)
-                  0.05    0.00    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.12    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d12 (net)
-                  0.06    0.00    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d21 (net)
-                  0.05    0.00    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    1.69 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_intercon/net626 (net)
-                  0.07    0.00    1.69 ^ mprj/u_intercon/output626/A (sky130_fd_sc_hd__buf_2)
-                  0.80    0.60    2.29 ^ mprj/u_intercon/output626/X (sky130_fd_sc_hd__buf_2)
-     2    0.17                           mprj/wbd_clk_wi_skew (net)
-                  0.81    0.04    2.33 ^ mprj/u_intercon/clkbuf_0_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.28    2.61 ^ mprj/u_intercon/clkbuf_0_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_intercon/clknet_0_clk_i (net)
-                  0.07    0.00    2.61 ^ mprj/u_intercon/clkbuf_1_0_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.74 ^ mprj/u_intercon/clkbuf_1_0_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_intercon/clknet_1_0_0_clk_i (net)
-                  0.04    0.00    2.74 ^ mprj/u_intercon/clkbuf_1_0_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.86 ^ mprj/u_intercon/clkbuf_1_0_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_intercon/clknet_1_0_1_clk_i (net)
-                  0.04    0.00    2.86 ^ mprj/u_intercon/clkbuf_1_0_2_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.11    2.97 ^ mprj/u_intercon/clkbuf_1_0_2_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_0_2_clk_i (net)
-                  0.03    0.00    2.97 ^ mprj/u_intercon/clkbuf_1_0_3_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14    3.11 ^ mprj/u_intercon/clkbuf_1_0_3_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_intercon/clknet_1_0_3_clk_i (net)
-                  0.07    0.00    3.11 ^ mprj/u_intercon/clkbuf_2_0_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    3.26 ^ mprj/u_intercon/clkbuf_2_0_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_2_0_0_clk_i (net)
-                  0.06    0.00    3.26 ^ mprj/u_intercon/clkbuf_3_0_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    3.38 ^ mprj/u_intercon/clkbuf_3_0_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_3_0_0_clk_i (net)
-                  0.04    0.00    3.38 ^ mprj/u_intercon/clkbuf_3_0_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.26    0.27    3.66 ^ mprj/u_intercon/clkbuf_3_0_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.15                           mprj/u_intercon/clknet_3_0_1_clk_i (net)
-                  0.26    0.00    3.66 ^ mprj/u_intercon/clkbuf_leaf_0_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.12    0.25    3.91 ^ mprj/u_intercon/clkbuf_leaf_0_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.10                           mprj/u_intercon/clknet_leaf_0_clk_i (net)
-                  0.12    0.00    3.91 ^ mprj/u_intercon/_3908_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.09    0.36    4.27 ^ mprj/u_intercon/_3908_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_intercon/u_s2.u_sync_wbb.s_cmd_rd_data_l[27] (net)
-                  0.09    0.00    4.27 ^ mprj/u_intercon/_2241_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.22    0.25    4.53 ^ mprj/u_intercon/_2241_/X (sky130_fd_sc_hd__mux2_1)
-     2    0.02                           mprj/u_intercon/net565 (net)
-                  0.22    0.00    4.53 ^ mprj/u_intercon/output565/A (sky130_fd_sc_hd__buf_2)
-                  0.19    0.25    4.78 ^ mprj/u_intercon/output565/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_mbist0_dat_o[9] (net)
-                  0.19    0.00    4.79 ^ mprj/u_mbist0/input191/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.12    4.91 ^ mprj/u_mbist0/input191/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_mbist0/net191 (net)
-                  0.06    0.00    4.91 ^ mprj/u_mbist0/_3896_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    5.02 ^ mprj/u_mbist0/_3896_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/_0530_ (net)
-                  0.04    0.00    5.02 ^ mprj/u_mbist0/_4580_/D (sky130_fd_sc_hd__dfrtp_4)
-                                  5.02   data arrival time
-
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.27    0.36    0.36 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     2    0.03                           mprj/u_intercon/net2 (net)
-                  0.27    0.00    0.36 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.13    0.50 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net319 (net)
-                  0.06    0.00    0.50 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
-                  0.15    0.19    0.69 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
-                  0.15    0.00    0.69 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    0.86 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net198 (net)
-                  0.12    0.00    0.86 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.70 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    1.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d03 (net)
-                  0.04    0.00    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
-                  0.04    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.23 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/net427 (net)
-                  0.05    0.00    2.23 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.09 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist0_skew (net)
-                  1.11    0.05    3.14 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.48 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.48 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.63 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00    3.63 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    3.76 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00    3.76 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    3.88 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00    3.88 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.41    4.29 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
-                  0.44    0.01    4.30 ^ mprj/u_mbist0/clkbuf_opt_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.24    4.54 ^ mprj/u_mbist0/clkbuf_opt_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_4_0_wb_clk_i (net)
-                  0.05    0.00    4.54 ^ mprj/u_mbist0/clkbuf_opt_4_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.67 ^ mprj/u_mbist0/clkbuf_opt_4_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_4_1_wb_clk_i (net)
-                  0.04    0.00    4.68 ^ mprj/u_mbist0/clkbuf_opt_4_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.80 ^ mprj/u_mbist0/clkbuf_opt_4_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_4_2_wb_clk_i (net)
-                  0.04    0.00    4.80 ^ mprj/u_mbist0/clkbuf_opt_4_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14    4.94 ^ mprj/u_mbist0/clkbuf_opt_4_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.03                           mprj/u_mbist0/clknet_opt_4_3_wb_clk_i (net)
-                  0.05    0.00    4.94 ^ mprj/u_mbist0/clkbuf_leaf_13_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.19    5.13 ^ mprj/u_mbist0/clkbuf_leaf_13_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_mbist0/clknet_leaf_13_wb_clk_i (net)
-                  0.11    0.00    5.14 ^ mprj/u_mbist0/_4580_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                          0.10    5.24   clock uncertainty
-                          0.00    5.24   clock reconvergence pessimism
-                         -0.03    5.21   library hold time
-                                  5.21   data required time
------------------------------------------------------------------------------
-                                  5.21   data required time
-                                 -5.02   data arrival time
------------------------------------------------------------------------------
-                                 -0.19   slack (VIOLATED)
-
-
-Startpoint: mprj/u_intercon/_3919_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist0/_4591_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input317/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.20    0.25    0.26 ^ mprj/u_intercon/input317/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.02                           mprj/u_intercon/net317 (net)
-                  0.20    0.00    0.26 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.14    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d1 (net)
-                  0.09    0.00    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d2 (net)
-                  0.07    0.00    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d3 (net)
-                  0.10    0.00    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d4 (net)
-                  0.08    0.00    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d5 (net)
-                  0.08    0.00    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d6 (net)
-                  0.07    0.00    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d7 (net)
-                  0.08    0.00    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.18 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d8 (net)
-                  0.08    0.00    1.18 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d04 (net)
-                  0.05    0.00    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.12    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d12 (net)
-                  0.06    0.00    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d21 (net)
-                  0.05    0.00    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    1.69 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_intercon/net626 (net)
-                  0.07    0.00    1.69 ^ mprj/u_intercon/output626/A (sky130_fd_sc_hd__buf_2)
-                  0.80    0.60    2.29 ^ mprj/u_intercon/output626/X (sky130_fd_sc_hd__buf_2)
-     2    0.17                           mprj/wbd_clk_wi_skew (net)
-                  0.81    0.04    2.33 ^ mprj/u_intercon/clkbuf_0_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.28    2.61 ^ mprj/u_intercon/clkbuf_0_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_intercon/clknet_0_clk_i (net)
-                  0.07    0.00    2.61 ^ mprj/u_intercon/clkbuf_1_0_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.74 ^ mprj/u_intercon/clkbuf_1_0_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_intercon/clknet_1_0_0_clk_i (net)
-                  0.04    0.00    2.74 ^ mprj/u_intercon/clkbuf_1_0_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.86 ^ mprj/u_intercon/clkbuf_1_0_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_intercon/clknet_1_0_1_clk_i (net)
-                  0.04    0.00    2.86 ^ mprj/u_intercon/clkbuf_1_0_2_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.11    2.97 ^ mprj/u_intercon/clkbuf_1_0_2_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_0_2_clk_i (net)
-                  0.03    0.00    2.97 ^ mprj/u_intercon/clkbuf_1_0_3_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14    3.11 ^ mprj/u_intercon/clkbuf_1_0_3_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_intercon/clknet_1_0_3_clk_i (net)
-                  0.07    0.00    3.11 ^ mprj/u_intercon/clkbuf_2_0_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    3.26 ^ mprj/u_intercon/clkbuf_2_0_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_2_0_0_clk_i (net)
-                  0.06    0.00    3.26 ^ mprj/u_intercon/clkbuf_3_0_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    3.38 ^ mprj/u_intercon/clkbuf_3_0_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_3_0_0_clk_i (net)
-                  0.04    0.00    3.38 ^ mprj/u_intercon/clkbuf_3_0_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.26    0.27    3.66 ^ mprj/u_intercon/clkbuf_3_0_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.15                           mprj/u_intercon/clknet_3_0_1_clk_i (net)
-                  0.26    0.00    3.66 ^ mprj/u_intercon/clkbuf_leaf_0_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.12    0.25    3.91 ^ mprj/u_intercon/clkbuf_leaf_0_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.10                           mprj/u_intercon/clknet_leaf_0_clk_i (net)
-                  0.12    0.00    3.91 ^ mprj/u_intercon/_3919_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.07    0.35    4.26 ^ mprj/u_intercon/_3919_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_intercon/u_s2.u_sync_wbb.s_cmd_rd_data_l[38] (net)
-                  0.07    0.00    4.26 ^ mprj/u_intercon/_2263_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.26    0.27    4.53 ^ mprj/u_intercon/_2263_/X (sky130_fd_sc_hd__mux2_1)
-     2    0.03                           mprj/u_intercon/net546 (net)
-                  0.26    0.00    4.54 ^ mprj/u_intercon/output546/A (sky130_fd_sc_hd__buf_2)
-                  0.19    0.27    4.80 ^ mprj/u_intercon/output546/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_mbist0_dat_o[20] (net)
-                  0.19    0.00    4.80 ^ mprj/u_mbist0/input172/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.04    0.10    4.91 ^ mprj/u_mbist0/input172/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_mbist0/net172 (net)
-                  0.04    0.00    4.91 ^ mprj/u_mbist0/_3907_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.12    5.03 ^ mprj/u_mbist0/_3907_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/_0541_ (net)
-                  0.06    0.00    5.03 ^ mprj/u_mbist0/_4591_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  5.03   data arrival time
-
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.27    0.36    0.36 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     2    0.03                           mprj/u_intercon/net2 (net)
-                  0.27    0.00    0.36 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.13    0.50 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net319 (net)
-                  0.06    0.00    0.50 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
-                  0.15    0.19    0.69 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
-                  0.15    0.00    0.69 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    0.86 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net198 (net)
-                  0.12    0.00    0.86 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.70 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    1.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d03 (net)
-                  0.04    0.00    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
-                  0.04    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.23 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/net427 (net)
-                  0.05    0.00    2.23 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.09 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist0_skew (net)
-                  1.11    0.05    3.14 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.48 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.48 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.63 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00    3.63 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    3.76 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00    3.76 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    3.88 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00    3.88 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.41    4.29 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
-                  0.44    0.02    4.31 ^ mprj/u_mbist0/clkbuf_opt_5_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.24    4.54 ^ mprj/u_mbist0/clkbuf_opt_5_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_5_0_wb_clk_i (net)
-                  0.05    0.00    4.54 ^ mprj/u_mbist0/clkbuf_opt_5_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.68 ^ mprj/u_mbist0/clkbuf_opt_5_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_5_1_wb_clk_i (net)
-                  0.04    0.00    4.68 ^ mprj/u_mbist0/clkbuf_opt_5_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.81 ^ mprj/u_mbist0/clkbuf_opt_5_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_5_2_wb_clk_i (net)
-                  0.04    0.00    4.81 ^ mprj/u_mbist0/clkbuf_opt_5_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.94 ^ mprj/u_mbist0/clkbuf_opt_5_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_5_3_wb_clk_i (net)
-                  0.05    0.00    4.94 ^ mprj/u_mbist0/clkbuf_leaf_16_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.18    5.12 ^ mprj/u_mbist0/clkbuf_leaf_16_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_mbist0/clknet_leaf_16_wb_clk_i (net)
-                  0.10    0.00    5.13 ^ mprj/u_mbist0/_4591_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    5.23   clock uncertainty
-                          0.00    5.23   clock reconvergence pessimism
-                         -0.03    5.20   library hold time
-                                  5.20   data required time
------------------------------------------------------------------------------
-                                  5.20   data required time
-                                 -5.03   data arrival time
------------------------------------------------------------------------------
-                                 -0.16   slack (VIOLATED)
-
-
-Startpoint: mprj/u_intercon/_3918_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist0/_4590_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input317/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.20    0.25    0.26 ^ mprj/u_intercon/input317/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.02                           mprj/u_intercon/net317 (net)
-                  0.20    0.00    0.26 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.14    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d1 (net)
-                  0.09    0.00    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d2 (net)
-                  0.07    0.00    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d3 (net)
-                  0.10    0.00    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d4 (net)
-                  0.08    0.00    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d5 (net)
-                  0.08    0.00    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d6 (net)
-                  0.07    0.00    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d7 (net)
-                  0.08    0.00    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.18 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d8 (net)
-                  0.08    0.00    1.18 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d04 (net)
-                  0.05    0.00    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.12    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d12 (net)
-                  0.06    0.00    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d21 (net)
-                  0.05    0.00    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    1.69 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_intercon/net626 (net)
-                  0.07    0.00    1.69 ^ mprj/u_intercon/output626/A (sky130_fd_sc_hd__buf_2)
-                  0.80    0.60    2.29 ^ mprj/u_intercon/output626/X (sky130_fd_sc_hd__buf_2)
-     2    0.17                           mprj/wbd_clk_wi_skew (net)
-                  0.81    0.04    2.33 ^ mprj/u_intercon/clkbuf_0_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.28    2.61 ^ mprj/u_intercon/clkbuf_0_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_intercon/clknet_0_clk_i (net)
-                  0.07    0.00    2.61 ^ mprj/u_intercon/clkbuf_1_0_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.74 ^ mprj/u_intercon/clkbuf_1_0_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_intercon/clknet_1_0_0_clk_i (net)
-                  0.04    0.00    2.74 ^ mprj/u_intercon/clkbuf_1_0_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.86 ^ mprj/u_intercon/clkbuf_1_0_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_intercon/clknet_1_0_1_clk_i (net)
-                  0.04    0.00    2.86 ^ mprj/u_intercon/clkbuf_1_0_2_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.11    2.97 ^ mprj/u_intercon/clkbuf_1_0_2_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_0_2_clk_i (net)
-                  0.03    0.00    2.97 ^ mprj/u_intercon/clkbuf_1_0_3_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14    3.11 ^ mprj/u_intercon/clkbuf_1_0_3_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_intercon/clknet_1_0_3_clk_i (net)
-                  0.07    0.00    3.11 ^ mprj/u_intercon/clkbuf_2_0_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    3.26 ^ mprj/u_intercon/clkbuf_2_0_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_2_0_0_clk_i (net)
-                  0.06    0.00    3.26 ^ mprj/u_intercon/clkbuf_3_0_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    3.38 ^ mprj/u_intercon/clkbuf_3_0_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_3_0_0_clk_i (net)
-                  0.04    0.00    3.38 ^ mprj/u_intercon/clkbuf_3_0_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.26    0.27    3.66 ^ mprj/u_intercon/clkbuf_3_0_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.15                           mprj/u_intercon/clknet_3_0_1_clk_i (net)
-                  0.26    0.00    3.66 ^ mprj/u_intercon/clkbuf_leaf_0_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.12    0.25    3.91 ^ mprj/u_intercon/clkbuf_leaf_0_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.10                           mprj/u_intercon/clknet_leaf_0_clk_i (net)
-                  0.12    0.00    3.91 ^ mprj/u_intercon/_3918_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.06    0.34    4.25 ^ mprj/u_intercon/_3918_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.00                           mprj/u_intercon/u_s2.u_sync_wbb.s_cmd_rd_data_l[37] (net)
-                  0.06    0.00    4.25 ^ mprj/u_intercon/_2261_/A0 (sky130_fd_sc_hd__mux2_2)
-                  0.19    0.25    4.51 ^ mprj/u_intercon/_2261_/X (sky130_fd_sc_hd__mux2_2)
-     2    0.04                           mprj/u_intercon/net544 (net)
-                  0.19    0.00    4.51 ^ mprj/u_intercon/output544/A (sky130_fd_sc_hd__buf_2)
-                  0.16    0.23    4.74 ^ mprj/u_intercon/output544/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_mbist0_dat_o[19] (net)
-                  0.16    0.00    4.75 ^ mprj/u_mbist0/input170/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    4.90 ^ mprj/u_mbist0/input170/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_mbist0/net170 (net)
-                  0.12    0.00    4.90 ^ mprj/u_mbist0/_3906_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    5.04 ^ mprj/u_mbist0/_3906_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/_0540_ (net)
-                  0.04    0.00    5.04 ^ mprj/u_mbist0/_4590_/D (sky130_fd_sc_hd__dfrtp_4)
-                                  5.04   data arrival time
-
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.27    0.36    0.36 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     2    0.03                           mprj/u_intercon/net2 (net)
-                  0.27    0.00    0.36 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.13    0.50 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net319 (net)
-                  0.06    0.00    0.50 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
-                  0.15    0.19    0.69 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
-                  0.15    0.00    0.69 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    0.86 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net198 (net)
-                  0.12    0.00    0.86 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.70 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    1.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d03 (net)
-                  0.04    0.00    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
-                  0.04    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.23 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/net427 (net)
-                  0.05    0.00    2.23 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.09 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist0_skew (net)
-                  1.11    0.05    3.14 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.48 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.48 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.63 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00    3.63 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    3.76 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00    3.76 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    3.88 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00    3.88 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.41    4.29 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
-                  0.44    0.02    4.31 ^ mprj/u_mbist0/clkbuf_opt_5_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.24    4.54 ^ mprj/u_mbist0/clkbuf_opt_5_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_5_0_wb_clk_i (net)
-                  0.05    0.00    4.54 ^ mprj/u_mbist0/clkbuf_opt_5_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.68 ^ mprj/u_mbist0/clkbuf_opt_5_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_5_1_wb_clk_i (net)
-                  0.04    0.00    4.68 ^ mprj/u_mbist0/clkbuf_opt_5_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.81 ^ mprj/u_mbist0/clkbuf_opt_5_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_5_2_wb_clk_i (net)
-                  0.04    0.00    4.81 ^ mprj/u_mbist0/clkbuf_opt_5_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.94 ^ mprj/u_mbist0/clkbuf_opt_5_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_5_3_wb_clk_i (net)
-                  0.05    0.00    4.94 ^ mprj/u_mbist0/clkbuf_leaf_16_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.18    5.12 ^ mprj/u_mbist0/clkbuf_leaf_16_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_mbist0/clknet_leaf_16_wb_clk_i (net)
-                  0.10    0.00    5.13 ^ mprj/u_mbist0/_4590_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                          0.10    5.23   clock uncertainty
-                          0.00    5.23   clock reconvergence pessimism
-                         -0.03    5.20   library hold time
-                                  5.20   data required time
------------------------------------------------------------------------------
-                                  5.20   data required time
-                                 -5.04   data arrival time
------------------------------------------------------------------------------
-                                 -0.16   slack (VIOLATED)
-
-
-Startpoint: mprj/u_intercon/_4145_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist1/_4586_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input317/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.20    0.25    0.26 ^ mprj/u_intercon/input317/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.02                           mprj/u_intercon/net317 (net)
-                  0.20    0.00    0.26 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.14    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d1 (net)
-                  0.09    0.00    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d2 (net)
-                  0.07    0.00    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d3 (net)
-                  0.10    0.00    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d4 (net)
-                  0.08    0.00    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d5 (net)
-                  0.08    0.00    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d6 (net)
-                  0.07    0.00    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d7 (net)
-                  0.08    0.00    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.18 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d8 (net)
-                  0.08    0.00    1.18 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d04 (net)
-                  0.05    0.00    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.12    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d12 (net)
-                  0.06    0.00    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d21 (net)
-                  0.05    0.00    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    1.69 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_intercon/net626 (net)
-                  0.07    0.00    1.69 ^ mprj/u_intercon/output626/A (sky130_fd_sc_hd__buf_2)
-                  0.80    0.60    2.29 ^ mprj/u_intercon/output626/X (sky130_fd_sc_hd__buf_2)
-     2    0.17                           mprj/wbd_clk_wi_skew (net)
-                  0.81    0.04    2.33 ^ mprj/u_intercon/clkbuf_0_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.28    2.61 ^ mprj/u_intercon/clkbuf_0_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_intercon/clknet_0_clk_i (net)
-                  0.07    0.00    2.61 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.74 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_intercon/clknet_1_1_0_clk_i (net)
-                  0.04    0.00    2.74 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.86 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_1_clk_i (net)
-                  0.04    0.00    2.86 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.11    2.97 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_2_clk_i (net)
-                  0.04    0.00    2.97 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.13    3.11 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_1_1_3_clk_i (net)
-                  0.07    0.00    3.11 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    3.25 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_2_2_0_clk_i (net)
-                  0.06    0.00    3.25 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    3.37 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_3_5_0_clk_i (net)
-                  0.04    0.00    3.37 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.21    0.24    3.61 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.12                           mprj/u_intercon/clknet_3_5_1_clk_i (net)
-                  0.21    0.00    3.61 ^ mprj/u_intercon/clkbuf_leaf_17_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.22    3.83 ^ mprj/u_intercon/clkbuf_leaf_17_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.08                           mprj/u_intercon/clknet_leaf_17_clk_i (net)
-                  0.10    0.00    3.84 ^ mprj/u_intercon/_4145_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.07    0.35    4.18 ^ mprj/u_intercon/_4145_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_intercon/u_s3.u_sync_wbb.s_cmd_rd_data_l[33] (net)
-                  0.07    0.00    4.18 ^ mprj/u_intercon/_2146_/A0 (sky130_fd_sc_hd__mux2_2)
-                  0.17    0.25    4.43 ^ mprj/u_intercon/_2146_/X (sky130_fd_sc_hd__mux2_2)
-     2    0.03                           mprj/u_intercon/net592 (net)
-                  0.17    0.00    4.43 ^ mprj/u_intercon/output592/A (sky130_fd_sc_hd__buf_2)
-                  0.18    0.24    4.67 ^ mprj/u_intercon/output592/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_mbist1_dat_o[15] (net)
-                  0.19    0.00    4.67 ^ mprj/u_mbist1/input166/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.11    4.79 ^ mprj/u_mbist1/input166/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_mbist1/net166 (net)
-                  0.06    0.00    4.79 ^ mprj/u_mbist1/_3902_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    4.91 ^ mprj/u_mbist1/_3902_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/_0536_ (net)
-                  0.05    0.00    4.91 ^ mprj/u_mbist1/_4586_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  4.91   data arrival time
-
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.24    0.53 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
-     2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.21    0.76 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02    0.77 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.13    0.90 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00    0.90 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.17    1.07 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
-     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00    1.07 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    1.22 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00    1.22 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    2.07 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    2.07 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.60 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00    2.60 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.46 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05    3.51 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.84 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.85 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.99 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00    3.99 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.13 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00    4.13 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.24 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00    4.25 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.41    4.66 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
-                  0.45    0.03    4.69 ^ mprj/u_mbist1/clkbuf_leaf_15_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.12    0.30    4.99 ^ mprj/u_mbist1/clkbuf_leaf_15_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.10                           mprj/u_mbist1/clknet_leaf_15_wb_clk_i (net)
-                  0.12    0.00    4.99 ^ mprj/u_mbist1/_4586_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    5.09   clock uncertainty
-                          0.00    5.09   clock reconvergence pessimism
-                         -0.03    5.07   library hold time
-                                  5.07   data required time
------------------------------------------------------------------------------
-                                  5.07   data required time
-                                 -4.91   data arrival time
------------------------------------------------------------------------------
-                                 -0.16   slack (VIOLATED)
-
-
-Startpoint: mprj/u_intercon/_4165_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist1/_4502_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input317/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.20    0.25    0.26 ^ mprj/u_intercon/input317/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.02                           mprj/u_intercon/net317 (net)
-                  0.20    0.00    0.26 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.14    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d1 (net)
-                  0.09    0.00    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d2 (net)
-                  0.07    0.00    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d3 (net)
-                  0.10    0.00    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d4 (net)
-                  0.08    0.00    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d5 (net)
-                  0.08    0.00    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d6 (net)
-                  0.07    0.00    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d7 (net)
-                  0.08    0.00    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.18 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d8 (net)
-                  0.08    0.00    1.18 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d04 (net)
-                  0.05    0.00    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.12    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d12 (net)
-                  0.06    0.00    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d21 (net)
-                  0.05    0.00    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    1.69 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_intercon/net626 (net)
-                  0.07    0.00    1.69 ^ mprj/u_intercon/output626/A (sky130_fd_sc_hd__buf_2)
-                  0.80    0.60    2.29 ^ mprj/u_intercon/output626/X (sky130_fd_sc_hd__buf_2)
-     2    0.17                           mprj/wbd_clk_wi_skew (net)
-                  0.81    0.04    2.33 ^ mprj/u_intercon/clkbuf_0_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.28    2.61 ^ mprj/u_intercon/clkbuf_0_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_intercon/clknet_0_clk_i (net)
-                  0.07    0.00    2.61 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.74 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_intercon/clknet_1_1_0_clk_i (net)
-                  0.04    0.00    2.74 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.86 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_1_clk_i (net)
-                  0.04    0.00    2.86 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.11    2.97 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_2_clk_i (net)
-                  0.04    0.00    2.97 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.13    3.11 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_1_1_3_clk_i (net)
-                  0.07    0.00    3.11 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    3.25 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_2_2_0_clk_i (net)
-                  0.06    0.00    3.25 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    3.37 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_3_5_0_clk_i (net)
-                  0.04    0.00    3.37 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.21    0.24    3.61 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.12                           mprj/u_intercon/clknet_3_5_1_clk_i (net)
-                  0.21    0.00    3.61 ^ mprj/u_intercon/clkbuf_leaf_17_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.22    3.83 ^ mprj/u_intercon/clkbuf_leaf_17_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.08                           mprj/u_intercon/clknet_leaf_17_clk_i (net)
-                  0.10    0.00    3.84 ^ mprj/u_intercon/_4165_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.06    0.34    4.17 ^ mprj/u_intercon/_4165_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.00                           mprj/u_intercon/u_s3.u_sync_wbb.s_cmd_rd_data_l[55] (net)
-                  0.06    0.00    4.17 ^ mprj/u_intercon/_2184_/A0 (sky130_fd_sc_hd__mux2_2)
-                  0.23    0.28    4.45 ^ mprj/u_intercon/_2184_/X (sky130_fd_sc_hd__mux2_2)
-     2    0.04                           mprj/u_intercon/net579 (net)
-                  0.23    0.01    4.46 ^ mprj/u_intercon/output579/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.25    4.70 ^ mprj/u_intercon/output579/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_mbist1_adr_o[4] (net)
-                  0.17    0.00    4.71 ^ mprj/u_mbist1/input143/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.30    0.29    5.00 ^ mprj/u_mbist1/input143/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.03                           mprj/u_mbist1/net143 (net)
-                  0.30    0.00    5.00 ^ mprj/u_mbist1/_3700_/B (sky130_fd_sc_hd__and2b_1)
-                  0.04    0.16    5.16 ^ mprj/u_mbist1/_3700_/X (sky130_fd_sc_hd__and2b_1)
-     1    0.00                           mprj/u_mbist1/_1762_ (net)
-                  0.04    0.00    5.16 ^ mprj/u_mbist1/_3701_/B2 (sky130_fd_sc_hd__o22a_1)
-                  0.07    0.12    5.29 ^ mprj/u_mbist1/_3701_/X (sky130_fd_sc_hd__o22a_1)
-     1    0.01                           mprj/u_mbist1/_0452_ (net)
-                  0.07    0.00    5.29 ^ mprj/u_mbist1/_4502_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  5.29   data arrival time
-
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.24    0.53 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
-     2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.21    0.76 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02    0.77 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.13    0.90 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00    0.90 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.17    1.07 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
-     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00    1.07 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    1.22 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00    1.22 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    2.07 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    2.07 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.60 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00    2.60 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.46 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05    3.51 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.84 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.85 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.99 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00    3.99 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.13 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00    4.13 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.24 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00    4.25 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.41    4.66 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
-                  0.44    0.02    4.67 ^ mprj/u_mbist1/clkbuf_opt_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.24    4.92 ^ mprj/u_mbist1/clkbuf_opt_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_7_0_wb_clk_i (net)
-                  0.05    0.00    4.92 ^ mprj/u_mbist1/clkbuf_opt_7_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.05 ^ mprj/u_mbist1/clkbuf_opt_7_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_7_1_wb_clk_i (net)
-                  0.04    0.00    5.05 ^ mprj/u_mbist1/clkbuf_opt_7_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.14    5.19 ^ mprj/u_mbist1/clkbuf_opt_7_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.04                           mprj/u_mbist1/clknet_opt_7_2_wb_clk_i (net)
-                  0.06    0.00    5.19 ^ mprj/u_mbist1/clkbuf_leaf_22_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.09    0.18    5.37 ^ mprj/u_mbist1/clkbuf_leaf_22_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    26    0.08                           mprj/u_mbist1/clknet_leaf_22_wb_clk_i (net)
-                  0.09    0.00    5.37 ^ mprj/u_mbist1/_4502_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    5.47   clock uncertainty
-                          0.00    5.47   clock reconvergence pessimism
-                         -0.03    5.44   library hold time
-                                  5.44   data required time
------------------------------------------------------------------------------
-                                  5.44   data required time
-                                 -5.29   data arrival time
------------------------------------------------------------------------------
-                                 -0.15   slack (VIOLATED)
+                                  0.22   slack (MET)
 
 
 Startpoint: mprj/u_intercon/_3922_
@@ -74658,192 +85291,210 @@
 -----------------------------------------------------------------------------
                           0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input317/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.20    0.25    0.26 ^ mprj/u_intercon/input317/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input317/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.21    0.26    0.26 ^ mprj/u_intercon/input317/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.02                           mprj/u_intercon/net317 (net)
-                  0.20    0.00    0.26 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.14    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.21    0.00    0.26 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.14    0.40 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_intercon/u_skew_wi.clk_d1 (net)
-                  0.09    0.00    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.00    0.40 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    0.51 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_intercon/u_skew_wi.clk_d2 (net)
-                  0.07    0.00    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.00    0.51 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_intercon/u_skew_wi.clk_d3 (net)
-                  0.10    0.00    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.00    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    0.73 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_intercon/u_skew_wi.clk_d4 (net)
-                  0.08    0.00    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.00    0.73 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    0.84 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_intercon/u_skew_wi.clk_d5 (net)
-                  0.08    0.00    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.00    0.84 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
                   0.07    0.11    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_intercon/u_skew_wi.clk_d6 (net)
                   0.07    0.00    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
                   0.08    0.11    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_intercon/u_skew_wi.clk_d7 (net)
                   0.08    0.00    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.18 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    1.17 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_intercon/u_skew_wi.clk_d8 (net)
-                  0.08    0.00    1.18 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d04 (net)
-                  0.05    0.00    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.12    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
+                  0.08    0.00    1.17 ^ mprj/u_intercon/u_skew_wi.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    1.28 ^ mprj/u_intercon/u_skew_wi.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d9 (net)
+                  0.08    0.00    1.28 ^ mprj/u_intercon/u_skew_wi.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.12    1.40 ^ mprj/u_intercon/u_skew_wi.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d10 (net)
+                  0.09    0.00    1.40 ^ mprj/u_intercon/u_skew_wi.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.52 ^ mprj/u_intercon/u_skew_wi.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_intercon/u_skew_wi.d05 (net)
+                  0.04    0.00    1.52 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.13    1.64 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_intercon/u_skew_wi.d12 (net)
-                  0.06    0.00    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.00    1.65 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12    1.77 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_intercon/u_skew_wi.d21 (net)
-                  0.05    0.00    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    1.69 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    1.77 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.13    1.90 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
      1    0.01                           mprj/u_intercon/net626 (net)
-                  0.07    0.00    1.69 ^ mprj/u_intercon/output626/A (sky130_fd_sc_hd__buf_2)
-                  0.80    0.60    2.29 ^ mprj/u_intercon/output626/X (sky130_fd_sc_hd__buf_2)
-     2    0.17                           mprj/wbd_clk_wi_skew (net)
-                  0.81    0.04    2.33 ^ mprj/u_intercon/clkbuf_0_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.28    2.61 ^ mprj/u_intercon/clkbuf_0_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.00    1.90 ^ mprj/u_intercon/output626/A (sky130_fd_sc_hd__buf_2)
+                  0.06    0.12    2.02 ^ mprj/u_intercon/output626/X (sky130_fd_sc_hd__buf_2)
+     2    0.01                           mprj/wbd_clk_wi_skew (net)
+                  0.06    0.00    2.02 ^ mprj/u_intercon/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.24    0.24    2.26 ^ mprj/u_intercon/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.04                           mprj/u_intercon/net1226 (net)
+                  0.24    0.00    2.26 ^ mprj/u_intercon/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.14    0.23    2.50 ^ mprj/u_intercon/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.05                           mprj/u_intercon/net1225 (net)
+                  0.14    0.00    2.50 ^ mprj/u_intercon/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.16    2.65 ^ mprj/u_intercon/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/u_intercon/net1224 (net)
+                  0.09    0.01    2.66 ^ mprj/u_intercon/clkbuf_0_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.15    2.81 ^ mprj/u_intercon/clkbuf_0_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_intercon/clknet_0_clk_i (net)
-                  0.07    0.00    2.61 ^ mprj/u_intercon/clkbuf_1_0_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.74 ^ mprj/u_intercon/clkbuf_1_0_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_intercon/clknet_1_0_0_clk_i (net)
-                  0.04    0.00    2.74 ^ mprj/u_intercon/clkbuf_1_0_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.86 ^ mprj/u_intercon/clkbuf_1_0_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    2.81 ^ mprj/u_intercon/clkbuf_1_0_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    2.93 ^ mprj/u_intercon/clkbuf_1_0_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_intercon/clknet_1_0_0_clk_i (net)
+                  0.04    0.00    2.93 ^ mprj/u_intercon/clkbuf_1_0_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12    3.05 ^ mprj/u_intercon/clkbuf_1_0_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.02                           mprj/u_intercon/clknet_1_0_1_clk_i (net)
-                  0.04    0.00    2.86 ^ mprj/u_intercon/clkbuf_1_0_2_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.11    2.97 ^ mprj/u_intercon/clkbuf_1_0_2_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    3.05 ^ mprj/u_intercon/clkbuf_1_0_2_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    3.16 ^ mprj/u_intercon/clkbuf_1_0_2_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.01                           mprj/u_intercon/clknet_1_0_2_clk_i (net)
-                  0.03    0.00    2.97 ^ mprj/u_intercon/clkbuf_1_0_3_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14    3.11 ^ mprj/u_intercon/clkbuf_1_0_3_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_intercon/clknet_1_0_3_clk_i (net)
-                  0.07    0.00    3.11 ^ mprj/u_intercon/clkbuf_2_0_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    3.26 ^ mprj/u_intercon/clkbuf_2_0_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.00    3.16 ^ mprj/u_intercon/clkbuf_1_0_3_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.14    3.30 ^ mprj/u_intercon/clkbuf_1_0_3_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_intercon/clknet_1_0_3_clk_i (net)
+                  0.07    0.00    3.30 ^ mprj/u_intercon/clkbuf_2_0_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    3.45 ^ mprj/u_intercon/clkbuf_2_0_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_intercon/clknet_2_0_0_clk_i (net)
-                  0.06    0.00    3.26 ^ mprj/u_intercon/clkbuf_3_0_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    3.38 ^ mprj/u_intercon/clkbuf_3_0_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    3.45 ^ mprj/u_intercon/clkbuf_3_0_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    3.57 ^ mprj/u_intercon/clkbuf_3_0_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.01                           mprj/u_intercon/clknet_3_0_0_clk_i (net)
-                  0.04    0.00    3.38 ^ mprj/u_intercon/clkbuf_3_0_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.26    0.27    3.66 ^ mprj/u_intercon/clkbuf_3_0_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.15                           mprj/u_intercon/clknet_3_0_1_clk_i (net)
-                  0.26    0.00    3.66 ^ mprj/u_intercon/clkbuf_leaf_56_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.12    0.25    3.91 ^ mprj/u_intercon/clkbuf_leaf_56_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.57 ^ mprj/u_intercon/clkbuf_3_0_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.15    3.73 ^ mprj/u_intercon/clkbuf_3_0_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     6    0.04                           mprj/u_intercon/clknet_3_0_1_clk_i (net)
+                  0.09    0.00    3.73 ^ mprj/u_intercon/clkbuf_leaf_56_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.19    3.92 ^ mprj/u_intercon/clkbuf_leaf_56_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
     32    0.10                           mprj/u_intercon/clknet_leaf_56_clk_i (net)
-                  0.12    0.00    3.91 ^ mprj/u_intercon/_3922_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.06    0.35    4.26 ^ mprj/u_intercon/_3922_/Q (sky130_fd_sc_hd__dfrtp_1)
+                  0.11    0.00    3.92 ^ mprj/u_intercon/_3922_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.06    0.34    4.27 ^ mprj/u_intercon/_3922_/Q (sky130_fd_sc_hd__dfrtp_1)
      2    0.01                           mprj/u_intercon/u_s2.u_sync_wbb.s_cmd_rd_data_l[41] (net)
-                  0.06    0.00    4.26 ^ mprj/u_intercon/_2269_/A0 (sky130_fd_sc_hd__mux2_4)
-                  0.23    0.29    4.54 ^ mprj/u_intercon/_2269_/X (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.00    4.27 ^ mprj/u_intercon/_2269_/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.23    0.28    4.55 ^ mprj/u_intercon/_2269_/X (sky130_fd_sc_hd__mux2_4)
      2    0.08                           mprj/u_intercon/net549 (net)
                   0.23    0.00    4.55 ^ mprj/u_intercon/output549/A (sky130_fd_sc_hd__buf_2)
-                  0.19    0.26    4.80 ^ mprj/u_intercon/output549/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_mbist0_dat_o[23] (net)
-                  0.19    0.00    4.81 ^ mprj/u_mbist0/input175/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.12    4.92 ^ mprj/u_mbist0/input175/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.16    0.24    4.79 ^ mprj/u_intercon/output549/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_mbist0_dat_o[23] (net)
+                  0.17    0.00    4.80 ^ mprj/u_mbist0/input175/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.12    4.91 ^ mprj/u_mbist0/input175/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.00                           mprj/u_mbist0/net175 (net)
-                  0.06    0.00    4.93 ^ mprj/u_mbist0/_3910_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    5.05 ^ mprj/u_mbist0/_3910_/X (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.00    4.91 ^ mprj/u_mbist0/_3910_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    5.04 ^ mprj/u_mbist0/_3910_/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist0/_0544_ (net)
-                  0.04    0.00    5.05 ^ mprj/u_mbist0/_4594_/D (sky130_fd_sc_hd__dfrtp_4)
-                                  5.05   data arrival time
+                  0.04    0.00    5.04 ^ mprj/u_mbist0/_4594_/D (sky130_fd_sc_hd__dfrtp_4)
+                                  5.04   data arrival time
 
                           0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.27    0.36    0.36 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     2    0.03                           mprj/u_intercon/net2 (net)
-                  0.27    0.00    0.36 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.13    0.50 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net319 (net)
-                  0.06    0.00    0.50 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
-                  0.15    0.19    0.69 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.44    0.44 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00    0.45 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.20    0.65 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00    0.65 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.23    0.87 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
      2    0.03                           mprj/wbd_clk_mbist0_rp (net)
-                  0.15    0.00    0.69 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    0.86 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.00    0.88 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.17    1.04 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/net198 (net)
-                  0.12    0.00    0.86 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00    1.04 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.00    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.00    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.12    1.55 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.70 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    1.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d03 (net)
-                  0.04    0.00    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00    1.55 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
-                  0.04    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
      1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.23 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.08 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist0/net427 (net)
-                  0.05    0.00    2.23 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.09 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist0_skew (net)
-                  1.11    0.05    3.14 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.48 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00    2.08 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.33 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00    2.33 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.25    2.58 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00    2.58 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.20    2.79 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00    2.79 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.17    2.97 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00    2.97 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17    3.14 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.48 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.63 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    3.14 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.14    3.28 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00    3.63 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    3.76 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00    3.76 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    3.88 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.00    3.28 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.41 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00    3.41 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    3.53 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00    3.88 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.41    4.29 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
-                  0.44    0.02    4.31 ^ mprj/u_mbist0/clkbuf_opt_5_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.24    4.54 ^ mprj/u_mbist0/clkbuf_opt_5_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    3.53 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.19    3.72 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00    3.72 ^ mprj/u_mbist0/wire7/A (sky130_fd_sc_hd__buf_8)
+                  0.20    0.24    3.96 ^ mprj/u_mbist0/wire7/X (sky130_fd_sc_hd__buf_8)
+    18    0.12                           mprj/u_mbist0/net2100 (net)
+                  0.20    0.01    3.97 ^ mprj/u_mbist0/clkbuf_opt_5_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    4.15 ^ mprj/u_mbist0/clkbuf_opt_5_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.02                           mprj/u_mbist0/clknet_opt_5_0_wb_clk_i (net)
-                  0.05    0.00    4.54 ^ mprj/u_mbist0/clkbuf_opt_5_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.68 ^ mprj/u_mbist0/clkbuf_opt_5_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.15 ^ mprj/u_mbist0/clkbuf_opt_5_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.29 ^ mprj/u_mbist0/clkbuf_opt_5_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.02                           mprj/u_mbist0/clknet_opt_5_1_wb_clk_i (net)
-                  0.04    0.00    4.68 ^ mprj/u_mbist0/clkbuf_opt_5_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.81 ^ mprj/u_mbist0/clkbuf_opt_5_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.29 ^ mprj/u_mbist0/clkbuf_opt_5_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.42 ^ mprj/u_mbist0/clkbuf_opt_5_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.02                           mprj/u_mbist0/clknet_opt_5_2_wb_clk_i (net)
-                  0.04    0.00    4.81 ^ mprj/u_mbist0/clkbuf_opt_5_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.94 ^ mprj/u_mbist0/clkbuf_opt_5_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.42 ^ mprj/u_mbist0/clkbuf_opt_5_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.55 ^ mprj/u_mbist0/clkbuf_opt_5_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.02                           mprj/u_mbist0/clknet_opt_5_3_wb_clk_i (net)
-                  0.05    0.00    4.94 ^ mprj/u_mbist0/clkbuf_leaf_16_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.18    5.12 ^ mprj/u_mbist0/clkbuf_leaf_16_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.55 ^ mprj/u_mbist0/clkbuf_leaf_16_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.18    4.73 ^ mprj/u_mbist0/clkbuf_leaf_16_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
     32    0.09                           mprj/u_mbist0/clknet_leaf_16_wb_clk_i (net)
-                  0.10    0.00    5.13 ^ mprj/u_mbist0/_4594_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                          0.10    5.23   clock uncertainty
-                          0.00    5.23   clock reconvergence pessimism
-                         -0.03    5.20   library hold time
-                                  5.20   data required time
+                  0.10    0.00    4.73 ^ mprj/u_mbist0/_4594_/CLK (sky130_fd_sc_hd__dfrtp_4)
+                          0.10    4.83   clock uncertainty
+                          0.00    4.83   clock reconvergence pessimism
+                         -0.03    4.80   library hold time
+                                  4.80   data required time
 -----------------------------------------------------------------------------
-                                  5.20   data required time
-                                 -5.05   data arrival time
+                                  4.80   data required time
+                                 -5.04   data arrival time
 -----------------------------------------------------------------------------
-                                 -0.15   slack (VIOLATED)
+                                  0.23   slack (MET)
 
 
-Startpoint: mprj/u_intercon/_4156_
+Startpoint: mprj/u_mbist1/_4192_
             (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist1/_4597_
+Endpoint: mprj/u_mbist1/_4170_
           (rising edge-triggered flip-flop clocked by wbs_clk_i)
 Path Group: wbs_clk_i
 Path Type: min
@@ -74852,198 +85503,174 @@
 -----------------------------------------------------------------------------
                           0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input317/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.20    0.25    0.26 ^ mprj/u_intercon/input317/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.02                           mprj/u_intercon/net317 (net)
-                  0.20    0.00    0.26 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.14    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d1 (net)
-                  0.09    0.00    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d2 (net)
-                  0.07    0.00    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d3 (net)
-                  0.10    0.00    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d4 (net)
-                  0.08    0.00    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d5 (net)
-                  0.08    0.00    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d6 (net)
-                  0.07    0.00    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d7 (net)
-                  0.08    0.00    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.18 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d8 (net)
-                  0.08    0.00    1.18 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d04 (net)
-                  0.05    0.00    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.12    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d12 (net)
-                  0.06    0.00    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d21 (net)
-                  0.05    0.00    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    1.69 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_intercon/net626 (net)
-                  0.07    0.00    1.69 ^ mprj/u_intercon/output626/A (sky130_fd_sc_hd__buf_2)
-                  0.80    0.60    2.29 ^ mprj/u_intercon/output626/X (sky130_fd_sc_hd__buf_2)
-     2    0.17                           mprj/wbd_clk_wi_skew (net)
-                  0.81    0.04    2.33 ^ mprj/u_intercon/clkbuf_0_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.28    2.61 ^ mprj/u_intercon/clkbuf_0_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_intercon/clknet_0_clk_i (net)
-                  0.07    0.00    2.61 ^ mprj/u_intercon/clkbuf_1_0_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.74 ^ mprj/u_intercon/clkbuf_1_0_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_intercon/clknet_1_0_0_clk_i (net)
-                  0.04    0.00    2.74 ^ mprj/u_intercon/clkbuf_1_0_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.86 ^ mprj/u_intercon/clkbuf_1_0_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_intercon/clknet_1_0_1_clk_i (net)
-                  0.04    0.00    2.86 ^ mprj/u_intercon/clkbuf_1_0_2_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.11    2.97 ^ mprj/u_intercon/clkbuf_1_0_2_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_0_2_clk_i (net)
-                  0.03    0.00    2.97 ^ mprj/u_intercon/clkbuf_1_0_3_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14    3.11 ^ mprj/u_intercon/clkbuf_1_0_3_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_intercon/clknet_1_0_3_clk_i (net)
-                  0.07    0.00    3.11 ^ mprj/u_intercon/clkbuf_2_1_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.15    3.26 ^ mprj/u_intercon/clkbuf_2_1_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_2_1_0_clk_i (net)
-                  0.07    0.00    3.27 ^ mprj/u_intercon/clkbuf_3_3_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    3.39 ^ mprj/u_intercon/clkbuf_3_3_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_3_3_0_clk_i (net)
-                  0.04    0.00    3.39 ^ mprj/u_intercon/clkbuf_3_3_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.32    0.31    3.70 ^ mprj/u_intercon/clkbuf_3_3_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.19                           mprj/u_intercon/clknet_3_3_1_clk_i (net)
-                  0.32    0.01    3.71 ^ mprj/u_intercon/clkbuf_leaf_5_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.25    3.96 ^ mprj/u_intercon/clkbuf_leaf_5_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_intercon/clknet_leaf_5_clk_i (net)
-                  0.11    0.00    3.96 ^ mprj/u_intercon/_4156_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.09    0.36    4.32 ^ mprj/u_intercon/_4156_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_intercon/u_s3.u_sync_wbb.s_cmd_rd_data_l[44] (net)
-                  0.09    0.00    4.32 ^ mprj/u_intercon/_2168_/A0 (sky130_fd_sc_hd__mux2_2)
-                  0.17    0.25    4.57 ^ mprj/u_intercon/_2168_/X (sky130_fd_sc_hd__mux2_2)
-     2    0.03                           mprj/u_intercon/net604 (net)
-                  0.17    0.00    4.58 ^ mprj/u_intercon/wire729/A (sky130_fd_sc_hd__buf_4)
-                  0.21    0.26    4.84 ^ mprj/u_intercon/wire729/X (sky130_fd_sc_hd__buf_4)
-     2    0.08                           mprj/u_intercon/net729 (net)
-                  0.21    0.00    4.84 ^ mprj/u_intercon/output604/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.24    5.08 ^ mprj/u_intercon/output604/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_mbist1_dat_o[26] (net)
-                  0.17    0.00    5.08 ^ mprj/u_mbist1/input178/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.11    5.20 ^ mprj/u_mbist1/input178/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_mbist1/net178 (net)
-                  0.06    0.00    5.20 ^ mprj/u_mbist1/_3913_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    5.31 ^ mprj/u_mbist1/_3913_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/_0547_ (net)
-                  0.04    0.00    5.31 ^ mprj/u_mbist1/_4597_/D (sky130_fd_sc_hd__dfrtp_4)
-                                  5.31   data arrival time
-
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.24    0.53 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.22    0.51 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
      2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.21    0.76 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+                  0.20    0.03    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.20    0.74 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
      2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02    0.77 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.13    0.90 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.02    0.76 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11    0.87 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00    0.90 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.17    1.07 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+                  0.06    0.00    0.87 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.16    1.02 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
      2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00    1.07 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    1.22 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.00    1.03 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    1.17 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00    1.22 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00    1.17 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    1.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.00    1.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    2.07 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    2.07 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00    1.39 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12    1.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00    1.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00    1.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13    1.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
      1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.60 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00    1.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.87 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00    2.60 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.46 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05    3.51 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.84 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00    1.87 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23    2.10 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00    2.10 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23    2.33 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00    2.34 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19    2.53 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00    2.53 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16    2.69 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00    2.70 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16    2.86 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.85 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.99 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00    3.99 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.13 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00    4.13 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.24 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00    4.25 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.41    4.66 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
-                  0.44    0.01    4.67 ^ mprj/u_mbist1/clkbuf_opt_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.24    4.91 ^ mprj/u_mbist1/clkbuf_opt_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_6_0_wb_clk_i (net)
-                  0.05    0.00    4.91 ^ mprj/u_mbist1/clkbuf_opt_6_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.04 ^ mprj/u_mbist1/clkbuf_opt_6_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_6_1_wb_clk_i (net)
-                  0.04    0.00    5.04 ^ mprj/u_mbist1/clkbuf_opt_6_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.14    5.19 ^ mprj/u_mbist1/clkbuf_opt_6_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.04                           mprj/u_mbist1/clknet_opt_6_2_wb_clk_i (net)
-                  0.06    0.00    5.19 ^ mprj/u_mbist1/clkbuf_leaf_17_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.19    5.38 ^ mprj/u_mbist1/clkbuf_leaf_17_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_mbist1/clknet_leaf_17_wb_clk_i (net)
-                  0.11    0.00    5.38 ^ mprj/u_mbist1/_4597_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                          0.10    5.48   clock uncertainty
-                          0.00    5.48   clock reconvergence pessimism
-                         -0.02    5.46   library hold time
-                                  5.46   data required time
+                  0.06    0.00    2.86 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    2.99 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_0_0_wb_clk_i (net)
+                  0.05    0.00    2.99 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.12 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_0_1_wb_clk_i (net)
+                  0.05    0.00    3.12 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    3.23 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_0_2_wb_clk_i (net)
+                  0.03    0.00    3.23 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.17    3.41 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist1/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.41 ^ mprj/u_mbist1/clkbuf_leaf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.21    3.61 ^ mprj/u_mbist1/clkbuf_leaf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.10                           mprj/u_mbist1/clknet_leaf_0_wb_clk_i (net)
+                  0.12    0.00    3.62 ^ mprj/u_mbist1/_4192_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.05    0.33    3.95 ^ mprj/u_mbist1/_4192_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.00                           mprj/u_mbist1/u_mbist.bist_error_addr[1][0] (net)
+                  0.05    0.00    3.95 ^ mprj/u_mbist1/hold110/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.14    0.59    4.53 ^ mprj/u_mbist1/hold110/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     2    0.01                           mprj/u_mbist1/net2224 (net)
+                  0.14    0.00    4.54 ^ mprj/u_mbist1/_3126_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.16    4.69 ^ mprj/u_mbist1/_3126_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/_0160_ (net)
+                  0.06    0.00    4.69 ^ mprj/u_mbist1/_4170_/D (sky130_fd_sc_hd__dfrtp_2)
+                                  4.69   data arrival time
+
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.31    0.31 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00    0.32 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.23    0.55 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03    0.58 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.21    0.80 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02    0.81 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.12    0.94 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00    0.94 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.17    1.10 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00    1.11 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.16    1.26 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00    1.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.50 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.50 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.02 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00    2.02 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.26 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00    2.27 ^ mprj/u_mbist1/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.17    0.25    2.52 ^ mprj/u_mbist1/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2103 (net)
+                  0.17    0.00    2.52 ^ mprj/u_mbist1/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.21    2.73 ^ mprj/u_mbist1/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2102 (net)
+                  0.11    0.00    2.73 ^ mprj/u_mbist1/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.18    2.91 ^ mprj/u_mbist1/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2101 (net)
+                  0.11    0.01    2.92 ^ mprj/u_mbist1/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.07 ^ mprj/u_mbist1/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist1/clknet_0_wb_clk2_i (net)
+                  0.04    0.00    3.07 ^ mprj/u_mbist1/clkbuf_1_1__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.09    0.17    3.24 ^ mprj/u_mbist1/clkbuf_1_1__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.07                           mprj/u_mbist1/clknet_1_1__leaf_wb_clk2_i (net)
+                  0.09    0.00    3.24 ^ mprj/u_mbist1/wire15/A (sky130_fd_sc_hd__buf_2)
+                  0.28    0.30    3.54 ^ mprj/u_mbist1/wire15/X (sky130_fd_sc_hd__buf_2)
+     4    0.06                           mprj/u_mbist1/net2108 (net)
+                  0.28    0.00    3.54 ^ mprj/u_mbist1/u_mbist.mem_no[1].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
+                  0.06    0.25    3.79 ^ mprj/u_mbist1/u_mbist.mem_no[1].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     2    0.02                           mprj/u_mbist1/u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
+                  0.06    0.00    3.79 ^ mprj/u_mbist1/wire12/A (sky130_fd_sc_hd__buf_2)
+                  0.29    0.30    4.09 ^ mprj/u_mbist1/wire12/X (sky130_fd_sc_hd__buf_2)
+     2    0.06                           mprj/u_mbist1/net2105 (net)
+                  0.29    0.00    4.09 ^ mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[1].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    4.35 ^ mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[1].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.08                           mprj/u_mbist1/clknet_0_u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
+                  0.10    0.00    4.35 ^ mprj/u_mbist1/clkbuf_3_2__f_u_mbist.mem_no[1].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.09    0.19    4.54 ^ mprj/u_mbist1/clkbuf_3_2__f_u_mbist.mem_no[1].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    14    0.07                           mprj/u_mbist1/clknet_3_2__leaf_u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
+                  0.09    0.00    4.54 ^ mprj/u_mbist1/_4170_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                          0.10    4.64   clock uncertainty
+                         -0.16    4.48   clock reconvergence pessimism
+                         -0.03    4.45   library hold time
+                                  4.45   data required time
 -----------------------------------------------------------------------------
-                                  5.46   data required time
-                                 -5.31   data arrival time
+                                  4.45   data required time
+                                 -4.69   data arrival time
 -----------------------------------------------------------------------------
-                                 -0.14   slack (VIOLATED)
+                                  0.25   slack (MET)
 
 
-Startpoint: mprj/u_intercon/_3916_
+Startpoint: mprj/u_mbist0/_4192_
             (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist0/_4588_
+Endpoint: mprj/u_mbist0/_4170_
           (rising edge-triggered flip-flop clocked by wbs_clk_i)
 Path Group: wbs_clk_i
 Path Type: min
@@ -75052,383 +85679,174 @@
 -----------------------------------------------------------------------------
                           0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input317/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.20    0.25    0.26 ^ mprj/u_intercon/input317/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.02                           mprj/u_intercon/net317 (net)
-                  0.20    0.00    0.26 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.14    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d1 (net)
-                  0.09    0.00    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d2 (net)
-                  0.07    0.00    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d3 (net)
-                  0.10    0.00    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d4 (net)
-                  0.08    0.00    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d5 (net)
-                  0.08    0.00    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d6 (net)
-                  0.07    0.00    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d7 (net)
-                  0.08    0.00    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.18 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d8 (net)
-                  0.08    0.00    1.18 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d04 (net)
-                  0.05    0.00    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.12    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d12 (net)
-                  0.06    0.00    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d21 (net)
-                  0.05    0.00    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    1.69 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_intercon/net626 (net)
-                  0.07    0.00    1.69 ^ mprj/u_intercon/output626/A (sky130_fd_sc_hd__buf_2)
-                  0.80    0.60    2.29 ^ mprj/u_intercon/output626/X (sky130_fd_sc_hd__buf_2)
-     2    0.17                           mprj/wbd_clk_wi_skew (net)
-                  0.81    0.04    2.33 ^ mprj/u_intercon/clkbuf_0_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.28    2.61 ^ mprj/u_intercon/clkbuf_0_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_intercon/clknet_0_clk_i (net)
-                  0.07    0.00    2.61 ^ mprj/u_intercon/clkbuf_1_0_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.74 ^ mprj/u_intercon/clkbuf_1_0_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_intercon/clknet_1_0_0_clk_i (net)
-                  0.04    0.00    2.74 ^ mprj/u_intercon/clkbuf_1_0_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.86 ^ mprj/u_intercon/clkbuf_1_0_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_intercon/clknet_1_0_1_clk_i (net)
-                  0.04    0.00    2.86 ^ mprj/u_intercon/clkbuf_1_0_2_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.11    2.97 ^ mprj/u_intercon/clkbuf_1_0_2_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_0_2_clk_i (net)
-                  0.03    0.00    2.97 ^ mprj/u_intercon/clkbuf_1_0_3_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14    3.11 ^ mprj/u_intercon/clkbuf_1_0_3_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_intercon/clknet_1_0_3_clk_i (net)
-                  0.07    0.00    3.11 ^ mprj/u_intercon/clkbuf_2_0_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    3.26 ^ mprj/u_intercon/clkbuf_2_0_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_2_0_0_clk_i (net)
-                  0.06    0.00    3.26 ^ mprj/u_intercon/clkbuf_3_0_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    3.38 ^ mprj/u_intercon/clkbuf_3_0_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_3_0_0_clk_i (net)
-                  0.04    0.00    3.38 ^ mprj/u_intercon/clkbuf_3_0_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.26    0.27    3.66 ^ mprj/u_intercon/clkbuf_3_0_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.15                           mprj/u_intercon/clknet_3_0_1_clk_i (net)
-                  0.26    0.00    3.66 ^ mprj/u_intercon/clkbuf_leaf_1_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.24    3.90 ^ mprj/u_intercon/clkbuf_leaf_1_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_intercon/clknet_leaf_1_clk_i (net)
-                  0.11    0.00    3.90 ^ mprj/u_intercon/_3916_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.07    0.35    4.25 ^ mprj/u_intercon/_3916_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_intercon/u_s2.u_sync_wbb.s_cmd_rd_data_l[35] (net)
-                  0.07    0.00    4.25 ^ mprj/u_intercon/_2257_/A0 (sky130_fd_sc_hd__mux2_2)
-                  0.28    0.32    4.57 ^ mprj/u_intercon/_2257_/X (sky130_fd_sc_hd__mux2_2)
-     2    0.05                           mprj/u_intercon/net542 (net)
-                  0.28    0.00    4.57 ^ mprj/u_intercon/output542/A (sky130_fd_sc_hd__buf_2)
-                  0.15    0.25    4.82 ^ mprj/u_intercon/output542/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_mbist0_dat_o[17] (net)
-                  0.15    0.00    4.82 ^ mprj/u_mbist0/input168/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.12    4.94 ^ mprj/u_mbist0/input168/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_mbist0/net168 (net)
-                  0.06    0.00    4.94 ^ mprj/u_mbist0/_3904_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    5.05 ^ mprj/u_mbist0/_3904_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/_0538_ (net)
-                  0.04    0.00    5.05 ^ mprj/u_mbist0/_4588_/D (sky130_fd_sc_hd__dfrtp_4)
-                                  5.05   data arrival time
-
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.27    0.36    0.36 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     2    0.03                           mprj/u_intercon/net2 (net)
-                  0.27    0.00    0.36 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.13    0.50 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net319 (net)
-                  0.06    0.00    0.50 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
-                  0.15    0.19    0.69 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.41    0.41 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00    0.41 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.19    0.60 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00    0.60 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.21    0.81 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
      2    0.03                           mprj/wbd_clk_mbist0_rp (net)
-                  0.15    0.00    0.69 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    0.86 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.00    0.81 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    0.97 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/net198 (net)
-                  0.12    0.00    0.86 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00    0.97 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.00    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.00    1.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    1.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00    1.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.44 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.70 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    1.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d03 (net)
-                  0.04    0.00    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00    1.44 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12    1.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00    1.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
-                  0.04    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    1.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
      1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.23 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.93 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist0/net427 (net)
-                  0.05    0.00    2.23 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.09 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist0_skew (net)
-                  1.11    0.05    3.14 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.48 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00    1.93 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23    2.16 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00    2.16 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23    2.39 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00    2.40 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19    2.59 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00    2.59 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16    2.75 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00    2.75 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16    2.92 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.48 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.63 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00    3.63 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    3.76 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00    3.76 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    3.88 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00    3.88 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.41    4.29 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
-                  0.44    0.01    4.30 ^ mprj/u_mbist0/clkbuf_opt_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.24    4.54 ^ mprj/u_mbist0/clkbuf_opt_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_3_0_wb_clk_i (net)
-                  0.05    0.00    4.54 ^ mprj/u_mbist0/clkbuf_opt_3_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.67 ^ mprj/u_mbist0/clkbuf_opt_3_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_3_1_wb_clk_i (net)
-                  0.04    0.00    4.68 ^ mprj/u_mbist0/clkbuf_opt_3_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.80 ^ mprj/u_mbist0/clkbuf_opt_3_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_3_2_wb_clk_i (net)
-                  0.04    0.00    4.81 ^ mprj/u_mbist0/clkbuf_opt_3_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14    4.94 ^ mprj/u_mbist0/clkbuf_opt_3_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.03                           mprj/u_mbist0/clknet_opt_3_3_wb_clk_i (net)
-                  0.05    0.00    4.94 ^ mprj/u_mbist0/clkbuf_leaf_12_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.18    5.12 ^ mprj/u_mbist0/clkbuf_leaf_12_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.08                           mprj/u_mbist0/clknet_leaf_12_wb_clk_i (net)
-                  0.10    0.00    5.12 ^ mprj/u_mbist0/_4588_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                          0.10    5.22   clock uncertainty
-                          0.00    5.22   clock reconvergence pessimism
-                         -0.03    5.20   library hold time
-                                  5.20   data required time
------------------------------------------------------------------------------
-                                  5.20   data required time
-                                 -5.05   data arrival time
------------------------------------------------------------------------------
-                                 -0.14   slack (VIOLATED)
-
-
-Startpoint: mprj/u_intercon/_3930_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist0/_4602_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input317/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.20    0.25    0.26 ^ mprj/u_intercon/input317/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.02                           mprj/u_intercon/net317 (net)
-                  0.20    0.00    0.26 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.14    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d1 (net)
-                  0.09    0.00    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d2 (net)
-                  0.07    0.00    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d3 (net)
-                  0.10    0.00    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d4 (net)
-                  0.08    0.00    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d5 (net)
-                  0.08    0.00    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d6 (net)
-                  0.07    0.00    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d7 (net)
-                  0.08    0.00    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.18 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d8 (net)
-                  0.08    0.00    1.18 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d04 (net)
-                  0.05    0.00    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.12    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d12 (net)
-                  0.06    0.00    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d21 (net)
-                  0.05    0.00    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    1.69 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_intercon/net626 (net)
-                  0.07    0.00    1.69 ^ mprj/u_intercon/output626/A (sky130_fd_sc_hd__buf_2)
-                  0.80    0.60    2.29 ^ mprj/u_intercon/output626/X (sky130_fd_sc_hd__buf_2)
-     2    0.17                           mprj/wbd_clk_wi_skew (net)
-                  0.81    0.04    2.33 ^ mprj/u_intercon/clkbuf_0_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.28    2.61 ^ mprj/u_intercon/clkbuf_0_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_intercon/clknet_0_clk_i (net)
-                  0.07    0.00    2.61 ^ mprj/u_intercon/clkbuf_1_0_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.74 ^ mprj/u_intercon/clkbuf_1_0_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_intercon/clknet_1_0_0_clk_i (net)
-                  0.04    0.00    2.74 ^ mprj/u_intercon/clkbuf_1_0_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.86 ^ mprj/u_intercon/clkbuf_1_0_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_intercon/clknet_1_0_1_clk_i (net)
-                  0.04    0.00    2.86 ^ mprj/u_intercon/clkbuf_1_0_2_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.11    2.97 ^ mprj/u_intercon/clkbuf_1_0_2_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_0_2_clk_i (net)
-                  0.03    0.00    2.97 ^ mprj/u_intercon/clkbuf_1_0_3_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14    3.11 ^ mprj/u_intercon/clkbuf_1_0_3_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_intercon/clknet_1_0_3_clk_i (net)
-                  0.07    0.00    3.11 ^ mprj/u_intercon/clkbuf_2_0_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    3.26 ^ mprj/u_intercon/clkbuf_2_0_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_2_0_0_clk_i (net)
-                  0.06    0.00    3.26 ^ mprj/u_intercon/clkbuf_3_0_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    3.38 ^ mprj/u_intercon/clkbuf_3_0_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_3_0_0_clk_i (net)
-                  0.04    0.00    3.38 ^ mprj/u_intercon/clkbuf_3_0_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.26    0.27    3.66 ^ mprj/u_intercon/clkbuf_3_0_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.15                           mprj/u_intercon/clknet_3_0_1_clk_i (net)
-                  0.26    0.00    3.66 ^ mprj/u_intercon/clkbuf_leaf_1_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.24    3.90 ^ mprj/u_intercon/clkbuf_leaf_1_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_intercon/clknet_leaf_1_clk_i (net)
-                  0.11    0.00    3.90 ^ mprj/u_intercon/_3930_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.07    0.34    4.24 ^ mprj/u_intercon/_3930_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_intercon/u_s2.u_sync_wbb.s_cmd_rd_data_l[49] (net)
-                  0.07    0.00    4.24 ^ mprj/u_intercon/_2285_/A0 (sky130_fd_sc_hd__mux2_2)
-                  0.21    0.27    4.51 ^ mprj/u_intercon/_2285_/X (sky130_fd_sc_hd__mux2_2)
-     2    0.04                           mprj/u_intercon/net558 (net)
-                  0.21    0.00    4.52 ^ mprj/u_intercon/output558/A (sky130_fd_sc_hd__buf_2)
-                  0.15    0.22    4.74 ^ mprj/u_intercon/output558/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_mbist0_dat_o[31] (net)
-                  0.15    0.00    4.74 ^ mprj/u_mbist0/input184/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.05    0.10    4.85 ^ mprj/u_mbist0/input184/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_mbist0/net184 (net)
-                  0.05    0.00    4.85 ^ mprj/u_mbist0/_3918_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    4.96 ^ mprj/u_mbist0/_3918_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/_0552_ (net)
-                  0.04    0.00    4.96 ^ mprj/u_mbist0/_4602_/D (sky130_fd_sc_hd__dfrtp_4)
-                                  4.96   data arrival time
+                  0.06    0.00    2.92 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.05 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_0_0_wb_clk_i (net)
+                  0.05    0.00    3.05 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.18 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_0_1_wb_clk_i (net)
+                  0.05    0.00    3.18 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    3.29 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_0_2_wb_clk_i (net)
+                  0.03    0.00    3.29 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.17    3.46 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist0/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.47 ^ mprj/u_mbist0/clkbuf_leaf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.21    3.67 ^ mprj/u_mbist0/clkbuf_leaf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.10                           mprj/u_mbist0/clknet_leaf_0_wb_clk_i (net)
+                  0.12    0.00    3.67 ^ mprj/u_mbist0/_4192_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.05    0.33    4.00 ^ mprj/u_mbist0/_4192_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.00                           mprj/u_mbist0/u_mbist.bist_error_addr[1][0] (net)
+                  0.05    0.00    4.00 ^ mprj/u_mbist0/hold110/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.14    0.59    4.59 ^ mprj/u_mbist0/hold110/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     2    0.01                           mprj/u_mbist0/net2224 (net)
+                  0.14    0.00    4.59 ^ mprj/u_mbist0/_3126_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.16    4.75 ^ mprj/u_mbist0/_3126_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/_0160_ (net)
+                  0.06    0.00    4.75 ^ mprj/u_mbist0/_4170_/D (sky130_fd_sc_hd__dfrtp_2)
+                                  4.75   data arrival time
 
                           0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.27    0.36    0.36 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     2    0.03                           mprj/u_intercon/net2 (net)
-                  0.27    0.00    0.36 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.13    0.50 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net319 (net)
-                  0.06    0.00    0.50 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
-                  0.15    0.19    0.69 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.44    0.44 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00    0.45 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.20    0.65 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00    0.65 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.23    0.87 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
      2    0.03                           mprj/wbd_clk_mbist0_rp (net)
-                  0.15    0.00    0.69 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    0.86 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.00    0.88 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.17    1.04 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/net198 (net)
-                  0.12    0.00    0.86 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00    1.04 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.00    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.00    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.12    1.55 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.70 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    1.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d03 (net)
-                  0.04    0.00    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00    1.55 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
-                  0.04    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
      1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.23 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.08 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist0/net427 (net)
-                  0.05    0.00    2.23 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.09 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist0_skew (net)
-                  1.11    0.05    3.14 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.48 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.48 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.63 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00    3.63 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    3.76 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00    3.76 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    3.88 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00    3.88 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.41    4.29 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
-                  0.44    0.01    4.30 ^ mprj/u_mbist0/clkbuf_opt_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.24    4.54 ^ mprj/u_mbist0/clkbuf_opt_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_6_0_wb_clk_i (net)
-                  0.05    0.00    4.54 ^ mprj/u_mbist0/clkbuf_opt_6_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.67 ^ mprj/u_mbist0/clkbuf_opt_6_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_6_1_wb_clk_i (net)
-                  0.04    0.00    4.67 ^ mprj/u_mbist0/clkbuf_opt_6_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.14    4.82 ^ mprj/u_mbist0/clkbuf_opt_6_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.04                           mprj/u_mbist0/clknet_opt_6_2_wb_clk_i (net)
-                  0.06    0.00    4.82 ^ mprj/u_mbist0/clkbuf_leaf_17_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.19    5.01 ^ mprj/u_mbist0/clkbuf_leaf_17_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_mbist0/clknet_leaf_17_wb_clk_i (net)
-                  0.11    0.00    5.01 ^ mprj/u_mbist0/_4602_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                          0.10    5.11   clock uncertainty
-                          0.00    5.11   clock reconvergence pessimism
-                         -0.02    5.09   library hold time
-                                  5.09   data required time
+                  0.05    0.00    2.08 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.33 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00    2.33 ^ mprj/u_mbist0/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.17    0.25    2.58 ^ mprj/u_mbist0/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2103 (net)
+                  0.17    0.00    2.59 ^ mprj/u_mbist0/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.21    2.79 ^ mprj/u_mbist0/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2102 (net)
+                  0.11    0.00    2.80 ^ mprj/u_mbist0/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.18    2.97 ^ mprj/u_mbist0/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2101 (net)
+                  0.11    0.01    2.98 ^ mprj/u_mbist0/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.13 ^ mprj/u_mbist0/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist0/clknet_0_wb_clk2_i (net)
+                  0.04    0.00    3.14 ^ mprj/u_mbist0/clkbuf_1_1__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.09    0.17    3.30 ^ mprj/u_mbist0/clkbuf_1_1__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.07                           mprj/u_mbist0/clknet_1_1__leaf_wb_clk2_i (net)
+                  0.09    0.00    3.30 ^ mprj/u_mbist0/wire15/A (sky130_fd_sc_hd__buf_2)
+                  0.28    0.30    3.60 ^ mprj/u_mbist0/wire15/X (sky130_fd_sc_hd__buf_2)
+     4    0.06                           mprj/u_mbist0/net2108 (net)
+                  0.28    0.00    3.60 ^ mprj/u_mbist0/u_mbist.mem_no[1].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
+                  0.06    0.25    3.85 ^ mprj/u_mbist0/u_mbist.mem_no[1].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     2    0.02                           mprj/u_mbist0/u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
+                  0.06    0.00    3.86 ^ mprj/u_mbist0/wire12/A (sky130_fd_sc_hd__buf_2)
+                  0.29    0.30    4.15 ^ mprj/u_mbist0/wire12/X (sky130_fd_sc_hd__buf_2)
+     2    0.06                           mprj/u_mbist0/net2105 (net)
+                  0.29    0.00    4.16 ^ mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[1].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    4.41 ^ mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[1].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.08                           mprj/u_mbist0/clknet_0_u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
+                  0.10    0.00    4.42 ^ mprj/u_mbist0/clkbuf_3_2__f_u_mbist.mem_no[1].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.09    0.19    4.60 ^ mprj/u_mbist0/clkbuf_3_2__f_u_mbist.mem_no[1].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    14    0.07                           mprj/u_mbist0/clknet_3_2__leaf_u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
+                  0.09    0.00    4.61 ^ mprj/u_mbist0/_4170_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                          0.10    4.71   clock uncertainty
+                         -0.17    4.54   clock reconvergence pessimism
+                         -0.03    4.51   library hold time
+                                  4.51   data required time
 -----------------------------------------------------------------------------
-                                  5.09   data required time
-                                 -4.96   data arrival time
+                                  4.51   data required time
+                                 -4.75   data arrival time
 -----------------------------------------------------------------------------
-                                 -0.13   slack (VIOLATED)
+                                  0.25   slack (MET)
 
 
-Startpoint: mprj/u_intercon/_4137_
+Startpoint: mprj/u_mac_wrap/_09825_
             (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist1/_4578_
+Endpoint: mprj/u_mac_wrap/_09826_
           (rising edge-triggered flip-flop clocked by wbs_clk_i)
 Path Group: wbs_clk_i
 Path Type: min
@@ -75437,186 +85855,404 @@
 -----------------------------------------------------------------------------
                           0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input317/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.20    0.25    0.26 ^ mprj/u_intercon/input317/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.02                           mprj/u_intercon/net317 (net)
-                  0.20    0.00    0.26 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.14    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d1 (net)
-                  0.09    0.00    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d2 (net)
-                  0.07    0.00    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d3 (net)
-                  0.10    0.00    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d4 (net)
-                  0.08    0.00    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d5 (net)
-                  0.08    0.00    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d6 (net)
-                  0.07    0.00    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d7 (net)
-                  0.08    0.00    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.18 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d8 (net)
-                  0.08    0.00    1.18 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d04 (net)
-                  0.05    0.00    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.12    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d12 (net)
-                  0.06    0.00    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d21 (net)
-                  0.05    0.00    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    1.69 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_intercon/net626 (net)
-                  0.07    0.00    1.69 ^ mprj/u_intercon/output626/A (sky130_fd_sc_hd__buf_2)
-                  0.80    0.60    2.29 ^ mprj/u_intercon/output626/X (sky130_fd_sc_hd__buf_2)
-     2    0.17                           mprj/wbd_clk_wi_skew (net)
-                  0.81    0.04    2.33 ^ mprj/u_intercon/clkbuf_0_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.28    2.61 ^ mprj/u_intercon/clkbuf_0_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_intercon/clknet_0_clk_i (net)
-                  0.07    0.00    2.61 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.74 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_intercon/clknet_1_1_0_clk_i (net)
-                  0.04    0.00    2.74 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.86 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_1_clk_i (net)
-                  0.04    0.00    2.86 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.11    2.97 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_2_clk_i (net)
-                  0.04    0.00    2.97 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.13    3.11 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_1_1_3_clk_i (net)
-                  0.07    0.00    3.11 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    3.25 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_2_2_0_clk_i (net)
-                  0.06    0.00    3.25 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    3.37 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_3_5_0_clk_i (net)
-                  0.04    0.00    3.37 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.21    0.24    3.61 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.12                           mprj/u_intercon/clknet_3_5_1_clk_i (net)
-                  0.21    0.01    3.62 ^ mprj/u_intercon/clkbuf_leaf_18_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.12    0.23    3.85 ^ mprj/u_intercon/clkbuf_leaf_18_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.10                           mprj/u_intercon/clknet_leaf_18_clk_i (net)
-                  0.12    0.00    3.86 ^ mprj/u_intercon/_4137_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.06    0.34    4.20 ^ mprj/u_intercon/_4137_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.00                           mprj/u_intercon/u_s3.u_sync_wbb.s_cmd_rd_data_l[25] (net)
-                  0.06    0.00    4.20 ^ mprj/u_intercon/_2130_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.32 ^ mprj/u_intercon/_2130_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/net615 (net)
-                  0.05    0.00    4.32 ^ mprj/u_intercon/output615/A (sky130_fd_sc_hd__buf_2)
-                  0.16    0.19    4.51 ^ mprj/u_intercon/output615/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_mbist1_dat_o[7] (net)
-                  0.16    0.00    4.52 ^ mprj/u_mbist1/input189/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.23    0.26    4.78 ^ mprj/u_mbist1/input189/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.04                           mprj/u_mbist1/net189 (net)
-                  0.23    0.00    4.78 ^ mprj/u_mbist1/_3894_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.16    4.94 ^ mprj/u_mbist1/_3894_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/_0528_ (net)
-                  0.04    0.00    4.94 ^ mprj/u_mbist1/_4578_/D (sky130_fd_sc_hd__dfrtp_2)
-                                  4.94   data arrival time
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.17    0.18 ^ mprj/u_intercon/input4/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net4 (net)
+                  0.09    0.00    0.18 ^ mprj/u_intercon/_4692_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    0.32 ^ mprj/u_intercon/_4692_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net321 (net)
+                  0.12    0.00    0.32 ^ mprj/u_intercon/output321/A (sky130_fd_sc_hd__buf_2)
+                  0.10    0.17    0.49 ^ mprj/u_intercon/output321/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mac_rp (net)
+                  0.10    0.00    0.49 ^ mprj/u_mac_wrap/input17/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.13    0.62 ^ mprj/u_mac_wrap/input17/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mac_wrap/net17 (net)
+                  0.09    0.00    0.62 ^ mprj/u_mac_wrap/u_skew_mac.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.09    0.71 ^ mprj/u_mac_wrap/u_skew_mac.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_mac_wrap/u_skew_mac.clkbuf_1.X1 (net)
+                  0.05    0.00    0.71 ^ mprj/u_mac_wrap/u_skew_mac.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.11    0.82 ^ mprj/u_mac_wrap/u_skew_mac.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mac_wrap/u_skew_mac.clk_d1 (net)
+                  0.09    0.00    0.82 ^ mprj/u_mac_wrap/u_skew_mac.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.10    0.92 ^ mprj/u_mac_wrap/u_skew_mac.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_mac_wrap/u_skew_mac.clkbuf_2.X1 (net)
+                  0.06    0.00    0.92 ^ mprj/u_mac_wrap/u_skew_mac.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.02 ^ mprj/u_mac_wrap/u_skew_mac.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mac_wrap/u_skew_mac.clk_d2 (net)
+                  0.07    0.00    1.02 ^ mprj/u_mac_wrap/u_skew_mac.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    1.11 ^ mprj/u_mac_wrap/u_skew_mac.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_mac_wrap/u_skew_mac.clkbuf_3.X1 (net)
+                  0.04    0.00    1.11 ^ mprj/u_mac_wrap/u_skew_mac.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.21 ^ mprj/u_mac_wrap/u_skew_mac.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mac_wrap/u_skew_mac.clk_d3 (net)
+                  0.08    0.00    1.21 ^ mprj/u_mac_wrap/u_skew_mac.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.09    1.29 ^ mprj/u_mac_wrap/u_skew_mac.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_mac_wrap/u_skew_mac.clkbuf_4.X1 (net)
+                  0.04    0.00    1.29 ^ mprj/u_mac_wrap/u_skew_mac.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.39 ^ mprj/u_mac_wrap/u_skew_mac.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mac_wrap/u_skew_mac.clk_d4 (net)
+                  0.07    0.00    1.39 ^ mprj/u_mac_wrap/u_skew_mac.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.09    1.48 ^ mprj/u_mac_wrap/u_skew_mac.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_mac_wrap/u_skew_mac.clkbuf_5.X1 (net)
+                  0.05    0.00    1.48 ^ mprj/u_mac_wrap/u_skew_mac.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.59 ^ mprj/u_mac_wrap/u_skew_mac.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mac_wrap/u_skew_mac.clk_d5 (net)
+                  0.08    0.00    1.59 ^ mprj/u_mac_wrap/u_skew_mac.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.69 ^ mprj/u_mac_wrap/u_skew_mac.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_mac_wrap/u_skew_mac.clkbuf_6.X1 (net)
+                  0.07    0.00    1.69 ^ mprj/u_mac_wrap/u_skew_mac.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    1.80 ^ mprj/u_mac_wrap/u_skew_mac.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mac_wrap/u_skew_mac.clk_d6 (net)
+                  0.08    0.00    1.80 ^ mprj/u_mac_wrap/u_skew_mac.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.10    1.90 ^ mprj/u_mac_wrap/u_skew_mac.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_mac_wrap/u_skew_mac.clkbuf_7.X1 (net)
+                  0.06    0.00    1.90 ^ mprj/u_mac_wrap/u_skew_mac.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.11    2.01 ^ mprj/u_mac_wrap/u_skew_mac.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mac_wrap/u_skew_mac.clk_d7 (net)
+                  0.09    0.00    2.01 ^ mprj/u_mac_wrap/u_skew_mac.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.10 ^ mprj/u_mac_wrap/u_skew_mac.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_mac_wrap/u_skew_mac.clkbuf_8.X1 (net)
+                  0.05    0.00    2.10 ^ mprj/u_mac_wrap/u_skew_mac.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    2.21 ^ mprj/u_mac_wrap/u_skew_mac.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mac_wrap/u_skew_mac.clk_d8 (net)
+                  0.08    0.00    2.21 ^ mprj/u_mac_wrap/u_skew_mac.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    2.33 ^ mprj/u_mac_wrap/u_skew_mac.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/u_skew_mac.d04 (net)
+                  0.04    0.00    2.33 ^ mprj/u_mac_wrap/u_skew_mac.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.11    2.44 ^ mprj/u_mac_wrap/u_skew_mac.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/u_skew_mac.d12 (net)
+                  0.05    0.00    2.44 ^ mprj/u_mac_wrap/u_skew_mac.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.11    2.55 ^ mprj/u_mac_wrap/u_skew_mac.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/u_skew_mac.d21 (net)
+                  0.05    0.00    2.55 ^ mprj/u_mac_wrap/u_skew_mac.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    2.66 ^ mprj/u_mac_wrap/u_skew_mac.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/net125 (net)
+                  0.04    0.00    2.66 ^ mprj/u_mac_wrap/output125/A (sky130_fd_sc_hd__buf_2)
+                  0.17    0.19    2.85 ^ mprj/u_mac_wrap/output125/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mac_skew (net)
+                  0.17    0.00    2.85 ^ mprj/u_mac_wrap/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.18    0.23    3.09 ^ mprj/u_mac_wrap/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_mac_wrap/net832 (net)
+                  0.18    0.00    3.09 ^ mprj/u_mac_wrap/clkbuf_0_app_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    3.26 ^ mprj/u_mac_wrap/clkbuf_0_app_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_app_clk (net)
+                  0.05    0.00    3.26 ^ mprj/u_mac_wrap/clkbuf_1_1_0_app_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    3.38 ^ mprj/u_mac_wrap/clkbuf_1_1_0_app_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_1_0_app_clk (net)
+                  0.03    0.00    3.38 ^ mprj/u_mac_wrap/clkbuf_1_1_1_app_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.15    3.53 ^ mprj/u_mac_wrap/clkbuf_1_1_1_app_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_1_1_app_clk (net)
+                  0.09    0.00    3.53 ^ mprj/u_mac_wrap/clkbuf_2_2_0_app_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15    3.68 ^ mprj/u_mac_wrap/clkbuf_2_2_0_app_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_app_clk (net)
+                  0.07    0.00    3.68 ^ mprj/u_mac_wrap/clkbuf_3_5_0_app_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.25    0.28    3.96 ^ mprj/u_mac_wrap/clkbuf_3_5_0_app_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.15                           mprj/u_mac_wrap/clknet_3_5_0_app_clk (net)
+                  0.25    0.01    3.96 ^ mprj/u_mac_wrap/clkbuf_leaf_31_app_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.19    4.15 ^ mprj/u_mac_wrap/clkbuf_leaf_31_app_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.02                           mprj/u_mac_wrap/clknet_leaf_31_app_clk (net)
+                  0.05    0.00    4.15 ^ mprj/u_mac_wrap/_09825_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.06    0.32    4.47 ^ mprj/u_mac_wrap/_09825_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_cfg_mgmt.U1_s2f_sync.sync2_out (net)
+                  0.06    0.00    4.47 ^ mprj/u_mac_wrap/_09826_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  4.47   data arrival time
 
                           0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.24    0.53 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.18    0.19 ^ mprj/u_intercon/input4/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net4 (net)
+                  0.09    0.00    0.19 ^ mprj/u_intercon/_4692_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.16    0.34 ^ mprj/u_intercon/_4692_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net321 (net)
+                  0.12    0.00    0.35 ^ mprj/u_intercon/output321/A (sky130_fd_sc_hd__buf_2)
+                  0.10    0.19    0.53 ^ mprj/u_intercon/output321/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mac_rp (net)
+                  0.10    0.00    0.53 ^ mprj/u_mac_wrap/input17/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.13    0.67 ^ mprj/u_mac_wrap/input17/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mac_wrap/net17 (net)
+                  0.09    0.00    0.67 ^ mprj/u_mac_wrap/u_skew_mac.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    0.77 ^ mprj/u_mac_wrap/u_skew_mac.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_mac_wrap/u_skew_mac.clkbuf_1.X1 (net)
+                  0.05    0.00    0.77 ^ mprj/u_mac_wrap/u_skew_mac.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.12    0.88 ^ mprj/u_mac_wrap/u_skew_mac.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mac_wrap/u_skew_mac.clk_d1 (net)
+                  0.09    0.00    0.89 ^ mprj/u_mac_wrap/u_skew_mac.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11    0.99 ^ mprj/u_mac_wrap/u_skew_mac.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_mac_wrap/u_skew_mac.clkbuf_2.X1 (net)
+                  0.06    0.00    0.99 ^ mprj/u_mac_wrap/u_skew_mac.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.10 ^ mprj/u_mac_wrap/u_skew_mac.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mac_wrap/u_skew_mac.clk_d2 (net)
+                  0.07    0.00    1.10 ^ mprj/u_mac_wrap/u_skew_mac.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.09    1.19 ^ mprj/u_mac_wrap/u_skew_mac.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_mac_wrap/u_skew_mac.clkbuf_3.X1 (net)
+                  0.04    0.00    1.19 ^ mprj/u_mac_wrap/u_skew_mac.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    1.30 ^ mprj/u_mac_wrap/u_skew_mac.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mac_wrap/u_skew_mac.clk_d3 (net)
+                  0.08    0.00    1.30 ^ mprj/u_mac_wrap/u_skew_mac.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.09    1.39 ^ mprj/u_mac_wrap/u_skew_mac.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_mac_wrap/u_skew_mac.clkbuf_4.X1 (net)
+                  0.04    0.00    1.39 ^ mprj/u_mac_wrap/u_skew_mac.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.50 ^ mprj/u_mac_wrap/u_skew_mac.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mac_wrap/u_skew_mac.clk_d4 (net)
+                  0.07    0.00    1.50 ^ mprj/u_mac_wrap/u_skew_mac.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    1.60 ^ mprj/u_mac_wrap/u_skew_mac.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_mac_wrap/u_skew_mac.clkbuf_5.X1 (net)
+                  0.05    0.00    1.60 ^ mprj/u_mac_wrap/u_skew_mac.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    1.71 ^ mprj/u_mac_wrap/u_skew_mac.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mac_wrap/u_skew_mac.clk_d5 (net)
+                  0.08    0.00    1.71 ^ mprj/u_mac_wrap/u_skew_mac.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.82 ^ mprj/u_mac_wrap/u_skew_mac.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_mac_wrap/u_skew_mac.clkbuf_6.X1 (net)
+                  0.07    0.00    1.82 ^ mprj/u_mac_wrap/u_skew_mac.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    1.94 ^ mprj/u_mac_wrap/u_skew_mac.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mac_wrap/u_skew_mac.clk_d6 (net)
+                  0.08    0.00    1.94 ^ mprj/u_mac_wrap/u_skew_mac.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.10    2.04 ^ mprj/u_mac_wrap/u_skew_mac.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_mac_wrap/u_skew_mac.clkbuf_7.X1 (net)
+                  0.06    0.00    2.04 ^ mprj/u_mac_wrap/u_skew_mac.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.12    2.16 ^ mprj/u_mac_wrap/u_skew_mac.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mac_wrap/u_skew_mac.clk_d7 (net)
+                  0.09    0.00    2.16 ^ mprj/u_mac_wrap/u_skew_mac.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.27 ^ mprj/u_mac_wrap/u_skew_mac.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_mac_wrap/u_skew_mac.clkbuf_8.X1 (net)
+                  0.05    0.00    2.27 ^ mprj/u_mac_wrap/u_skew_mac.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    2.38 ^ mprj/u_mac_wrap/u_skew_mac.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mac_wrap/u_skew_mac.clk_d8 (net)
+                  0.08    0.00    2.38 ^ mprj/u_mac_wrap/u_skew_mac.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.13    2.51 ^ mprj/u_mac_wrap/u_skew_mac.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/u_skew_mac.d04 (net)
+                  0.04    0.00    2.51 ^ mprj/u_mac_wrap/u_skew_mac.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12    2.63 ^ mprj/u_mac_wrap/u_skew_mac.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/u_skew_mac.d12 (net)
+                  0.05    0.00    2.63 ^ mprj/u_mac_wrap/u_skew_mac.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12    2.75 ^ mprj/u_mac_wrap/u_skew_mac.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/u_skew_mac.d21 (net)
+                  0.05    0.00    2.75 ^ mprj/u_mac_wrap/u_skew_mac.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    2.87 ^ mprj/u_mac_wrap/u_skew_mac.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/net125 (net)
+                  0.04    0.00    2.87 ^ mprj/u_mac_wrap/output125/A (sky130_fd_sc_hd__buf_2)
+                  0.17    0.20    3.07 ^ mprj/u_mac_wrap/output125/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mac_skew (net)
+                  0.17    0.00    3.07 ^ mprj/u_mac_wrap/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.18    0.25    3.33 ^ mprj/u_mac_wrap/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_mac_wrap/net832 (net)
+                  0.18    0.00    3.33 ^ mprj/u_mac_wrap/clkbuf_0_app_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.19    3.52 ^ mprj/u_mac_wrap/clkbuf_0_app_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_app_clk (net)
+                  0.05    0.00    3.52 ^ mprj/u_mac_wrap/clkbuf_1_1_0_app_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    3.64 ^ mprj/u_mac_wrap/clkbuf_1_1_0_app_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_1_0_app_clk (net)
+                  0.03    0.00    3.64 ^ mprj/u_mac_wrap/clkbuf_1_1_1_app_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.16    3.80 ^ mprj/u_mac_wrap/clkbuf_1_1_1_app_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_1_1_app_clk (net)
+                  0.09    0.00    3.80 ^ mprj/u_mac_wrap/clkbuf_2_2_0_app_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.17    3.97 ^ mprj/u_mac_wrap/clkbuf_2_2_0_app_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_app_clk (net)
+                  0.07    0.00    3.97 ^ mprj/u_mac_wrap/clkbuf_3_5_0_app_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.25    0.30    4.27 ^ mprj/u_mac_wrap/clkbuf_3_5_0_app_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.15                           mprj/u_mac_wrap/clknet_3_5_0_app_clk (net)
+                  0.25    0.01    4.27 ^ mprj/u_mac_wrap/clkbuf_leaf_31_app_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.20    4.48 ^ mprj/u_mac_wrap/clkbuf_leaf_31_app_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.02                           mprj/u_mac_wrap/clknet_leaf_31_app_clk (net)
+                  0.05    0.00    4.48 ^ mprj/u_mac_wrap/_09826_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    4.58   clock uncertainty
+                         -0.32    4.25   clock reconvergence pessimism
+                         -0.04    4.22   library hold time
+                                  4.22   data required time
+-----------------------------------------------------------------------------
+                                  4.22   data required time
+                                 -4.47   data arrival time
+-----------------------------------------------------------------------------
+                                  0.26   slack (MET)
+
+
+Startpoint: mprj/u_mbist1/_4150_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist1/_4147_
+          (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Path Group: wbs_clk_i
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.22    0.51 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
      2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.21    0.76 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+                  0.20    0.03    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.20    0.74 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
      2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02    0.77 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.13    0.90 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.02    0.76 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11    0.87 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00    0.90 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.17    1.07 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+                  0.06    0.00    0.87 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.16    1.02 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
      2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00    1.07 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    1.22 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.00    1.03 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    1.17 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00    1.22 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00    1.17 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    1.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.00    1.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    2.07 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    2.07 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00    1.39 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12    1.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00    1.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00    1.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13    1.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
      1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.60 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00    1.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.87 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00    2.60 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.46 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05    3.51 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.84 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00    1.87 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23    2.10 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00    2.10 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23    2.33 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00    2.34 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19    2.53 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00    2.53 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16    2.69 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00    2.70 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16    2.86 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.85 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.99 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00    3.99 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.13 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00    4.13 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.24 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00    4.25 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.41    4.66 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
-                  0.45    0.03    4.69 ^ mprj/u_mbist1/clkbuf_leaf_11_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.12    0.31    5.00 ^ mprj/u_mbist1/clkbuf_leaf_11_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.10                           mprj/u_mbist1/clknet_leaf_11_wb_clk_i (net)
-                  0.12    0.00    5.00 ^ mprj/u_mbist1/_4578_/CLK (sky130_fd_sc_hd__dfrtp_2)
-                          0.10    5.10   clock uncertainty
-                          0.00    5.10   clock reconvergence pessimism
-                         -0.02    5.07   library hold time
-                                  5.07   data required time
+                  0.06    0.00    2.86 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    2.99 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_0_0_wb_clk_i (net)
+                  0.05    0.00    2.99 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.12 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_0_1_wb_clk_i (net)
+                  0.05    0.00    3.12 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    3.23 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_0_2_wb_clk_i (net)
+                  0.03    0.00    3.23 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.17    3.41 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist1/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.41 ^ mprj/u_mbist1/clkbuf_leaf_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.20    3.61 ^ mprj/u_mbist1/clkbuf_leaf_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           mprj/u_mbist1/clknet_leaf_2_wb_clk_i (net)
+                  0.11    0.00    3.61 ^ mprj/u_mbist1/_4150_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                  0.14    0.43    4.04 ^ mprj/u_mbist1/_4150_/Q (sky130_fd_sc_hd__dfrtp_2)
+     4    0.03                           mprj/u_mbist1/u_mbist.mem_no[2].u_cmp.mask_compare (net)
+                  0.14    0.00    4.04 ^ mprj/u_mbist1/_3099_/A2 (sky130_fd_sc_hd__a21oi_2)
+                  0.06    0.10    4.14 v mprj/u_mbist1/_3099_/Y (sky130_fd_sc_hd__a21oi_2)
+     4    0.01                           mprj/u_mbist1/_1471_ (net)
+                  0.06    0.00    4.14 v mprj/u_mbist1/_3100_/B (sky130_fd_sc_hd__nand2_1)
+                  0.08    0.10    4.23 ^ mprj/u_mbist1/_3100_/Y (sky130_fd_sc_hd__nand2_1)
+     2    0.01                           mprj/u_mbist1/_1472_ (net)
+                  0.08    0.00    4.23 ^ mprj/u_mbist1/_3103_/B (sky130_fd_sc_hd__xnor2_1)
+                  0.04    0.07    4.30 v mprj/u_mbist1/_3103_/Y (sky130_fd_sc_hd__xnor2_1)
+     1    0.00                           mprj/u_mbist1/_0138_ (net)
+                  0.04    0.00    4.30 v mprj/u_mbist1/_4147_/D (sky130_fd_sc_hd__dfrtp_2)
+                                  4.30   data arrival time
+
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.31    0.31 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00    0.32 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.23    0.55 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03    0.58 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.21    0.80 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02    0.81 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.12    0.94 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00    0.94 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.17    1.10 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00    1.11 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.16    1.26 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00    1.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.50 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.50 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.02 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00    2.02 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.26 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00    2.27 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.25    2.52 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00    2.52 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.20    2.72 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00    2.73 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.17    2.90 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00    2.91 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17    3.08 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00    3.08 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.22 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_0_0_wb_clk_i (net)
+                  0.05    0.00    3.22 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.36 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_0_1_wb_clk_i (net)
+                  0.05    0.00    3.36 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    3.48 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_0_2_wb_clk_i (net)
+                  0.03    0.00    3.48 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    3.67 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist1/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.67 ^ mprj/u_mbist1/wire4/A (sky130_fd_sc_hd__buf_4)
+                  0.26    0.30    3.97 ^ mprj/u_mbist1/wire4/X (sky130_fd_sc_hd__buf_4)
+    10    0.09                           mprj/u_mbist1/net2097 (net)
+                  0.26    0.01    3.98 ^ mprj/u_mbist1/clkbuf_leaf_5_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.25    4.23 ^ mprj/u_mbist1/clkbuf_leaf_5_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_mbist1/clknet_leaf_5_wb_clk_i (net)
+                  0.10    0.00    4.24 ^ mprj/u_mbist1/_4147_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                          0.10    4.34   clock uncertainty
+                         -0.27    4.07   clock reconvergence pessimism
+                         -0.03    4.04   library hold time
+                                  4.04   data required time
 -----------------------------------------------------------------------------
-                                  5.07   data required time
-                                 -4.94   data arrival time
+                                  4.04   data required time
+                                 -4.30   data arrival time
 -----------------------------------------------------------------------------
-                                 -0.13   slack (VIOLATED)
+                                  0.26   slack (MET)
 
 
-Startpoint: mprj/u_intercon/_3912_
+Startpoint: mprj/u_mbist0/_4150_
             (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist0/_4584_
+Endpoint: mprj/u_mbist0/_4147_
           (rising edge-triggered flip-flop clocked by wbs_clk_i)
 Path Group: wbs_clk_i
 Path Type: min
@@ -75625,192 +86261,177 @@
 -----------------------------------------------------------------------------
                           0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input317/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.20    0.25    0.26 ^ mprj/u_intercon/input317/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.02                           mprj/u_intercon/net317 (net)
-                  0.20    0.00    0.26 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.14    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d1 (net)
-                  0.09    0.00    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d2 (net)
-                  0.07    0.00    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d3 (net)
-                  0.10    0.00    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d4 (net)
-                  0.08    0.00    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d5 (net)
-                  0.08    0.00    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d6 (net)
-                  0.07    0.00    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d7 (net)
-                  0.08    0.00    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.18 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d8 (net)
-                  0.08    0.00    1.18 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d04 (net)
-                  0.05    0.00    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.12    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d12 (net)
-                  0.06    0.00    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d21 (net)
-                  0.05    0.00    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    1.69 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_intercon/net626 (net)
-                  0.07    0.00    1.69 ^ mprj/u_intercon/output626/A (sky130_fd_sc_hd__buf_2)
-                  0.80    0.60    2.29 ^ mprj/u_intercon/output626/X (sky130_fd_sc_hd__buf_2)
-     2    0.17                           mprj/wbd_clk_wi_skew (net)
-                  0.81    0.04    2.33 ^ mprj/u_intercon/clkbuf_0_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.28    2.61 ^ mprj/u_intercon/clkbuf_0_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_intercon/clknet_0_clk_i (net)
-                  0.07    0.00    2.61 ^ mprj/u_intercon/clkbuf_1_0_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.74 ^ mprj/u_intercon/clkbuf_1_0_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_intercon/clknet_1_0_0_clk_i (net)
-                  0.04    0.00    2.74 ^ mprj/u_intercon/clkbuf_1_0_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.86 ^ mprj/u_intercon/clkbuf_1_0_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_intercon/clknet_1_0_1_clk_i (net)
-                  0.04    0.00    2.86 ^ mprj/u_intercon/clkbuf_1_0_2_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.11    2.97 ^ mprj/u_intercon/clkbuf_1_0_2_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_0_2_clk_i (net)
-                  0.03    0.00    2.97 ^ mprj/u_intercon/clkbuf_1_0_3_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14    3.11 ^ mprj/u_intercon/clkbuf_1_0_3_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_intercon/clknet_1_0_3_clk_i (net)
-                  0.07    0.00    3.11 ^ mprj/u_intercon/clkbuf_2_1_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.15    3.26 ^ mprj/u_intercon/clkbuf_2_1_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_2_1_0_clk_i (net)
-                  0.07    0.00    3.27 ^ mprj/u_intercon/clkbuf_3_3_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    3.39 ^ mprj/u_intercon/clkbuf_3_3_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_3_3_0_clk_i (net)
-                  0.04    0.00    3.39 ^ mprj/u_intercon/clkbuf_3_3_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.32    0.31    3.70 ^ mprj/u_intercon/clkbuf_3_3_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.19                           mprj/u_intercon/clknet_3_3_1_clk_i (net)
-                  0.32    0.00    3.70 ^ mprj/u_intercon/clkbuf_leaf_4_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.25    3.95 ^ mprj/u_intercon/clkbuf_leaf_4_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_intercon/clknet_leaf_4_clk_i (net)
-                  0.11    0.00    3.95 ^ mprj/u_intercon/_3912_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.07    0.35    4.30 ^ mprj/u_intercon/_3912_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_intercon/u_s2.u_sync_wbb.s_cmd_rd_data_l[31] (net)
-                  0.07    0.00    4.30 ^ mprj/u_intercon/_2249_/A0 (sky130_fd_sc_hd__mux2_4)
-                  0.23    0.29    4.59 ^ mprj/u_intercon/_2249_/X (sky130_fd_sc_hd__mux2_4)
-     2    0.08                           mprj/u_intercon/net538 (net)
-                  0.23    0.01    4.60 ^ mprj/u_intercon/output538/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.25    4.85 ^ mprj/u_intercon/output538/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_mbist0_dat_o[13] (net)
-                  0.17    0.00    4.85 ^ mprj/u_mbist0/input164/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    4.98 ^ mprj/u_mbist0/input164/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_mbist0/net164 (net)
-                  0.08    0.00    4.98 ^ mprj/u_mbist0/_3900_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    5.10 ^ mprj/u_mbist0/_3900_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/_0534_ (net)
-                  0.04    0.00    5.10 ^ mprj/u_mbist0/_4584_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  5.10   data arrival time
-
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.27    0.36    0.36 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     2    0.03                           mprj/u_intercon/net2 (net)
-                  0.27    0.00    0.36 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.13    0.50 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net319 (net)
-                  0.06    0.00    0.50 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
-                  0.15    0.19    0.69 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.41    0.41 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00    0.41 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.19    0.60 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00    0.60 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.21    0.81 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
      2    0.03                           mprj/wbd_clk_mbist0_rp (net)
-                  0.15    0.00    0.69 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    0.86 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.00    0.81 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    0.97 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/net198 (net)
-                  0.12    0.00    0.86 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00    0.97 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.00    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.00    1.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    1.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00    1.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.44 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.70 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    1.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d03 (net)
-                  0.04    0.00    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00    1.44 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12    1.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00    1.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
-                  0.04    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    1.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
      1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.23 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.93 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist0/net427 (net)
-                  0.05    0.00    2.23 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.09 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist0_skew (net)
-                  1.11    0.05    3.14 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.48 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00    1.93 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23    2.16 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00    2.16 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23    2.39 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00    2.40 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19    2.59 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00    2.59 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16    2.75 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00    2.75 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16    2.92 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.48 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.63 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00    3.63 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    3.76 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00    3.76 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    3.88 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00    3.88 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.41    4.29 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
-                  0.44    0.01    4.30 ^ mprj/u_mbist0/clkbuf_opt_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.24    4.54 ^ mprj/u_mbist0/clkbuf_opt_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_4_0_wb_clk_i (net)
-                  0.05    0.00    4.54 ^ mprj/u_mbist0/clkbuf_opt_4_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.67 ^ mprj/u_mbist0/clkbuf_opt_4_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_4_1_wb_clk_i (net)
-                  0.04    0.00    4.68 ^ mprj/u_mbist0/clkbuf_opt_4_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.80 ^ mprj/u_mbist0/clkbuf_opt_4_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_4_2_wb_clk_i (net)
-                  0.04    0.00    4.80 ^ mprj/u_mbist0/clkbuf_opt_4_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14    4.94 ^ mprj/u_mbist0/clkbuf_opt_4_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.03                           mprj/u_mbist0/clknet_opt_4_3_wb_clk_i (net)
-                  0.05    0.00    4.94 ^ mprj/u_mbist0/clkbuf_leaf_13_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.19    5.13 ^ mprj/u_mbist0/clkbuf_leaf_13_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_mbist0/clknet_leaf_13_wb_clk_i (net)
-                  0.11    0.00    5.14 ^ mprj/u_mbist0/_4584_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    5.24   clock uncertainty
-                          0.00    5.24   clock reconvergence pessimism
-                         -0.02    5.21   library hold time
-                                  5.21   data required time
+                  0.06    0.00    2.92 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.05 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_0_0_wb_clk_i (net)
+                  0.05    0.00    3.05 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.18 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_0_1_wb_clk_i (net)
+                  0.05    0.00    3.18 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    3.29 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_0_2_wb_clk_i (net)
+                  0.03    0.00    3.29 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.17    3.46 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist0/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.47 ^ mprj/u_mbist0/clkbuf_leaf_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.20    3.66 ^ mprj/u_mbist0/clkbuf_leaf_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           mprj/u_mbist0/clknet_leaf_2_wb_clk_i (net)
+                  0.11    0.00    3.67 ^ mprj/u_mbist0/_4150_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                  0.14    0.43    4.10 ^ mprj/u_mbist0/_4150_/Q (sky130_fd_sc_hd__dfrtp_2)
+     4    0.03                           mprj/u_mbist0/u_mbist.mem_no[2].u_cmp.mask_compare (net)
+                  0.14    0.00    4.10 ^ mprj/u_mbist0/_3099_/A2 (sky130_fd_sc_hd__a21oi_2)
+                  0.06    0.10    4.20 v mprj/u_mbist0/_3099_/Y (sky130_fd_sc_hd__a21oi_2)
+     4    0.01                           mprj/u_mbist0/_1471_ (net)
+                  0.06    0.00    4.20 v mprj/u_mbist0/_3100_/B (sky130_fd_sc_hd__nand2_1)
+                  0.08    0.10    4.29 ^ mprj/u_mbist0/_3100_/Y (sky130_fd_sc_hd__nand2_1)
+     2    0.01                           mprj/u_mbist0/_1472_ (net)
+                  0.08    0.00    4.29 ^ mprj/u_mbist0/_3103_/B (sky130_fd_sc_hd__xnor2_1)
+                  0.04    0.07    4.36 v mprj/u_mbist0/_3103_/Y (sky130_fd_sc_hd__xnor2_1)
+     1    0.00                           mprj/u_mbist0/_0138_ (net)
+                  0.04    0.00    4.36 v mprj/u_mbist0/_4147_/D (sky130_fd_sc_hd__dfrtp_2)
+                                  4.36   data arrival time
+
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.44    0.44 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00    0.45 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.20    0.65 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00    0.65 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.23    0.87 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00    0.88 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.17    1.04 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00    1.04 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.12    1.55 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00    1.55 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.08 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00    2.08 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.33 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00    2.33 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.25    2.58 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00    2.58 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.20    2.79 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00    2.79 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.17    2.97 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00    2.97 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17    3.14 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00    3.14 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.28 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_0_0_wb_clk_i (net)
+                  0.05    0.00    3.28 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.42 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_0_1_wb_clk_i (net)
+                  0.05    0.00    3.43 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    3.55 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_0_2_wb_clk_i (net)
+                  0.03    0.00    3.55 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    3.73 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist0/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.74 ^ mprj/u_mbist0/wire4/A (sky130_fd_sc_hd__buf_4)
+                  0.26    0.30    4.04 ^ mprj/u_mbist0/wire4/X (sky130_fd_sc_hd__buf_4)
+    10    0.09                           mprj/u_mbist0/net2097 (net)
+                  0.26    0.01    4.04 ^ mprj/u_mbist0/clkbuf_leaf_5_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.25    4.30 ^ mprj/u_mbist0/clkbuf_leaf_5_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_mbist0/clknet_leaf_5_wb_clk_i (net)
+                  0.10    0.00    4.30 ^ mprj/u_mbist0/_4147_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                          0.10    4.40   clock uncertainty
+                         -0.27    4.13   clock reconvergence pessimism
+                         -0.03    4.10   library hold time
+                                  4.10   data required time
 -----------------------------------------------------------------------------
-                                  5.21   data required time
-                                 -5.10   data arrival time
+                                  4.10   data required time
+                                 -4.36   data arrival time
 -----------------------------------------------------------------------------
-                                 -0.11   slack (VIOLATED)
+                                  0.26   slack (MET)
 
 
-Startpoint: mprj/u_intercon/_4132_
+Startpoint: mprj/u_wb_host/_5472_
             (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist1/_4523_
+Endpoint: mprj/u_wb_host/_6544_
           (rising edge-triggered flip-flop clocked by wbs_clk_i)
 Path Group: wbs_clk_i
 Path Type: min
@@ -75819,186 +86440,210 @@
 -----------------------------------------------------------------------------
                           0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input317/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.20    0.25    0.26 ^ mprj/u_intercon/input317/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.02                           mprj/u_intercon/net317 (net)
-                  0.20    0.00    0.26 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.14    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d1 (net)
-                  0.09    0.00    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d2 (net)
-                  0.07    0.00    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d3 (net)
-                  0.10    0.00    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d4 (net)
-                  0.08    0.00    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d5 (net)
-                  0.08    0.00    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d6 (net)
-                  0.07    0.00    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d7 (net)
-                  0.08    0.00    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.18 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d8 (net)
-                  0.08    0.00    1.18 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d04 (net)
-                  0.05    0.00    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.12    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d12 (net)
-                  0.06    0.00    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d21 (net)
-                  0.05    0.00    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    1.69 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_intercon/net626 (net)
-                  0.07    0.00    1.69 ^ mprj/u_intercon/output626/A (sky130_fd_sc_hd__buf_2)
-                  0.80    0.60    2.29 ^ mprj/u_intercon/output626/X (sky130_fd_sc_hd__buf_2)
-     2    0.17                           mprj/wbd_clk_wi_skew (net)
-                  0.81    0.04    2.33 ^ mprj/u_intercon/clkbuf_0_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.28    2.61 ^ mprj/u_intercon/clkbuf_0_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_intercon/clknet_0_clk_i (net)
-                  0.07    0.00    2.61 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.74 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_intercon/clknet_1_1_0_clk_i (net)
-                  0.04    0.00    2.74 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.86 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_1_clk_i (net)
-                  0.04    0.00    2.86 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.11    2.97 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_2_clk_i (net)
-                  0.04    0.00    2.97 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.13    3.11 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_1_1_3_clk_i (net)
-                  0.07    0.00    3.11 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    3.25 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_2_2_0_clk_i (net)
-                  0.06    0.00    3.25 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    3.37 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_3_5_0_clk_i (net)
-                  0.04    0.00    3.37 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.21    0.24    3.61 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.12                           mprj/u_intercon/clknet_3_5_1_clk_i (net)
-                  0.21    0.01    3.62 ^ mprj/u_intercon/clkbuf_leaf_19_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.09    0.22    3.84 ^ mprj/u_intercon/clkbuf_leaf_19_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.08                           mprj/u_intercon/clknet_leaf_19_clk_i (net)
-                  0.10    0.00    3.84 ^ mprj/u_intercon/_4132_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.06    0.34    4.17 ^ mprj/u_intercon/_4132_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_intercon/u_s3.u_sync_wbb.s_cmd_rd_data_l[20] (net)
-                  0.06    0.00    4.17 ^ mprj/u_intercon/_2120_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.30 ^ mprj/u_intercon/_2120_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/net608 (net)
-                  0.05    0.00    4.30 ^ mprj/u_intercon/output608/A (sky130_fd_sc_hd__buf_2)
-                  0.18    0.20    4.50 ^ mprj/u_intercon/output608/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_mbist1_dat_o[2] (net)
-                  0.18    0.00    4.50 ^ mprj/u_mbist1/input182/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.25    0.28    4.79 ^ mprj/u_mbist1/input182/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.05                           mprj/u_mbist1/net182 (net)
-                  0.25    0.00    4.79 ^ mprj/u_mbist1/_3763_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.17    4.96 ^ mprj/u_mbist1/_3763_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/_0473_ (net)
-                  0.04    0.00    4.96 ^ mprj/u_mbist1/_4523_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  4.96   data arrival time
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.40    0.40 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__clkbuf_4)
+     4    0.05                           mprj/u_wb_host/net40 (net)
+                  0.17    0.00    0.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    0.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_1.X1 (net)
+                  0.05    0.00    0.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    0.61 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
+                  0.07    0.00    0.61 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.69 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_2.X1 (net)
+                  0.04    0.00    0.69 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    0.79 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
+                  0.08    0.00    0.79 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.09    0.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_3.X1 (net)
+                  0.05    0.00    0.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    1.02 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
+                  0.13    0.00    1.02 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    1.14 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_4.X1 (net)
+                  0.08    0.00    1.14 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.13    1.27 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
+                  0.10    0.00    1.27 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_5.X1 (net)
+                  0.05    0.00    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.06    0.11    1.48 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
+                  0.06    0.00    1.48 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.11    1.59 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_6.X1 (net)
+                  0.09    0.00    1.59 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.07    0.13    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
+                  0.07    0.00    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    1.81 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_7.X1 (net)
+                  0.06    0.00    1.81 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.13    1.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
+                  0.11    0.00    1.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.04 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_8.X1 (net)
+                  0.05    0.00    2.04 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    2.15 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
+                  0.08    0.00    2.15 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.09    2.24 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_9.X1 (net)
+                  0.05    0.00    2.24 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.12    2.36 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
+                  0.10    0.00    2.36 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.46 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_10.X1 (net)
+                  0.05    0.00    2.46 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.11    2.56 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
+                  0.09    0.00    2.56 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.15    2.71 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.d05 (net)
+                  0.07    0.00    2.71 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    2.83 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
+                  0.04    0.00    2.83 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.11    2.94 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
+                  0.05    0.00    2.94 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.21    0.27    3.21 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     2    0.07                           mprj/u_wb_host/net223 (net)
+                  0.22    0.00    3.22 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
+                  0.19    0.26    3.47 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/wbd_clk_wh (net)
+                  0.19    0.00    3.47 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.21    3.68 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
+                  0.10    0.00    3.69 ^ mprj/u_wb_host/clkbuf_3_6__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.08    0.17    3.85 ^ mprj/u_wb_host/clkbuf_3_6__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    20    0.06                           mprj/u_wb_host/clknet_3_6__leaf_wbs_clk_i (net)
+                  0.08    0.00    3.86 ^ mprj/u_wb_host/_5472_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.40    4.26 v mprj/u_wb_host/_5472_/Q (sky130_fd_sc_hd__dfrtp_1)
+     3    0.01                           mprj/u_wb_host/u_async_wb.u_resp_if.wr_ptr[1] (net)
+                  0.08    0.00    4.26 v mprj/u_wb_host/_2682_/A (sky130_fd_sc_hd__inv_2)
+                  0.05    0.07    4.33 ^ mprj/u_wb_host/_2682_/Y (sky130_fd_sc_hd__inv_2)
+     3    0.01                           mprj/u_wb_host/_1103_ (net)
+                  0.05    0.00    4.33 ^ mprj/u_wb_host/_5402_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    4.44 ^ mprj/u_wb_host/_5402_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_1033_ (net)
+                  0.04    0.00    4.44 ^ mprj/u_wb_host/_6544_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  4.44   data arrival time
 
                           0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.24    0.53 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
-     2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.21    0.76 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02    0.77 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.13    0.90 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00    0.90 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.17    1.07 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
-     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00    1.07 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    1.22 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00    1.22 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    2.07 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    2.07 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.60 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00    2.60 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.46 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05    3.51 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.84 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.85 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.99 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00    3.99 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.13 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00    4.13 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.24 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00    4.25 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.41    4.66 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
-                  0.45    0.03    4.69 ^ mprj/u_mbist1/clkbuf_leaf_14_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.30    4.99 ^ mprj/u_mbist1/clkbuf_leaf_14_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_mbist1/clknet_leaf_14_wb_clk_i (net)
-                  0.11    0.00    4.99 ^ mprj/u_mbist1/_4523_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    5.09   clock uncertainty
-                          0.00    5.09   clock reconvergence pessimism
-                         -0.02    5.06   library hold time
-                                  5.06   data required time
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.43    0.43 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__clkbuf_4)
+     4    0.05                           mprj/u_wb_host/net40 (net)
+                  0.17    0.00    0.43 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    0.55 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_1.X1 (net)
+                  0.05    0.00    0.55 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    0.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
+                  0.07    0.00    0.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.09    0.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_2.X1 (net)
+                  0.04    0.00    0.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    0.85 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
+                  0.08    0.00    0.85 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    0.95 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_3.X1 (net)
+                  0.05    0.00    0.95 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    1.10 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
+                  0.13    0.00    1.10 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.23 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_4.X1 (net)
+                  0.08    0.00    1.23 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.14    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
+                  0.10    0.00    1.37 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    1.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_5.X1 (net)
+                  0.05    0.00    1.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.06    0.12    1.60 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
+                  0.06    0.00    1.60 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.12    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.clkbuf_6.X1 (net)
+                  0.09    0.00    1.72 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.07    0.14    1.86 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.10    1.96 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_7.X1 (net)
+                  0.06    0.00    1.96 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.14    2.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
+                  0.11    0.00    2.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.11    2.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_8.X1 (net)
+                  0.05    0.00    2.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    2.32 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
+                  0.08    0.00    2.32 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.42 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_9.X1 (net)
+                  0.05    0.00    2.42 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.13    2.54 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
+                  0.10    0.00    2.54 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.10    2.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.clkbuf_10.X1 (net)
+                  0.05    0.00    2.65 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.12    2.76 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
+                  0.09    0.00    2.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.16    2.92 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_wb_host/u_skew_wh.d05 (net)
+                  0.07    0.00    2.92 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.13    3.05 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
+                  0.04    0.00    3.05 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12    3.17 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
+                  0.05    0.00    3.17 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.21    0.29    3.46 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     2    0.07                           mprj/u_wb_host/net223 (net)
+                  0.22    0.00    3.47 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
+                  0.19    0.28    3.74 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/wbd_clk_wh (net)
+                  0.19    0.00    3.74 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.23    3.97 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
+                  0.10    0.00    3.97 ^ mprj/u_wb_host/clkbuf_3_7__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.13    4.10 ^ mprj/u_wb_host/clkbuf_3_7__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_3_7__leaf_wbs_clk_i (net)
+                  0.03    0.00    4.10 ^ mprj/u_wb_host/wire2/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.22    0.26    4.36 ^ mprj/u_wb_host/wire2/X (sky130_fd_sc_hd__clkbuf_4)
+    26    0.07                           mprj/u_wb_host/net853 (net)
+                  0.22    0.01    4.37 ^ mprj/u_wb_host/_6544_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    4.47   clock uncertainty
+                         -0.29    4.18   clock reconvergence pessimism
+                         -0.01    4.17   library hold time
+                                  4.17   data required time
 -----------------------------------------------------------------------------
-                                  5.06   data required time
-                                 -4.96   data arrival time
+                                  4.17   data required time
+                                 -4.44   data arrival time
 -----------------------------------------------------------------------------
-                                 -0.10   slack (VIOLATED)
+                                  0.26   slack (MET)
 
 
-Startpoint: mprj/u_intercon/_4132_
+Startpoint: mprj/u_mbist1/_4489_
             (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist1/_4573_
+Endpoint: mprj/u_mbist1/_4559_
           (rising edge-triggered flip-flop clocked by wbs_clk_i)
 Path Group: wbs_clk_i
 Path Type: min
@@ -76007,186 +86652,183 @@
 -----------------------------------------------------------------------------
                           0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input317/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.20    0.25    0.26 ^ mprj/u_intercon/input317/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.02                           mprj/u_intercon/net317 (net)
-                  0.20    0.00    0.26 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.14    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d1 (net)
-                  0.09    0.00    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d2 (net)
-                  0.07    0.00    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d3 (net)
-                  0.10    0.00    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d4 (net)
-                  0.08    0.00    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d5 (net)
-                  0.08    0.00    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d6 (net)
-                  0.07    0.00    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d7 (net)
-                  0.08    0.00    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.18 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d8 (net)
-                  0.08    0.00    1.18 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d04 (net)
-                  0.05    0.00    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.12    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d12 (net)
-                  0.06    0.00    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d21 (net)
-                  0.05    0.00    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    1.69 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_intercon/net626 (net)
-                  0.07    0.00    1.69 ^ mprj/u_intercon/output626/A (sky130_fd_sc_hd__buf_2)
-                  0.80    0.60    2.29 ^ mprj/u_intercon/output626/X (sky130_fd_sc_hd__buf_2)
-     2    0.17                           mprj/wbd_clk_wi_skew (net)
-                  0.81    0.04    2.33 ^ mprj/u_intercon/clkbuf_0_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.28    2.61 ^ mprj/u_intercon/clkbuf_0_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_intercon/clknet_0_clk_i (net)
-                  0.07    0.00    2.61 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.74 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_intercon/clknet_1_1_0_clk_i (net)
-                  0.04    0.00    2.74 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.86 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_1_clk_i (net)
-                  0.04    0.00    2.86 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.11    2.97 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_2_clk_i (net)
-                  0.04    0.00    2.97 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.13    3.11 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_1_1_3_clk_i (net)
-                  0.07    0.00    3.11 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    3.25 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_2_2_0_clk_i (net)
-                  0.06    0.00    3.25 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    3.37 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_3_5_0_clk_i (net)
-                  0.04    0.00    3.37 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.21    0.24    3.61 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.12                           mprj/u_intercon/clknet_3_5_1_clk_i (net)
-                  0.21    0.01    3.62 ^ mprj/u_intercon/clkbuf_leaf_19_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.09    0.22    3.84 ^ mprj/u_intercon/clkbuf_leaf_19_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.08                           mprj/u_intercon/clknet_leaf_19_clk_i (net)
-                  0.10    0.00    3.84 ^ mprj/u_intercon/_4132_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.06    0.34    4.17 ^ mprj/u_intercon/_4132_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_intercon/u_s3.u_sync_wbb.s_cmd_rd_data_l[20] (net)
-                  0.06    0.00    4.17 ^ mprj/u_intercon/_2120_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.30 ^ mprj/u_intercon/_2120_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/net608 (net)
-                  0.05    0.00    4.30 ^ mprj/u_intercon/output608/A (sky130_fd_sc_hd__buf_2)
-                  0.18    0.20    4.50 ^ mprj/u_intercon/output608/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_mbist1_dat_o[2] (net)
-                  0.18    0.00    4.50 ^ mprj/u_mbist1/input182/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.25    0.28    4.79 ^ mprj/u_mbist1/input182/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.05                           mprj/u_mbist1/net182 (net)
-                  0.25    0.01    4.79 ^ mprj/u_mbist1/_3889_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.17    4.96 ^ mprj/u_mbist1/_3889_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/_0523_ (net)
-                  0.04    0.00    4.96 ^ mprj/u_mbist1/_4573_/D (sky130_fd_sc_hd__dfrtp_4)
-                                  4.96   data arrival time
-
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.24    0.53 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.22    0.51 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
      2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.21    0.76 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+                  0.20    0.03    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.20    0.74 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
      2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02    0.77 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.13    0.90 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.02    0.76 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11    0.87 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00    0.90 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.17    1.07 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+                  0.06    0.00    0.87 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.16    1.02 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
      2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00    1.07 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    1.22 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.00    1.03 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    1.17 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00    1.22 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00    1.17 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    1.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.00    1.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    2.07 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    2.07 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00    1.39 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12    1.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00    1.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00    1.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13    1.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
      1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.60 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00    1.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.87 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00    2.60 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.46 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05    3.51 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.84 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00    1.87 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23    2.10 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00    2.10 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23    2.33 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00    2.34 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19    2.53 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00    2.53 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16    2.69 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00    2.70 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16    2.86 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.85 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.99 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    2.86 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.98 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00    3.99 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.13 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00    4.13 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.24 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.00    2.98 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    3.10 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00    3.10 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    3.21 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00    4.25 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.41    4.66 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
-                  0.44    0.03    4.68 ^ mprj/u_mbist1/clkbuf_leaf_10_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.29    4.98 ^ mprj/u_mbist1/clkbuf_leaf_10_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    3.21 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18    3.39 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00    3.39 ^ mprj/u_mbist1/wire7/A (sky130_fd_sc_hd__buf_8)
+                  0.20    0.22    3.61 ^ mprj/u_mbist1/wire7/X (sky130_fd_sc_hd__buf_8)
+    18    0.12                           mprj/u_mbist1/net2100 (net)
+                  0.20    0.01    3.63 ^ mprj/u_mbist1/clkbuf_leaf_10_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.22    3.85 ^ mprj/u_mbist1/clkbuf_leaf_10_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
     32    0.09                           mprj/u_mbist1/clknet_leaf_10_wb_clk_i (net)
-                  0.11    0.00    4.98 ^ mprj/u_mbist1/_4573_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                          0.10    5.08   clock uncertainty
-                          0.00    5.08   clock reconvergence pessimism
-                         -0.02    5.06   library hold time
-                                  5.06   data required time
+                  0.10    0.00    3.85 ^ mprj/u_mbist1/_4489_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.35    4.20 ^ mprj/u_mbist1/_4489_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mbist1/u_wb.mem_hdata[24] (net)
+                  0.08    0.00    4.20 ^ mprj/u_mbist1/_3856_/B2 (sky130_fd_sc_hd__a221o_1)
+                  0.05    0.13    4.33 ^ mprj/u_mbist1/_3856_/X (sky130_fd_sc_hd__a221o_1)
+     1    0.00                           mprj/u_mbist1/_1861_ (net)
+                  0.05    0.00    4.33 ^ mprj/u_mbist1/_3857_/B1 (sky130_fd_sc_hd__a31o_1)
+                  0.05    0.09    4.42 ^ mprj/u_mbist1/_3857_/X (sky130_fd_sc_hd__a31o_1)
+     1    0.00                           mprj/u_mbist1/_1862_ (net)
+                  0.05    0.00    4.42 ^ mprj/u_mbist1/_3858_/B1 (sky130_fd_sc_hd__o21a_1)
+                  0.04    0.10    4.53 ^ mprj/u_mbist1/_3858_/X (sky130_fd_sc_hd__o21a_1)
+     1    0.00                           mprj/u_mbist1/_0509_ (net)
+                  0.04    0.00    4.53 ^ mprj/u_mbist1/_4559_/D (sky130_fd_sc_hd__dfrtp_4)
+                                  4.53   data arrival time
+
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.31    0.31 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00    0.32 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.23    0.55 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03    0.58 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.21    0.80 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02    0.81 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.12    0.94 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00    0.94 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.17    1.10 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00    1.11 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.16    1.26 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00    1.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.50 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.50 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.02 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00    2.02 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.26 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00    2.27 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.25    2.52 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00    2.52 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.20    2.72 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00    2.73 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.17    2.90 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00    2.91 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17    3.08 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00    3.08 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.14    3.22 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00    3.22 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.35 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00    3.35 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    3.46 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00    3.46 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.19    3.66 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00    3.66 ^ mprj/u_mbist1/wire7/A (sky130_fd_sc_hd__buf_8)
+                  0.20    0.24    3.90 ^ mprj/u_mbist1/wire7/X (sky130_fd_sc_hd__buf_8)
+    18    0.12                           mprj/u_mbist1/net2100 (net)
+                  0.20    0.01    3.91 ^ mprj/u_mbist1/wire6/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.29    4.20 ^ mprj/u_mbist1/wire6/X (sky130_fd_sc_hd__buf_4)
+     8    0.07                           mprj/u_mbist1/net2099 (net)
+                  0.21    0.01    4.21 ^ mprj/u_mbist1/clkbuf_leaf_11_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.25    4.46 ^ mprj/u_mbist1/clkbuf_leaf_11_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.11                           mprj/u_mbist1/clknet_leaf_11_wb_clk_i (net)
+                  0.12    0.00    4.46 ^ mprj/u_mbist1/_4559_/CLK (sky130_fd_sc_hd__dfrtp_4)
+                          0.10    4.56   clock uncertainty
+                         -0.28    4.28   clock reconvergence pessimism
+                         -0.02    4.26   library hold time
+                                  4.26   data required time
 -----------------------------------------------------------------------------
-                                  5.06   data required time
-                                 -4.96   data arrival time
+                                  4.26   data required time
+                                 -4.53   data arrival time
 -----------------------------------------------------------------------------
-                                 -0.10   slack (VIOLATED)
+                                  0.27   slack (MET)
 
 
-Startpoint: mprj/u_intercon/_3929_
+Startpoint: mprj/u_mbist0/_4489_
             (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist0/_4601_
+Endpoint: mprj/u_mbist0/_4559_
           (rising edge-triggered flip-flop clocked by wbs_clk_i)
 Path Group: wbs_clk_i
 Path Type: min
@@ -76195,192 +86837,183 @@
 -----------------------------------------------------------------------------
                           0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input317/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.20    0.25    0.26 ^ mprj/u_intercon/input317/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.02                           mprj/u_intercon/net317 (net)
-                  0.20    0.00    0.26 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.14    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d1 (net)
-                  0.09    0.00    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d2 (net)
-                  0.07    0.00    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d3 (net)
-                  0.10    0.00    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d4 (net)
-                  0.08    0.00    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d5 (net)
-                  0.08    0.00    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d6 (net)
-                  0.07    0.00    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d7 (net)
-                  0.08    0.00    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.18 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d8 (net)
-                  0.08    0.00    1.18 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d04 (net)
-                  0.05    0.00    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.12    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d12 (net)
-                  0.06    0.00    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d21 (net)
-                  0.05    0.00    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    1.69 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_intercon/net626 (net)
-                  0.07    0.00    1.69 ^ mprj/u_intercon/output626/A (sky130_fd_sc_hd__buf_2)
-                  0.80    0.60    2.29 ^ mprj/u_intercon/output626/X (sky130_fd_sc_hd__buf_2)
-     2    0.17                           mprj/wbd_clk_wi_skew (net)
-                  0.81    0.04    2.33 ^ mprj/u_intercon/clkbuf_0_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.28    2.61 ^ mprj/u_intercon/clkbuf_0_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_intercon/clknet_0_clk_i (net)
-                  0.07    0.00    2.61 ^ mprj/u_intercon/clkbuf_1_0_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.74 ^ mprj/u_intercon/clkbuf_1_0_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_intercon/clknet_1_0_0_clk_i (net)
-                  0.04    0.00    2.74 ^ mprj/u_intercon/clkbuf_1_0_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.86 ^ mprj/u_intercon/clkbuf_1_0_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_intercon/clknet_1_0_1_clk_i (net)
-                  0.04    0.00    2.86 ^ mprj/u_intercon/clkbuf_1_0_2_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.11    2.97 ^ mprj/u_intercon/clkbuf_1_0_2_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_0_2_clk_i (net)
-                  0.03    0.00    2.97 ^ mprj/u_intercon/clkbuf_1_0_3_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14    3.11 ^ mprj/u_intercon/clkbuf_1_0_3_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_intercon/clknet_1_0_3_clk_i (net)
-                  0.07    0.00    3.11 ^ mprj/u_intercon/clkbuf_2_1_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.15    3.26 ^ mprj/u_intercon/clkbuf_2_1_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_2_1_0_clk_i (net)
-                  0.07    0.00    3.27 ^ mprj/u_intercon/clkbuf_3_3_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    3.39 ^ mprj/u_intercon/clkbuf_3_3_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_3_3_0_clk_i (net)
-                  0.04    0.00    3.39 ^ mprj/u_intercon/clkbuf_3_3_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.32    0.31    3.70 ^ mprj/u_intercon/clkbuf_3_3_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.19                           mprj/u_intercon/clknet_3_3_1_clk_i (net)
-                  0.32    0.01    3.70 ^ mprj/u_intercon/clkbuf_leaf_3_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.25    3.95 ^ mprj/u_intercon/clkbuf_leaf_3_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_intercon/clknet_leaf_3_clk_i (net)
-                  0.11    0.00    3.96 ^ mprj/u_intercon/_3929_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.07    0.35    4.30 ^ mprj/u_intercon/_3929_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_intercon/u_s2.u_sync_wbb.s_cmd_rd_data_l[48] (net)
-                  0.07    0.00    4.30 ^ mprj/u_intercon/_2283_/A0 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.27    4.58 ^ mprj/u_intercon/_2283_/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_intercon/net557 (net)
-                  0.21    0.01    4.59 ^ mprj/u_intercon/output557/A (sky130_fd_sc_hd__buf_2)
-                  0.20    0.26    4.85 ^ mprj/u_intercon/output557/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_mbist0_dat_o[30] (net)
-                  0.20    0.00    4.86 ^ mprj/u_mbist0/input183/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.13    4.99 ^ mprj/u_mbist0/input183/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_mbist0/net183 (net)
-                  0.07    0.00    4.99 ^ mprj/u_mbist0/_3917_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    5.12 ^ mprj/u_mbist0/_3917_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/_0551_ (net)
-                  0.05    0.00    5.12 ^ mprj/u_mbist0/_4601_/D (sky130_fd_sc_hd__dfrtp_4)
-                                  5.12   data arrival time
-
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.27    0.36    0.36 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     2    0.03                           mprj/u_intercon/net2 (net)
-                  0.27    0.00    0.36 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.13    0.50 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net319 (net)
-                  0.06    0.00    0.50 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
-                  0.15    0.19    0.69 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.41    0.41 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00    0.41 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.19    0.60 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00    0.60 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.21    0.81 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
      2    0.03                           mprj/wbd_clk_mbist0_rp (net)
-                  0.15    0.00    0.69 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    0.86 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.00    0.81 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    0.97 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/net198 (net)
-                  0.12    0.00    0.86 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00    0.97 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.00    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.00    1.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    1.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00    1.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.44 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.70 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    1.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d03 (net)
-                  0.04    0.00    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00    1.44 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12    1.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00    1.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
-                  0.04    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    1.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
      1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.23 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.93 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist0/net427 (net)
-                  0.05    0.00    2.23 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.09 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist0_skew (net)
-                  1.11    0.05    3.14 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.48 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00    1.93 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23    2.16 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00    2.16 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23    2.39 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00    2.40 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19    2.59 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00    2.59 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16    2.75 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00    2.75 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16    2.92 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.48 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.63 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    2.92 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.04 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00    3.63 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    3.76 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00    3.76 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    3.88 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.00    3.04 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    3.16 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00    3.16 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    3.27 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00    3.88 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.41    4.29 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
-                  0.44    0.02    4.31 ^ mprj/u_mbist0/clkbuf_opt_5_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.24    4.54 ^ mprj/u_mbist0/clkbuf_opt_5_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_5_0_wb_clk_i (net)
-                  0.05    0.00    4.54 ^ mprj/u_mbist0/clkbuf_opt_5_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.68 ^ mprj/u_mbist0/clkbuf_opt_5_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_5_1_wb_clk_i (net)
-                  0.04    0.00    4.68 ^ mprj/u_mbist0/clkbuf_opt_5_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.81 ^ mprj/u_mbist0/clkbuf_opt_5_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_5_2_wb_clk_i (net)
-                  0.04    0.00    4.81 ^ mprj/u_mbist0/clkbuf_opt_5_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.94 ^ mprj/u_mbist0/clkbuf_opt_5_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_5_3_wb_clk_i (net)
-                  0.05    0.00    4.94 ^ mprj/u_mbist0/clkbuf_leaf_16_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.18    5.12 ^ mprj/u_mbist0/clkbuf_leaf_16_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_mbist0/clknet_leaf_16_wb_clk_i (net)
-                  0.10    0.00    5.13 ^ mprj/u_mbist0/_4601_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                          0.10    5.23   clock uncertainty
-                          0.00    5.23   clock reconvergence pessimism
-                         -0.03    5.20   library hold time
-                                  5.20   data required time
+                  0.03    0.00    3.27 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18    3.45 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00    3.45 ^ mprj/u_mbist0/wire7/A (sky130_fd_sc_hd__buf_8)
+                  0.20    0.22    3.67 ^ mprj/u_mbist0/wire7/X (sky130_fd_sc_hd__buf_8)
+    18    0.12                           mprj/u_mbist0/net2100 (net)
+                  0.20    0.01    3.69 ^ mprj/u_mbist0/clkbuf_leaf_10_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.22    3.91 ^ mprj/u_mbist0/clkbuf_leaf_10_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           mprj/u_mbist0/clknet_leaf_10_wb_clk_i (net)
+                  0.10    0.00    3.91 ^ mprj/u_mbist0/_4489_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.35    4.26 ^ mprj/u_mbist0/_4489_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mbist0/u_wb.mem_hdata[24] (net)
+                  0.08    0.00    4.26 ^ mprj/u_mbist0/_3856_/B2 (sky130_fd_sc_hd__a221o_1)
+                  0.05    0.13    4.39 ^ mprj/u_mbist0/_3856_/X (sky130_fd_sc_hd__a221o_1)
+     1    0.00                           mprj/u_mbist0/_1861_ (net)
+                  0.05    0.00    4.39 ^ mprj/u_mbist0/_3857_/B1 (sky130_fd_sc_hd__a31o_1)
+                  0.05    0.09    4.48 ^ mprj/u_mbist0/_3857_/X (sky130_fd_sc_hd__a31o_1)
+     1    0.00                           mprj/u_mbist0/_1862_ (net)
+                  0.05    0.00    4.48 ^ mprj/u_mbist0/_3858_/B1 (sky130_fd_sc_hd__o21a_1)
+                  0.04    0.10    4.58 ^ mprj/u_mbist0/_3858_/X (sky130_fd_sc_hd__o21a_1)
+     1    0.00                           mprj/u_mbist0/_0509_ (net)
+                  0.04    0.00    4.58 ^ mprj/u_mbist0/_4559_/D (sky130_fd_sc_hd__dfrtp_4)
+                                  4.58   data arrival time
+
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.44    0.44 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00    0.45 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.20    0.65 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00    0.65 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.23    0.87 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00    0.88 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.17    1.04 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00    1.04 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.12    1.55 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00    1.55 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.08 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00    2.08 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.33 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00    2.33 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.25    2.58 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00    2.58 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.20    2.79 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00    2.79 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.17    2.97 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00    2.97 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17    3.14 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00    3.14 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.14    3.28 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00    3.28 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.41 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00    3.41 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    3.53 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00    3.53 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.19    3.72 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00    3.72 ^ mprj/u_mbist0/wire7/A (sky130_fd_sc_hd__buf_8)
+                  0.20    0.24    3.96 ^ mprj/u_mbist0/wire7/X (sky130_fd_sc_hd__buf_8)
+    18    0.12                           mprj/u_mbist0/net2100 (net)
+                  0.20    0.01    3.97 ^ mprj/u_mbist0/wire6/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.29    4.26 ^ mprj/u_mbist0/wire6/X (sky130_fd_sc_hd__buf_4)
+     8    0.07                           mprj/u_mbist0/net2099 (net)
+                  0.21    0.01    4.27 ^ mprj/u_mbist0/clkbuf_leaf_11_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.25    4.52 ^ mprj/u_mbist0/clkbuf_leaf_11_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.11                           mprj/u_mbist0/clknet_leaf_11_wb_clk_i (net)
+                  0.12    0.00    4.53 ^ mprj/u_mbist0/_4559_/CLK (sky130_fd_sc_hd__dfrtp_4)
+                          0.10    4.63   clock uncertainty
+                         -0.29    4.34   clock reconvergence pessimism
+                         -0.02    4.32   library hold time
+                                  4.32   data required time
 -----------------------------------------------------------------------------
-                                  5.20   data required time
-                                 -5.12   data arrival time
+                                  4.32   data required time
+                                 -4.58   data arrival time
 -----------------------------------------------------------------------------
-                                 -0.08   slack (VIOLATED)
+                                  0.27   slack (MET)
 
 
-Startpoint: mprj/u_intercon/_3921_
+Startpoint: mprj/u_intercon/_4147_
             (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist0/_4593_
+Endpoint: mprj/u_mbist1/_4588_
           (rising edge-triggered flip-flop clocked by wbs_clk_i)
 Path Group: wbs_clk_i
 Path Type: min
@@ -76389,189 +87022,210 @@
 -----------------------------------------------------------------------------
                           0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input317/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.20    0.25    0.26 ^ mprj/u_intercon/input317/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input317/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.21    0.26    0.26 ^ mprj/u_intercon/input317/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.02                           mprj/u_intercon/net317 (net)
-                  0.20    0.00    0.26 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.14    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.21    0.00    0.26 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.14    0.40 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_intercon/u_skew_wi.clk_d1 (net)
-                  0.09    0.00    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.00    0.40 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    0.51 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_intercon/u_skew_wi.clk_d2 (net)
-                  0.07    0.00    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.00    0.51 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_intercon/u_skew_wi.clk_d3 (net)
-                  0.10    0.00    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.00    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    0.73 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_intercon/u_skew_wi.clk_d4 (net)
-                  0.08    0.00    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.00    0.73 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    0.84 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_intercon/u_skew_wi.clk_d5 (net)
-                  0.08    0.00    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.00    0.84 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
                   0.07    0.11    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_intercon/u_skew_wi.clk_d6 (net)
                   0.07    0.00    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
                   0.08    0.11    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_intercon/u_skew_wi.clk_d7 (net)
                   0.08    0.00    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.18 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    1.17 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_intercon/u_skew_wi.clk_d8 (net)
-                  0.08    0.00    1.18 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d04 (net)
-                  0.05    0.00    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.12    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
+                  0.08    0.00    1.17 ^ mprj/u_intercon/u_skew_wi.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    1.28 ^ mprj/u_intercon/u_skew_wi.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d9 (net)
+                  0.08    0.00    1.28 ^ mprj/u_intercon/u_skew_wi.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.12    1.40 ^ mprj/u_intercon/u_skew_wi.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d10 (net)
+                  0.09    0.00    1.40 ^ mprj/u_intercon/u_skew_wi.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.52 ^ mprj/u_intercon/u_skew_wi.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_intercon/u_skew_wi.d05 (net)
+                  0.04    0.00    1.52 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.13    1.64 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_intercon/u_skew_wi.d12 (net)
-                  0.06    0.00    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.00    1.65 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12    1.77 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_intercon/u_skew_wi.d21 (net)
-                  0.05    0.00    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    1.69 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    1.77 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.13    1.90 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
      1    0.01                           mprj/u_intercon/net626 (net)
-                  0.07    0.00    1.69 ^ mprj/u_intercon/output626/A (sky130_fd_sc_hd__buf_2)
-                  0.80    0.60    2.29 ^ mprj/u_intercon/output626/X (sky130_fd_sc_hd__buf_2)
-     2    0.17                           mprj/wbd_clk_wi_skew (net)
-                  0.81    0.04    2.33 ^ mprj/u_intercon/clkbuf_0_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.28    2.61 ^ mprj/u_intercon/clkbuf_0_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.00    1.90 ^ mprj/u_intercon/output626/A (sky130_fd_sc_hd__buf_2)
+                  0.06    0.12    2.02 ^ mprj/u_intercon/output626/X (sky130_fd_sc_hd__buf_2)
+     2    0.01                           mprj/wbd_clk_wi_skew (net)
+                  0.06    0.00    2.02 ^ mprj/u_intercon/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.24    0.24    2.26 ^ mprj/u_intercon/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.04                           mprj/u_intercon/net1226 (net)
+                  0.24    0.00    2.26 ^ mprj/u_intercon/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.14    0.23    2.50 ^ mprj/u_intercon/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.05                           mprj/u_intercon/net1225 (net)
+                  0.14    0.00    2.50 ^ mprj/u_intercon/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.16    2.65 ^ mprj/u_intercon/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/u_intercon/net1224 (net)
+                  0.09    0.01    2.66 ^ mprj/u_intercon/clkbuf_0_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.15    2.81 ^ mprj/u_intercon/clkbuf_0_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_intercon/clknet_0_clk_i (net)
-                  0.07    0.00    2.61 ^ mprj/u_intercon/clkbuf_1_0_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.74 ^ mprj/u_intercon/clkbuf_1_0_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_intercon/clknet_1_0_0_clk_i (net)
-                  0.04    0.00    2.74 ^ mprj/u_intercon/clkbuf_1_0_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.86 ^ mprj/u_intercon/clkbuf_1_0_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_intercon/clknet_1_0_1_clk_i (net)
-                  0.04    0.00    2.86 ^ mprj/u_intercon/clkbuf_1_0_2_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.11    2.97 ^ mprj/u_intercon/clkbuf_1_0_2_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_0_2_clk_i (net)
-                  0.03    0.00    2.97 ^ mprj/u_intercon/clkbuf_1_0_3_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14    3.11 ^ mprj/u_intercon/clkbuf_1_0_3_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_intercon/clknet_1_0_3_clk_i (net)
-                  0.07    0.00    3.11 ^ mprj/u_intercon/clkbuf_2_0_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    3.26 ^ mprj/u_intercon/clkbuf_2_0_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_2_0_0_clk_i (net)
-                  0.06    0.00    3.26 ^ mprj/u_intercon/clkbuf_3_0_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    3.38 ^ mprj/u_intercon/clkbuf_3_0_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_3_0_0_clk_i (net)
-                  0.04    0.00    3.38 ^ mprj/u_intercon/clkbuf_3_0_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.26    0.27    3.66 ^ mprj/u_intercon/clkbuf_3_0_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.15                           mprj/u_intercon/clknet_3_0_1_clk_i (net)
-                  0.26    0.01    3.66 ^ mprj/u_intercon/clkbuf_leaf_62_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.25    3.91 ^ mprj/u_intercon/clkbuf_leaf_62_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.10                           mprj/u_intercon/clknet_leaf_62_clk_i (net)
-                  0.11    0.00    3.91 ^ mprj/u_intercon/_3921_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.07    0.35    4.26 ^ mprj/u_intercon/_3921_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_intercon/u_s2.u_sync_wbb.s_cmd_rd_data_l[40] (net)
-                  0.07    0.00    4.26 ^ mprj/u_intercon/_2267_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.20    0.24    4.49 ^ mprj/u_intercon/_2267_/X (sky130_fd_sc_hd__mux2_1)
-     2    0.02                           mprj/u_intercon/net548 (net)
-                  0.20    0.00    4.50 ^ mprj/u_intercon/output548/A (sky130_fd_sc_hd__buf_2)
-                  0.16    0.23    4.73 ^ mprj/u_intercon/output548/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_mbist0_dat_o[22] (net)
-                  0.16    0.00    4.73 ^ mprj/u_mbist0/input174/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.15    4.88 ^ mprj/u_mbist0/input174/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_mbist0/net174 (net)
-                  0.11    0.00    4.88 ^ mprj/u_mbist0/_3909_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    5.01 ^ mprj/u_mbist0/_3909_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/_0543_ (net)
-                  0.04    0.00    5.01 ^ mprj/u_mbist0/_4593_/D (sky130_fd_sc_hd__dfrtp_1)
+                  0.05    0.00    2.81 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    2.93 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_intercon/clknet_1_1_0_clk_i (net)
+                  0.04    0.00    2.93 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12    3.05 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_intercon/clknet_1_1_1_clk_i (net)
+                  0.05    0.00    3.05 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    3.16 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_intercon/clknet_1_1_2_clk_i (net)
+                  0.03    0.00    3.16 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.13    3.29 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_intercon/clknet_1_1_3_clk_i (net)
+                  0.06    0.00    3.30 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    3.44 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_intercon/clknet_2_2_0_clk_i (net)
+                  0.06    0.00    3.44 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    3.56 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_intercon/clknet_3_5_0_clk_i (net)
+                  0.04    0.00    3.56 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.21    0.24    3.80 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.12                           mprj/u_intercon/clknet_3_5_1_clk_i (net)
+                  0.21    0.01    3.81 ^ mprj/u_intercon/clkbuf_leaf_19_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.22    4.03 ^ mprj/u_intercon/clkbuf_leaf_19_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_intercon/clknet_leaf_19_clk_i (net)
+                  0.10    0.00    4.03 ^ mprj/u_intercon/_4147_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.36    4.39 ^ mprj/u_intercon/_4147_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_intercon/u_s3.u_sync_wbb.s_cmd_rd_data_l[35] (net)
+                  0.09    0.00    4.39 ^ mprj/u_intercon/_2150_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.17    4.56 ^ mprj/u_intercon/_2150_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_intercon/net594 (net)
+                  0.10    0.00    4.56 ^ mprj/u_intercon/output594/A (sky130_fd_sc_hd__buf_2)
+                  0.17    0.21    4.77 ^ mprj/u_intercon/output594/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_mbist1_dat_o[17] (net)
+                  0.17    0.00    4.78 ^ mprj/u_mbist1/input168/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.12    4.89 ^ mprj/u_mbist1/input168/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_mbist1/net168 (net)
+                  0.06    0.00    4.89 ^ mprj/u_mbist1/_3904_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    5.01 ^ mprj/u_mbist1/_3904_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/_0538_ (net)
+                  0.04    0.00    5.01 ^ mprj/u_mbist1/_4588_/D (sky130_fd_sc_hd__dfrtp_4)
                                   5.01   data arrival time
 
                           0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.27    0.36    0.36 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     2    0.03                           mprj/u_intercon/net2 (net)
-                  0.27    0.00    0.36 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.13    0.50 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net319 (net)
-                  0.06    0.00    0.50 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
-                  0.15    0.19    0.69 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
-                  0.15    0.00    0.69 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    0.86 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net198 (net)
-                  0.12    0.00    0.86 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.70 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    1.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d03 (net)
-                  0.04    0.00    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
-                  0.04    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.23 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/net427 (net)
-                  0.05    0.00    2.23 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.09 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist0_skew (net)
-                  1.11    0.05    3.14 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.48 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.48 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.63 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00    3.63 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    3.76 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00    3.76 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    3.88 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00    3.88 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.41    4.29 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
-                  0.44    0.01    4.30 ^ mprj/u_mbist0/clkbuf_opt_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.24    4.54 ^ mprj/u_mbist0/clkbuf_opt_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_6_0_wb_clk_i (net)
-                  0.05    0.00    4.54 ^ mprj/u_mbist0/clkbuf_opt_6_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.67 ^ mprj/u_mbist0/clkbuf_opt_6_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_6_1_wb_clk_i (net)
-                  0.04    0.00    4.67 ^ mprj/u_mbist0/clkbuf_opt_6_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.14    4.82 ^ mprj/u_mbist0/clkbuf_opt_6_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.04                           mprj/u_mbist0/clknet_opt_6_2_wb_clk_i (net)
-                  0.06    0.00    4.82 ^ mprj/u_mbist0/clkbuf_leaf_17_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.19    5.01 ^ mprj/u_mbist0/clkbuf_leaf_17_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_mbist0/clknet_leaf_17_wb_clk_i (net)
-                  0.11    0.00    5.01 ^ mprj/u_mbist0/_4593_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    5.11   clock uncertainty
-                          0.00    5.11   clock reconvergence pessimism
-                         -0.02    5.09   library hold time
-                                  5.09   data required time
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.31    0.31 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00    0.32 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.23    0.55 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03    0.58 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.21    0.80 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02    0.81 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.12    0.94 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00    0.94 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.17    1.10 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00    1.11 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.16    1.26 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00    1.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.50 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.50 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.02 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00    2.02 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.26 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00    2.27 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.25    2.52 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00    2.52 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.20    2.72 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00    2.73 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.17    2.90 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00    2.91 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17    3.08 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00    3.08 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.14    3.22 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00    3.22 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.35 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00    3.35 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    3.46 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00    3.46 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.19    3.66 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00    3.66 ^ mprj/u_mbist1/wire7/A (sky130_fd_sc_hd__buf_8)
+                  0.20    0.24    3.90 ^ mprj/u_mbist1/wire7/X (sky130_fd_sc_hd__buf_8)
+    18    0.12                           mprj/u_mbist1/net2100 (net)
+                  0.20    0.01    3.91 ^ mprj/u_mbist1/clkbuf_opt_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    4.09 ^ mprj/u_mbist1/clkbuf_opt_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist1/clknet_opt_3_0_wb_clk_i (net)
+                  0.04    0.00    4.09 ^ mprj/u_mbist1/clkbuf_opt_3_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.22 ^ mprj/u_mbist1/clkbuf_opt_3_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist1/clknet_opt_3_1_wb_clk_i (net)
+                  0.04    0.00    4.22 ^ mprj/u_mbist1/clkbuf_opt_3_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.35 ^ mprj/u_mbist1/clkbuf_opt_3_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist1/clknet_opt_3_2_wb_clk_i (net)
+                  0.04    0.00    4.35 ^ mprj/u_mbist1/clkbuf_opt_3_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.14    4.49 ^ mprj/u_mbist1/clkbuf_opt_3_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.03                           mprj/u_mbist1/clknet_opt_3_3_wb_clk_i (net)
+                  0.05    0.00    4.49 ^ mprj/u_mbist1/clkbuf_leaf_12_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.18    4.67 ^ mprj/u_mbist1/clkbuf_leaf_12_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_mbist1/clknet_leaf_12_wb_clk_i (net)
+                  0.10    0.00    4.67 ^ mprj/u_mbist1/_4588_/CLK (sky130_fd_sc_hd__dfrtp_4)
+                          0.10    4.77   clock uncertainty
+                          0.00    4.77   clock reconvergence pessimism
+                         -0.03    4.74   library hold time
+                                  4.74   data required time
 -----------------------------------------------------------------------------
-                                  5.09   data required time
+                                  4.74   data required time
                                  -5.01   data arrival time
 -----------------------------------------------------------------------------
-                                 -0.08   slack (VIOLATED)
+                                  0.27   slack (MET)
 
 
-Startpoint: mprj/u_intercon/_3923_
+Startpoint: mprj/u_intercon/_4564_
             (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist0/_4595_
+Endpoint: mprj/u_intercon/_3895_
           (rising edge-triggered flip-flop clocked by wbs_clk_i)
 Path Group: wbs_clk_i
 Path Type: min
@@ -76580,189 +87234,1708 @@
 -----------------------------------------------------------------------------
                           0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input317/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.20    0.25    0.26 ^ mprj/u_intercon/input317/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input317/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.21    0.26    0.26 ^ mprj/u_intercon/input317/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.02                           mprj/u_intercon/net317 (net)
-                  0.20    0.00    0.26 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.14    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.21    0.00    0.26 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.14    0.40 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_intercon/u_skew_wi.clk_d1 (net)
-                  0.09    0.00    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.00    0.40 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    0.51 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_intercon/u_skew_wi.clk_d2 (net)
-                  0.07    0.00    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.00    0.51 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_intercon/u_skew_wi.clk_d3 (net)
-                  0.10    0.00    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.00    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    0.73 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_intercon/u_skew_wi.clk_d4 (net)
-                  0.08    0.00    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.00    0.73 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    0.84 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_intercon/u_skew_wi.clk_d5 (net)
-                  0.08    0.00    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.00    0.84 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
                   0.07    0.11    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_intercon/u_skew_wi.clk_d6 (net)
                   0.07    0.00    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
                   0.08    0.11    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_intercon/u_skew_wi.clk_d7 (net)
                   0.08    0.00    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.18 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    1.17 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_intercon/u_skew_wi.clk_d8 (net)
-                  0.08    0.00    1.18 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d04 (net)
-                  0.05    0.00    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.12    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
+                  0.08    0.00    1.17 ^ mprj/u_intercon/u_skew_wi.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    1.28 ^ mprj/u_intercon/u_skew_wi.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d9 (net)
+                  0.08    0.00    1.28 ^ mprj/u_intercon/u_skew_wi.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.12    1.40 ^ mprj/u_intercon/u_skew_wi.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d10 (net)
+                  0.09    0.00    1.40 ^ mprj/u_intercon/u_skew_wi.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.52 ^ mprj/u_intercon/u_skew_wi.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_intercon/u_skew_wi.d05 (net)
+                  0.04    0.00    1.52 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.13    1.64 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_intercon/u_skew_wi.d12 (net)
-                  0.06    0.00    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.00    1.65 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12    1.77 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_intercon/u_skew_wi.d21 (net)
-                  0.05    0.00    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    1.69 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    1.77 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.13    1.90 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
      1    0.01                           mprj/u_intercon/net626 (net)
-                  0.07    0.00    1.69 ^ mprj/u_intercon/output626/A (sky130_fd_sc_hd__buf_2)
-                  0.80    0.60    2.29 ^ mprj/u_intercon/output626/X (sky130_fd_sc_hd__buf_2)
-     2    0.17                           mprj/wbd_clk_wi_skew (net)
-                  0.81    0.04    2.33 ^ mprj/u_intercon/clkbuf_0_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.28    2.61 ^ mprj/u_intercon/clkbuf_0_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.00    1.90 ^ mprj/u_intercon/output626/A (sky130_fd_sc_hd__buf_2)
+                  0.06    0.12    2.02 ^ mprj/u_intercon/output626/X (sky130_fd_sc_hd__buf_2)
+     2    0.01                           mprj/wbd_clk_wi_skew (net)
+                  0.06    0.00    2.02 ^ mprj/u_intercon/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.24    0.24    2.26 ^ mprj/u_intercon/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.04                           mprj/u_intercon/net1226 (net)
+                  0.24    0.00    2.26 ^ mprj/u_intercon/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.14    0.23    2.50 ^ mprj/u_intercon/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.05                           mprj/u_intercon/net1225 (net)
+                  0.14    0.00    2.50 ^ mprj/u_intercon/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.16    2.65 ^ mprj/u_intercon/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/u_intercon/net1224 (net)
+                  0.09    0.01    2.66 ^ mprj/u_intercon/clkbuf_0_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.15    2.81 ^ mprj/u_intercon/clkbuf_0_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_intercon/clknet_0_clk_i (net)
-                  0.07    0.00    2.61 ^ mprj/u_intercon/clkbuf_1_0_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.74 ^ mprj/u_intercon/clkbuf_1_0_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_intercon/clknet_1_0_0_clk_i (net)
-                  0.04    0.00    2.74 ^ mprj/u_intercon/clkbuf_1_0_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.86 ^ mprj/u_intercon/clkbuf_1_0_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    2.81 ^ mprj/u_intercon/clkbuf_1_0_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    2.93 ^ mprj/u_intercon/clkbuf_1_0_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_intercon/clknet_1_0_0_clk_i (net)
+                  0.04    0.00    2.93 ^ mprj/u_intercon/clkbuf_1_0_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12    3.05 ^ mprj/u_intercon/clkbuf_1_0_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.02                           mprj/u_intercon/clknet_1_0_1_clk_i (net)
-                  0.04    0.00    2.86 ^ mprj/u_intercon/clkbuf_1_0_2_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.11    2.97 ^ mprj/u_intercon/clkbuf_1_0_2_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    3.05 ^ mprj/u_intercon/clkbuf_1_0_2_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    3.16 ^ mprj/u_intercon/clkbuf_1_0_2_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.01                           mprj/u_intercon/clknet_1_0_2_clk_i (net)
-                  0.03    0.00    2.97 ^ mprj/u_intercon/clkbuf_1_0_3_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14    3.11 ^ mprj/u_intercon/clkbuf_1_0_3_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_intercon/clknet_1_0_3_clk_i (net)
-                  0.07    0.00    3.11 ^ mprj/u_intercon/clkbuf_2_0_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    3.26 ^ mprj/u_intercon/clkbuf_2_0_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.00    3.16 ^ mprj/u_intercon/clkbuf_1_0_3_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.14    3.30 ^ mprj/u_intercon/clkbuf_1_0_3_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_intercon/clknet_1_0_3_clk_i (net)
+                  0.07    0.00    3.30 ^ mprj/u_intercon/clkbuf_2_0_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    3.45 ^ mprj/u_intercon/clkbuf_2_0_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_intercon/clknet_2_0_0_clk_i (net)
-                  0.06    0.00    3.26 ^ mprj/u_intercon/clkbuf_3_0_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    3.38 ^ mprj/u_intercon/clkbuf_3_0_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    3.45 ^ mprj/u_intercon/clkbuf_3_1_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    3.57 ^ mprj/u_intercon/clkbuf_3_1_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_intercon/clknet_3_1_0_clk_i (net)
+                  0.04    0.00    3.57 ^ mprj/u_intercon/clkbuf_3_1_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.21    0.24    3.81 ^ mprj/u_intercon/clkbuf_3_1_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.12                           mprj/u_intercon/clknet_3_1_1_clk_i (net)
+                  0.21    0.01    3.82 ^ mprj/u_intercon/clkbuf_leaf_58_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.23    4.05 ^ mprj/u_intercon/clkbuf_leaf_58_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.10                           mprj/u_intercon/clknet_leaf_58_clk_i (net)
+                  0.11    0.00    4.05 ^ mprj/u_intercon/_4564_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.33    4.38 ^ mprj/u_intercon/_4564_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.00                           mprj/u_intercon/u_s2.u_sync_wbb.u_cmd_if.mem[0][14] (net)
+                  0.06    0.00    4.38 ^ mprj/u_intercon/_2216_/A0 (sky130_fd_sc_hd__mux4_2)
+                  0.11    0.28    4.65 ^ mprj/u_intercon/_2216_/X (sky130_fd_sc_hd__mux4_2)
+     2    0.02                           mprj/u_intercon/_1257_ (net)
+                  0.11    0.00    4.65 ^ mprj/u_intercon/_2684_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.14    4.79 ^ mprj/u_intercon/_2684_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_intercon/_0036_ (net)
+                  0.04    0.00    4.79 ^ mprj/u_intercon/_3895_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  4.79   data arrival time
+
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input317/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.21    0.28    0.28 ^ mprj/u_intercon/input317/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.02                           mprj/u_intercon/net317 (net)
+                  0.21    0.00    0.28 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.15    0.43 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d1 (net)
+                  0.09    0.00    0.43 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.12    0.55 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d2 (net)
+                  0.07    0.00    0.55 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    0.67 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d3 (net)
+                  0.08    0.00    0.67 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.12    0.79 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d4 (net)
+                  0.07    0.00    0.79 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    0.91 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d5 (net)
+                  0.08    0.00    0.91 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.12    1.02 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d6 (net)
+                  0.07    0.00    1.02 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    1.14 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d7 (net)
+                  0.08    0.00    1.14 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    1.26 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d8 (net)
+                  0.08    0.00    1.26 ^ mprj/u_intercon/u_skew_wi.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    1.38 ^ mprj/u_intercon/u_skew_wi.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d9 (net)
+                  0.08    0.00    1.38 ^ mprj/u_intercon/u_skew_wi.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.12    1.51 ^ mprj/u_intercon/u_skew_wi.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d10 (net)
+                  0.09    0.00    1.51 ^ mprj/u_intercon/u_skew_wi.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.13    1.64 ^ mprj/u_intercon/u_skew_wi.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_intercon/u_skew_wi.d05 (net)
+                  0.04    0.00    1.64 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.14    1.77 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_intercon/u_skew_wi.d12 (net)
+                  0.06    0.00    1.77 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.90 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_intercon/u_skew_wi.d21 (net)
+                  0.05    0.00    1.90 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.14    2.05 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_intercon/net626 (net)
+                  0.06    0.00    2.05 ^ mprj/u_intercon/output626/A (sky130_fd_sc_hd__buf_2)
+                  0.06    0.13    2.18 ^ mprj/u_intercon/output626/X (sky130_fd_sc_hd__buf_2)
+     2    0.01                           mprj/wbd_clk_wi_skew (net)
+                  0.06    0.00    2.18 ^ mprj/u_intercon/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.24    0.25    2.44 ^ mprj/u_intercon/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.04                           mprj/u_intercon/net1226 (net)
+                  0.24    0.00    2.44 ^ mprj/u_intercon/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.14    0.25    2.69 ^ mprj/u_intercon/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.05                           mprj/u_intercon/net1225 (net)
+                  0.14    0.00    2.69 ^ mprj/u_intercon/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.17    2.86 ^ mprj/u_intercon/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/u_intercon/net1224 (net)
+                  0.09    0.01    2.87 ^ mprj/u_intercon/clkbuf_0_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16    3.02 ^ mprj/u_intercon/clkbuf_0_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_intercon/clknet_0_clk_i (net)
+                  0.05    0.00    3.03 ^ mprj/u_intercon/clkbuf_1_0_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.16 ^ mprj/u_intercon/clkbuf_1_0_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_intercon/clknet_1_0_0_clk_i (net)
+                  0.04    0.00    3.16 ^ mprj/u_intercon/clkbuf_1_0_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.29 ^ mprj/u_intercon/clkbuf_1_0_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_intercon/clknet_1_0_1_clk_i (net)
+                  0.05    0.00    3.29 ^ mprj/u_intercon/clkbuf_1_0_2_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    3.41 ^ mprj/u_intercon/clkbuf_1_0_2_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_intercon/clknet_1_0_2_clk_i (net)
+                  0.03    0.00    3.41 ^ mprj/u_intercon/clkbuf_1_0_3_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15    3.56 ^ mprj/u_intercon/clkbuf_1_0_3_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_intercon/clknet_1_0_3_clk_i (net)
+                  0.07    0.00    3.56 ^ mprj/u_intercon/clkbuf_2_0_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.16    3.72 ^ mprj/u_intercon/clkbuf_2_0_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_intercon/clknet_2_0_0_clk_i (net)
+                  0.06    0.00    3.72 ^ mprj/u_intercon/clkbuf_3_0_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.85 ^ mprj/u_intercon/clkbuf_3_0_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.01                           mprj/u_intercon/clknet_3_0_0_clk_i (net)
-                  0.04    0.00    3.38 ^ mprj/u_intercon/clkbuf_3_0_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.26    0.27    3.66 ^ mprj/u_intercon/clkbuf_3_0_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.15                           mprj/u_intercon/clknet_3_0_1_clk_i (net)
-                  0.26    0.01    3.66 ^ mprj/u_intercon/clkbuf_leaf_62_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.25    3.91 ^ mprj/u_intercon/clkbuf_leaf_62_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.10                           mprj/u_intercon/clknet_leaf_62_clk_i (net)
-                  0.11    0.00    3.91 ^ mprj/u_intercon/_3923_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.07    0.35    4.26 ^ mprj/u_intercon/_3923_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_intercon/u_s2.u_sync_wbb.s_cmd_rd_data_l[42] (net)
-                  0.07    0.00    4.26 ^ mprj/u_intercon/_2271_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.40 ^ mprj/u_intercon/_2271_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_intercon/net550 (net)
-                  0.06    0.00    4.40 ^ mprj/u_intercon/output550/A (sky130_fd_sc_hd__buf_2)
-                  0.19    0.21    4.61 ^ mprj/u_intercon/output550/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_mbist0_dat_o[24] (net)
-                  0.19    0.00    4.61 ^ mprj/u_mbist0/input176/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.24    0.24    4.86 ^ mprj/u_mbist0/input176/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.02                           mprj/u_mbist0/net176 (net)
-                  0.24    0.00    4.86 ^ mprj/u_mbist0/_3911_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.17    5.03 ^ mprj/u_mbist0/_3911_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/_0545_ (net)
-                  0.04    0.00    5.03 ^ mprj/u_mbist0/_4595_/D (sky130_fd_sc_hd__dfrtp_4)
+                  0.04    0.00    3.85 ^ mprj/u_intercon/clkbuf_3_0_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.16    4.02 ^ mprj/u_intercon/clkbuf_3_0_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     6    0.04                           mprj/u_intercon/clknet_3_0_1_clk_i (net)
+                  0.09    0.00    4.02 ^ mprj/u_intercon/max_length5/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18    4.19 ^ mprj/u_intercon/max_length5/X (sky130_fd_sc_hd__buf_6)
+     8    0.06                           mprj/u_intercon/net1228 (net)
+                  0.12    0.00    4.20 ^ mprj/u_intercon/max_length4/A (sky130_fd_sc_hd__buf_4)
+                  0.19    0.25    4.45 ^ mprj/u_intercon/max_length4/X (sky130_fd_sc_hd__buf_4)
+     8    0.07                           mprj/u_intercon/net1227 (net)
+                  0.19    0.01    4.46 ^ mprj/u_intercon/clkbuf_leaf_64_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.25    4.70 ^ mprj/u_intercon/clkbuf_leaf_64_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.10                           mprj/u_intercon/clknet_leaf_64_clk_i (net)
+                  0.12    0.00    4.71 ^ mprj/u_intercon/_3895_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    4.81   clock uncertainty
+                         -0.27    4.54   clock reconvergence pessimism
+                         -0.02    4.51   library hold time
+                                  4.51   data required time
+-----------------------------------------------------------------------------
+                                  4.51   data required time
+                                 -4.79   data arrival time
+-----------------------------------------------------------------------------
+                                  0.28   slack (MET)
+
+
+Startpoint: mprj/u_intercon/_4503_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_intercon/_3924_
+          (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Path Group: wbs_clk_i
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input317/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.21    0.26    0.26 ^ mprj/u_intercon/input317/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.02                           mprj/u_intercon/net317 (net)
+                  0.21    0.00    0.26 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.14    0.40 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d1 (net)
+                  0.09    0.00    0.40 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    0.51 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d2 (net)
+                  0.07    0.00    0.51 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d3 (net)
+                  0.08    0.00    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    0.73 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d4 (net)
+                  0.07    0.00    0.73 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    0.84 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d5 (net)
+                  0.08    0.00    0.84 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d6 (net)
+                  0.07    0.00    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d7 (net)
+                  0.08    0.00    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    1.17 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d8 (net)
+                  0.08    0.00    1.17 ^ mprj/u_intercon/u_skew_wi.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    1.28 ^ mprj/u_intercon/u_skew_wi.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d9 (net)
+                  0.08    0.00    1.28 ^ mprj/u_intercon/u_skew_wi.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.12    1.40 ^ mprj/u_intercon/u_skew_wi.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d10 (net)
+                  0.09    0.00    1.40 ^ mprj/u_intercon/u_skew_wi.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.52 ^ mprj/u_intercon/u_skew_wi.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_intercon/u_skew_wi.d05 (net)
+                  0.04    0.00    1.52 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.13    1.64 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_intercon/u_skew_wi.d12 (net)
+                  0.06    0.00    1.65 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12    1.77 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_intercon/u_skew_wi.d21 (net)
+                  0.05    0.00    1.77 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.13    1.90 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_intercon/net626 (net)
+                  0.06    0.00    1.90 ^ mprj/u_intercon/output626/A (sky130_fd_sc_hd__buf_2)
+                  0.06    0.12    2.02 ^ mprj/u_intercon/output626/X (sky130_fd_sc_hd__buf_2)
+     2    0.01                           mprj/wbd_clk_wi_skew (net)
+                  0.06    0.00    2.02 ^ mprj/u_intercon/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.24    0.24    2.26 ^ mprj/u_intercon/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.04                           mprj/u_intercon/net1226 (net)
+                  0.24    0.00    2.26 ^ mprj/u_intercon/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.14    0.23    2.50 ^ mprj/u_intercon/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.05                           mprj/u_intercon/net1225 (net)
+                  0.14    0.00    2.50 ^ mprj/u_intercon/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.16    2.65 ^ mprj/u_intercon/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/u_intercon/net1224 (net)
+                  0.09    0.01    2.66 ^ mprj/u_intercon/clkbuf_0_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.15    2.81 ^ mprj/u_intercon/clkbuf_0_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_intercon/clknet_0_clk_i (net)
+                  0.05    0.00    2.81 ^ mprj/u_intercon/clkbuf_1_0_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    2.93 ^ mprj/u_intercon/clkbuf_1_0_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_intercon/clknet_1_0_0_clk_i (net)
+                  0.04    0.00    2.93 ^ mprj/u_intercon/clkbuf_1_0_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12    3.05 ^ mprj/u_intercon/clkbuf_1_0_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_intercon/clknet_1_0_1_clk_i (net)
+                  0.05    0.00    3.05 ^ mprj/u_intercon/clkbuf_1_0_2_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    3.16 ^ mprj/u_intercon/clkbuf_1_0_2_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_intercon/clknet_1_0_2_clk_i (net)
+                  0.03    0.00    3.16 ^ mprj/u_intercon/clkbuf_1_0_3_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.14    3.30 ^ mprj/u_intercon/clkbuf_1_0_3_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_intercon/clknet_1_0_3_clk_i (net)
+                  0.07    0.00    3.30 ^ mprj/u_intercon/clkbuf_2_0_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    3.45 ^ mprj/u_intercon/clkbuf_2_0_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_intercon/clknet_2_0_0_clk_i (net)
+                  0.06    0.00    3.45 ^ mprj/u_intercon/clkbuf_3_1_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    3.57 ^ mprj/u_intercon/clkbuf_3_1_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_intercon/clknet_3_1_0_clk_i (net)
+                  0.04    0.00    3.57 ^ mprj/u_intercon/clkbuf_3_1_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.21    0.24    3.81 ^ mprj/u_intercon/clkbuf_3_1_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.12                           mprj/u_intercon/clknet_3_1_1_clk_i (net)
+                  0.21    0.01    3.82 ^ mprj/u_intercon/clkbuf_leaf_59_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.22    4.04 ^ mprj/u_intercon/clkbuf_leaf_59_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           mprj/u_intercon/clknet_leaf_59_clk_i (net)
+                  0.11    0.00    4.04 ^ mprj/u_intercon/_4503_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.33    4.37 ^ mprj/u_intercon/_4503_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.01                           mprj/u_intercon/u_s2.u_sync_wbb.u_cmd_if.mem[1][43] (net)
+                  0.06    0.00    4.37 ^ mprj/u_intercon/_2272_/A1 (sky130_fd_sc_hd__mux4_1)
+                  0.11    0.27    4.64 ^ mprj/u_intercon/_2272_/X (sky130_fd_sc_hd__mux4_1)
+     2    0.01                           mprj/u_intercon/_1285_ (net)
+                  0.11    0.00    4.64 ^ mprj/u_intercon/_2713_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.14    4.77 ^ mprj/u_intercon/_2713_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_intercon/_0065_ (net)
+                  0.04    0.00    4.77 ^ mprj/u_intercon/_3924_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  4.77   data arrival time
+
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input317/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.21    0.28    0.28 ^ mprj/u_intercon/input317/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.02                           mprj/u_intercon/net317 (net)
+                  0.21    0.00    0.28 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.15    0.43 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d1 (net)
+                  0.09    0.00    0.43 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.12    0.55 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d2 (net)
+                  0.07    0.00    0.55 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    0.67 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d3 (net)
+                  0.08    0.00    0.67 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.12    0.79 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d4 (net)
+                  0.07    0.00    0.79 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    0.91 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d5 (net)
+                  0.08    0.00    0.91 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.12    1.02 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d6 (net)
+                  0.07    0.00    1.02 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    1.14 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d7 (net)
+                  0.08    0.00    1.14 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    1.26 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d8 (net)
+                  0.08    0.00    1.26 ^ mprj/u_intercon/u_skew_wi.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    1.38 ^ mprj/u_intercon/u_skew_wi.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d9 (net)
+                  0.08    0.00    1.38 ^ mprj/u_intercon/u_skew_wi.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.12    1.51 ^ mprj/u_intercon/u_skew_wi.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d10 (net)
+                  0.09    0.00    1.51 ^ mprj/u_intercon/u_skew_wi.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.13    1.64 ^ mprj/u_intercon/u_skew_wi.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_intercon/u_skew_wi.d05 (net)
+                  0.04    0.00    1.64 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.14    1.77 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_intercon/u_skew_wi.d12 (net)
+                  0.06    0.00    1.77 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.90 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_intercon/u_skew_wi.d21 (net)
+                  0.05    0.00    1.90 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.14    2.05 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_intercon/net626 (net)
+                  0.06    0.00    2.05 ^ mprj/u_intercon/output626/A (sky130_fd_sc_hd__buf_2)
+                  0.06    0.13    2.18 ^ mprj/u_intercon/output626/X (sky130_fd_sc_hd__buf_2)
+     2    0.01                           mprj/wbd_clk_wi_skew (net)
+                  0.06    0.00    2.18 ^ mprj/u_intercon/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.24    0.25    2.44 ^ mprj/u_intercon/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.04                           mprj/u_intercon/net1226 (net)
+                  0.24    0.00    2.44 ^ mprj/u_intercon/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.14    0.25    2.69 ^ mprj/u_intercon/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.05                           mprj/u_intercon/net1225 (net)
+                  0.14    0.00    2.69 ^ mprj/u_intercon/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.17    2.86 ^ mprj/u_intercon/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/u_intercon/net1224 (net)
+                  0.09    0.01    2.87 ^ mprj/u_intercon/clkbuf_0_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16    3.02 ^ mprj/u_intercon/clkbuf_0_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_intercon/clknet_0_clk_i (net)
+                  0.05    0.00    3.03 ^ mprj/u_intercon/clkbuf_1_0_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.16 ^ mprj/u_intercon/clkbuf_1_0_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_intercon/clknet_1_0_0_clk_i (net)
+                  0.04    0.00    3.16 ^ mprj/u_intercon/clkbuf_1_0_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.29 ^ mprj/u_intercon/clkbuf_1_0_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_intercon/clknet_1_0_1_clk_i (net)
+                  0.05    0.00    3.29 ^ mprj/u_intercon/clkbuf_1_0_2_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    3.41 ^ mprj/u_intercon/clkbuf_1_0_2_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_intercon/clknet_1_0_2_clk_i (net)
+                  0.03    0.00    3.41 ^ mprj/u_intercon/clkbuf_1_0_3_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15    3.56 ^ mprj/u_intercon/clkbuf_1_0_3_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_intercon/clknet_1_0_3_clk_i (net)
+                  0.07    0.00    3.56 ^ mprj/u_intercon/clkbuf_2_0_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.16    3.72 ^ mprj/u_intercon/clkbuf_2_0_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_intercon/clknet_2_0_0_clk_i (net)
+                  0.06    0.00    3.72 ^ mprj/u_intercon/clkbuf_3_0_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.85 ^ mprj/u_intercon/clkbuf_3_0_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_intercon/clknet_3_0_0_clk_i (net)
+                  0.04    0.00    3.85 ^ mprj/u_intercon/clkbuf_3_0_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.16    4.02 ^ mprj/u_intercon/clkbuf_3_0_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     6    0.04                           mprj/u_intercon/clknet_3_0_1_clk_i (net)
+                  0.09    0.00    4.02 ^ mprj/u_intercon/max_length5/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18    4.19 ^ mprj/u_intercon/max_length5/X (sky130_fd_sc_hd__buf_6)
+     8    0.06                           mprj/u_intercon/net1228 (net)
+                  0.12    0.00    4.20 ^ mprj/u_intercon/max_length4/A (sky130_fd_sc_hd__buf_4)
+                  0.19    0.25    4.45 ^ mprj/u_intercon/max_length4/X (sky130_fd_sc_hd__buf_4)
+     8    0.07                           mprj/u_intercon/net1227 (net)
+                  0.19    0.01    4.46 ^ mprj/u_intercon/clkbuf_leaf_60_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.23    4.69 ^ mprj/u_intercon/clkbuf_leaf_60_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_intercon/clknet_leaf_60_clk_i (net)
+                  0.10    0.00    4.69 ^ mprj/u_intercon/_3924_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    4.79   clock uncertainty
+                         -0.27    4.52   clock reconvergence pessimism
+                         -0.03    4.49   library hold time
+                                  4.49   data required time
+-----------------------------------------------------------------------------
+                                  4.49   data required time
+                                 -4.77   data arrival time
+-----------------------------------------------------------------------------
+                                  0.28   slack (MET)
+
+
+Startpoint: mprj/u_mbist0/_4231_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist0/_4226_
+          (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Path Group: wbs_clk_i
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.41    0.41 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00    0.41 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.19    0.60 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00    0.60 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.21    0.81 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00    0.81 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    0.97 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00    0.97 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    1.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00    1.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.44 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00    1.44 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12    1.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00    1.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00    1.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.93 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00    1.93 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23    2.16 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00    2.16 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23    2.39 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00    2.40 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19    2.59 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00    2.59 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16    2.75 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00    2.75 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16    2.92 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00    2.92 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.04 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00    3.04 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    3.16 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00    3.16 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    3.27 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00    3.27 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18    3.45 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00    3.45 ^ mprj/u_mbist0/clkbuf_leaf_20_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.21    3.66 ^ mprj/u_mbist0/clkbuf_leaf_20_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    24    0.10                           mprj/u_mbist0/clknet_leaf_20_wb_clk_i (net)
+                  0.12    0.00    3.66 ^ mprj/u_mbist0/_4231_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.16    0.42    4.08 ^ mprj/u_mbist0/_4231_/Q (sky130_fd_sc_hd__dfrtp_1)
+     4    0.02                           mprj/u_mbist0/u_mbist.mem_no[1].u_cmp.mask_compare (net)
+                  0.16    0.00    4.08 ^ mprj/u_mbist0/_3178_/A2 (sky130_fd_sc_hd__a211oi_1)
+                  0.07    0.12    4.21 v mprj/u_mbist0/_3178_/Y (sky130_fd_sc_hd__a211oi_1)
+     1    0.01                           mprj/u_mbist0/_1489_ (net)
+                  0.07    0.00    4.21 v mprj/u_mbist0/_3179_/A4 (sky130_fd_sc_hd__a41o_1)
+                  0.04    0.23    4.44 v mprj/u_mbist0/_3179_/X (sky130_fd_sc_hd__a41o_1)
+     1    0.00                           mprj/u_mbist0/_0200_ (net)
+                  0.04    0.00    4.44 v mprj/u_mbist0/_4226_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  4.44   data arrival time
+
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.44    0.44 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00    0.45 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.20    0.65 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00    0.65 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.23    0.87 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00    0.88 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.17    1.04 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00    1.04 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.12    1.55 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00    1.55 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.08 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00    2.08 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.33 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00    2.33 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.25    2.58 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00    2.58 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.20    2.79 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00    2.79 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.17    2.97 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00    2.97 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17    3.14 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00    3.14 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.28 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_0_0_wb_clk_i (net)
+                  0.05    0.00    3.28 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.42 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_0_1_wb_clk_i (net)
+                  0.05    0.00    3.43 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    3.55 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_0_2_wb_clk_i (net)
+                  0.03    0.00    3.55 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    3.73 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist0/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.74 ^ mprj/u_mbist0/wire4/A (sky130_fd_sc_hd__buf_4)
+                  0.26    0.30    4.04 ^ mprj/u_mbist0/wire4/X (sky130_fd_sc_hd__buf_4)
+    10    0.09                           mprj/u_mbist0/net2097 (net)
+                  0.26    0.01    4.05 ^ mprj/u_mbist0/clkbuf_leaf_6_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.26    4.31 ^ mprj/u_mbist0/clkbuf_leaf_6_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.10                           mprj/u_mbist0/clknet_leaf_6_wb_clk_i (net)
+                  0.11    0.00    4.31 ^ mprj/u_mbist0/_4226_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    4.41   clock uncertainty
+                         -0.23    4.18   clock reconvergence pessimism
+                         -0.03    4.16   library hold time
+                                  4.16   data required time
+-----------------------------------------------------------------------------
+                                  4.16   data required time
+                                 -4.44   data arrival time
+-----------------------------------------------------------------------------
+                                  0.28   slack (MET)
+
+
+Startpoint: mprj/u_mbist1/_4231_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist1/_4226_
+          (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Path Group: wbs_clk_i
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.22    0.51 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.20    0.74 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02    0.76 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11    0.87 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00    0.87 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.16    1.02 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00    1.03 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    1.17 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00    1.17 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    1.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.39 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12    1.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00    1.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00    1.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13    1.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.87 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00    1.87 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23    2.10 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00    2.10 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23    2.33 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00    2.34 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19    2.53 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00    2.53 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16    2.69 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00    2.70 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16    2.86 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00    2.86 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.98 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00    2.98 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    3.10 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00    3.10 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    3.21 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00    3.21 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18    3.39 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00    3.40 ^ mprj/u_mbist1/clkbuf_leaf_20_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.21    3.60 ^ mprj/u_mbist1/clkbuf_leaf_20_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    24    0.10                           mprj/u_mbist1/clknet_leaf_20_wb_clk_i (net)
+                  0.12    0.00    3.61 ^ mprj/u_mbist1/_4231_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.16    0.42    4.02 ^ mprj/u_mbist1/_4231_/Q (sky130_fd_sc_hd__dfrtp_1)
+     4    0.02                           mprj/u_mbist1/u_mbist.mem_no[1].u_cmp.mask_compare (net)
+                  0.16    0.00    4.03 ^ mprj/u_mbist1/_3178_/A2 (sky130_fd_sc_hd__a211oi_1)
+                  0.07    0.12    4.15 v mprj/u_mbist1/_3178_/Y (sky130_fd_sc_hd__a211oi_1)
+     1    0.01                           mprj/u_mbist1/_1489_ (net)
+                  0.07    0.00    4.15 v mprj/u_mbist1/_3179_/A4 (sky130_fd_sc_hd__a41o_1)
+                  0.04    0.23    4.38 v mprj/u_mbist1/_3179_/X (sky130_fd_sc_hd__a41o_1)
+     1    0.00                           mprj/u_mbist1/_0200_ (net)
+                  0.04    0.00    4.38 v mprj/u_mbist1/_4226_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  4.38   data arrival time
+
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.31    0.31 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00    0.32 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.23    0.55 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03    0.58 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.21    0.80 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02    0.81 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.12    0.94 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00    0.94 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.17    1.10 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00    1.11 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.16    1.26 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00    1.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.50 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.50 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.02 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00    2.02 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.26 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00    2.27 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.25    2.52 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00    2.52 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.20    2.72 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00    2.73 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.17    2.90 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00    2.91 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17    3.08 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00    3.08 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.22 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_0_0_wb_clk_i (net)
+                  0.05    0.00    3.22 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.36 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_0_1_wb_clk_i (net)
+                  0.05    0.00    3.36 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    3.48 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_0_2_wb_clk_i (net)
+                  0.03    0.00    3.48 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    3.67 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist1/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.67 ^ mprj/u_mbist1/wire4/A (sky130_fd_sc_hd__buf_4)
+                  0.26    0.30    3.97 ^ mprj/u_mbist1/wire4/X (sky130_fd_sc_hd__buf_4)
+    10    0.09                           mprj/u_mbist1/net2097 (net)
+                  0.26    0.01    3.98 ^ mprj/u_mbist1/clkbuf_leaf_6_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.26    4.25 ^ mprj/u_mbist1/clkbuf_leaf_6_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.10                           mprj/u_mbist1/clknet_leaf_6_wb_clk_i (net)
+                  0.11    0.00    4.25 ^ mprj/u_mbist1/_4226_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    4.35   clock uncertainty
+                         -0.22    4.13   clock reconvergence pessimism
+                         -0.03    4.10   library hold time
+                                  4.10   data required time
+-----------------------------------------------------------------------------
+                                  4.10   data required time
+                                 -4.38   data arrival time
+-----------------------------------------------------------------------------
+                                  0.28   slack (MET)
+
+
+Startpoint: mprj/u_mbist0/_4112_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist0/_4072_
+          (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Path Group: wbs_clk_i
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.41    0.41 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00    0.41 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.19    0.60 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00    0.60 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.21    0.81 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00    0.81 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    0.97 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00    0.97 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    1.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00    1.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.44 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00    1.44 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12    1.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00    1.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00    1.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.93 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00    1.93 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23    2.16 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00    2.16 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23    2.39 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00    2.40 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19    2.59 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00    2.59 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16    2.75 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00    2.75 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16    2.92 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00    2.92 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.05 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_0_0_wb_clk_i (net)
+                  0.05    0.00    3.05 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.18 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_0_1_wb_clk_i (net)
+                  0.05    0.00    3.18 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    3.29 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_0_2_wb_clk_i (net)
+                  0.03    0.00    3.29 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.17    3.46 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist0/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.46 ^ mprj/u_mbist0/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.25    3.71 ^ mprj/u_mbist0/wire5/X (sky130_fd_sc_hd__buf_4)
+     6    0.08                           mprj/u_mbist0/net2098 (net)
+                  0.21    0.00    3.72 ^ mprj/u_mbist0/clkbuf_leaf_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.24    3.96 ^ mprj/u_mbist0/clkbuf_leaf_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.11                           mprj/u_mbist0/clknet_leaf_3_wb_clk_i (net)
+                  0.13    0.00    3.96 ^ mprj/u_mbist0/_4112_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.36    4.33 ^ mprj/u_mbist0/_4112_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mbist0/u_mbist.bist_error_addr[2][1] (net)
+                  0.08    0.00    4.33 ^ mprj/u_mbist0/fanout1955/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.21    0.21    4.54 ^ mprj/u_mbist0/fanout1955/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist0/net1955 (net)
+                  0.21    0.00    4.54 ^ mprj/u_mbist0/_3028_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.16    4.69 ^ mprj/u_mbist0/_3028_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/_0079_ (net)
+                  0.04    0.00    4.69 ^ mprj/u_mbist0/_4072_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  4.69   data arrival time
+
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.44    0.44 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00    0.45 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.20    0.65 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00    0.65 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.23    0.87 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00    0.88 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.17    1.04 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00    1.04 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.12    1.55 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00    1.55 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.08 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00    2.08 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.33 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00    2.33 ^ mprj/u_mbist0/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.17    0.25    2.58 ^ mprj/u_mbist0/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2103 (net)
+                  0.17    0.00    2.59 ^ mprj/u_mbist0/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.21    2.79 ^ mprj/u_mbist0/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2102 (net)
+                  0.11    0.00    2.80 ^ mprj/u_mbist0/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.18    2.97 ^ mprj/u_mbist0/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2101 (net)
+                  0.11    0.01    2.98 ^ mprj/u_mbist0/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.13 ^ mprj/u_mbist0/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist0/clknet_0_wb_clk2_i (net)
+                  0.04    0.00    3.14 ^ mprj/u_mbist0/clkbuf_1_0__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.25 ^ mprj/u_mbist0/clkbuf_1_0__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_mbist0/clknet_1_0__leaf_wb_clk2_i (net)
+                  0.03    0.00    3.25 ^ mprj/u_mbist0/wire14/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.27    0.29    3.54 ^ mprj/u_mbist0/wire14/X (sky130_fd_sc_hd__clkbuf_4)
+     8    0.09                           mprj/u_mbist0/net2107 (net)
+                  0.27    0.01    3.55 ^ mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_8)
+                  0.04    0.22    3.77 ^ mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.00                           mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.04    0.00    3.77 ^ mprj/u_mbist0/wire13/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.27    4.04 ^ mprj/u_mbist0/wire13/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mbist0/net2106 (net)
+                  0.26    0.00    4.04 ^ mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.28    4.32 ^ mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.12                           mprj/u_mbist0/clknet_0_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.13    0.00    4.33 ^ mprj/u_mbist0/clkbuf_3_3__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.18    4.51 ^ mprj/u_mbist0/clkbuf_3_3__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.04                           mprj/u_mbist0/clknet_3_3__leaf_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.06    0.00    4.51 ^ mprj/u_mbist0/_4072_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    4.61   clock uncertainty
+                         -0.17    4.44   clock reconvergence pessimism
+                         -0.03    4.41   library hold time
+                                  4.41   data required time
+-----------------------------------------------------------------------------
+                                  4.41   data required time
+                                 -4.69   data arrival time
+-----------------------------------------------------------------------------
+                                  0.28   slack (MET)
+
+
+Startpoint: mprj/u_mbist1/_4112_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist1/_4072_
+          (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Path Group: wbs_clk_i
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.22    0.51 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.20    0.74 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02    0.76 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11    0.87 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00    0.87 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.16    1.02 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00    1.03 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    1.17 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00    1.17 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    1.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.39 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12    1.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00    1.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00    1.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13    1.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.87 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00    1.87 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23    2.10 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00    2.10 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23    2.33 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00    2.34 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19    2.53 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00    2.53 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16    2.69 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00    2.70 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16    2.86 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00    2.86 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    2.99 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_0_0_wb_clk_i (net)
+                  0.05    0.00    2.99 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.12 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_0_1_wb_clk_i (net)
+                  0.05    0.00    3.12 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    3.23 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_0_2_wb_clk_i (net)
+                  0.03    0.00    3.23 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.17    3.41 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist1/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.41 ^ mprj/u_mbist1/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.25    3.66 ^ mprj/u_mbist1/wire5/X (sky130_fd_sc_hd__buf_4)
+     6    0.08                           mprj/u_mbist1/net2098 (net)
+                  0.21    0.00    3.66 ^ mprj/u_mbist1/clkbuf_leaf_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.24    3.90 ^ mprj/u_mbist1/clkbuf_leaf_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.11                           mprj/u_mbist1/clknet_leaf_3_wb_clk_i (net)
+                  0.13    0.00    3.90 ^ mprj/u_mbist1/_4112_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.36    4.27 ^ mprj/u_mbist1/_4112_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mbist1/u_mbist.bist_error_addr[2][1] (net)
+                  0.08    0.00    4.27 ^ mprj/u_mbist1/fanout1955/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.21    0.21    4.48 ^ mprj/u_mbist1/fanout1955/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist1/net1955 (net)
+                  0.21    0.00    4.48 ^ mprj/u_mbist1/_3028_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.16    4.63 ^ mprj/u_mbist1/_3028_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/_0079_ (net)
+                  0.04    0.00    4.63 ^ mprj/u_mbist1/_4072_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  4.63   data arrival time
+
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.31    0.31 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00    0.32 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.23    0.55 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03    0.58 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.21    0.80 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02    0.81 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.12    0.94 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00    0.94 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.17    1.10 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00    1.11 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.16    1.26 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00    1.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.50 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.50 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.02 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00    2.02 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.26 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00    2.27 ^ mprj/u_mbist1/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.17    0.25    2.52 ^ mprj/u_mbist1/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2103 (net)
+                  0.17    0.00    2.52 ^ mprj/u_mbist1/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.21    2.73 ^ mprj/u_mbist1/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2102 (net)
+                  0.11    0.00    2.73 ^ mprj/u_mbist1/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.18    2.91 ^ mprj/u_mbist1/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2101 (net)
+                  0.11    0.01    2.92 ^ mprj/u_mbist1/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.07 ^ mprj/u_mbist1/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist1/clknet_0_wb_clk2_i (net)
+                  0.04    0.00    3.07 ^ mprj/u_mbist1/clkbuf_1_0__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.19 ^ mprj/u_mbist1/clkbuf_1_0__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_mbist1/clknet_1_0__leaf_wb_clk2_i (net)
+                  0.03    0.00    3.19 ^ mprj/u_mbist1/wire14/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.27    0.29    3.48 ^ mprj/u_mbist1/wire14/X (sky130_fd_sc_hd__clkbuf_4)
+     8    0.09                           mprj/u_mbist1/net2107 (net)
+                  0.27    0.01    3.49 ^ mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_8)
+                  0.04    0.22    3.71 ^ mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.00                           mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.04    0.00    3.71 ^ mprj/u_mbist1/wire13/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.27    3.98 ^ mprj/u_mbist1/wire13/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mbist1/net2106 (net)
+                  0.26    0.00    3.98 ^ mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.28    4.26 ^ mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.12                           mprj/u_mbist1/clknet_0_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.13    0.00    4.26 ^ mprj/u_mbist1/clkbuf_3_3__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.18    4.45 ^ mprj/u_mbist1/clkbuf_3_3__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.04                           mprj/u_mbist1/clknet_3_3__leaf_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.06    0.00    4.45 ^ mprj/u_mbist1/_4072_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    4.55   clock uncertainty
+                         -0.16    4.38   clock reconvergence pessimism
+                         -0.03    4.35   library hold time
+                                  4.35   data required time
+-----------------------------------------------------------------------------
+                                  4.35   data required time
+                                 -4.63   data arrival time
+-----------------------------------------------------------------------------
+                                  0.28   slack (MET)
+
+
+Startpoint: mprj/u_mbist0/_4112_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist0/_4090_
+          (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Path Group: wbs_clk_i
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.41    0.41 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00    0.41 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.19    0.60 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00    0.60 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.21    0.81 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00    0.81 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    0.97 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00    0.97 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    1.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00    1.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.44 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00    1.44 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12    1.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00    1.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00    1.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.93 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00    1.93 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23    2.16 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00    2.16 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23    2.39 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00    2.40 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19    2.59 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00    2.59 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16    2.75 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00    2.75 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16    2.92 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00    2.92 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.05 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_0_0_wb_clk_i (net)
+                  0.05    0.00    3.05 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.18 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_0_1_wb_clk_i (net)
+                  0.05    0.00    3.18 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    3.29 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_0_2_wb_clk_i (net)
+                  0.03    0.00    3.29 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.17    3.46 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist0/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.46 ^ mprj/u_mbist0/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.25    3.71 ^ mprj/u_mbist0/wire5/X (sky130_fd_sc_hd__buf_4)
+     6    0.08                           mprj/u_mbist0/net2098 (net)
+                  0.21    0.00    3.72 ^ mprj/u_mbist0/clkbuf_leaf_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.24    3.96 ^ mprj/u_mbist0/clkbuf_leaf_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.11                           mprj/u_mbist0/clknet_leaf_3_wb_clk_i (net)
+                  0.13    0.00    3.96 ^ mprj/u_mbist0/_4112_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.36    4.33 ^ mprj/u_mbist0/_4112_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mbist0/u_mbist.bist_error_addr[2][1] (net)
+                  0.08    0.00    4.33 ^ mprj/u_mbist0/fanout1955/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.21    0.21    4.54 ^ mprj/u_mbist0/fanout1955/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist0/net1955 (net)
+                  0.21    0.00    4.54 ^ mprj/u_mbist0/_3047_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.16    4.69 ^ mprj/u_mbist0/_3047_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/_0097_ (net)
+                  0.04    0.00    4.69 ^ mprj/u_mbist0/_4090_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  4.69   data arrival time
+
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.44    0.44 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00    0.45 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.20    0.65 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00    0.65 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.23    0.87 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00    0.88 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.17    1.04 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00    1.04 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.12    1.55 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00    1.55 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.08 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00    2.08 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.33 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00    2.33 ^ mprj/u_mbist0/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.17    0.25    2.58 ^ mprj/u_mbist0/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2103 (net)
+                  0.17    0.00    2.59 ^ mprj/u_mbist0/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.21    2.79 ^ mprj/u_mbist0/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2102 (net)
+                  0.11    0.00    2.80 ^ mprj/u_mbist0/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.18    2.97 ^ mprj/u_mbist0/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2101 (net)
+                  0.11    0.01    2.98 ^ mprj/u_mbist0/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.13 ^ mprj/u_mbist0/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist0/clknet_0_wb_clk2_i (net)
+                  0.04    0.00    3.14 ^ mprj/u_mbist0/clkbuf_1_0__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.25 ^ mprj/u_mbist0/clkbuf_1_0__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_mbist0/clknet_1_0__leaf_wb_clk2_i (net)
+                  0.03    0.00    3.25 ^ mprj/u_mbist0/wire14/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.27    0.29    3.54 ^ mprj/u_mbist0/wire14/X (sky130_fd_sc_hd__clkbuf_4)
+     8    0.09                           mprj/u_mbist0/net2107 (net)
+                  0.27    0.01    3.55 ^ mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_8)
+                  0.04    0.22    3.77 ^ mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.00                           mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.04    0.00    3.77 ^ mprj/u_mbist0/wire13/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.27    4.04 ^ mprj/u_mbist0/wire13/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mbist0/net2106 (net)
+                  0.26    0.00    4.04 ^ mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.28    4.32 ^ mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.12                           mprj/u_mbist0/clknet_0_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.13    0.00    4.33 ^ mprj/u_mbist0/clkbuf_3_3__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.18    4.51 ^ mprj/u_mbist0/clkbuf_3_3__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.04                           mprj/u_mbist0/clknet_3_3__leaf_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.06    0.00    4.51 ^ mprj/u_mbist0/_4090_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    4.61   clock uncertainty
+                         -0.17    4.44   clock reconvergence pessimism
+                         -0.03    4.41   library hold time
+                                  4.41   data required time
+-----------------------------------------------------------------------------
+                                  4.41   data required time
+                                 -4.69   data arrival time
+-----------------------------------------------------------------------------
+                                  0.28   slack (MET)
+
+
+Startpoint: mprj/u_mbist1/_4112_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist1/_4090_
+          (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Path Group: wbs_clk_i
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.22    0.51 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.20    0.74 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02    0.76 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11    0.87 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00    0.87 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.16    1.02 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00    1.03 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    1.17 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00    1.17 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    1.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.39 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12    1.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00    1.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00    1.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13    1.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.87 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00    1.87 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23    2.10 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00    2.10 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23    2.33 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00    2.34 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19    2.53 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00    2.53 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16    2.69 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00    2.70 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16    2.86 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00    2.86 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    2.99 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_0_0_wb_clk_i (net)
+                  0.05    0.00    2.99 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.12 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_0_1_wb_clk_i (net)
+                  0.05    0.00    3.12 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    3.23 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_0_2_wb_clk_i (net)
+                  0.03    0.00    3.23 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.17    3.41 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist1/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.41 ^ mprj/u_mbist1/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.25    3.66 ^ mprj/u_mbist1/wire5/X (sky130_fd_sc_hd__buf_4)
+     6    0.08                           mprj/u_mbist1/net2098 (net)
+                  0.21    0.00    3.66 ^ mprj/u_mbist1/clkbuf_leaf_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.24    3.90 ^ mprj/u_mbist1/clkbuf_leaf_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.11                           mprj/u_mbist1/clknet_leaf_3_wb_clk_i (net)
+                  0.13    0.00    3.90 ^ mprj/u_mbist1/_4112_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.36    4.27 ^ mprj/u_mbist1/_4112_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mbist1/u_mbist.bist_error_addr[2][1] (net)
+                  0.08    0.00    4.27 ^ mprj/u_mbist1/fanout1955/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.21    0.21    4.48 ^ mprj/u_mbist1/fanout1955/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist1/net1955 (net)
+                  0.21    0.00    4.48 ^ mprj/u_mbist1/_3047_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.16    4.63 ^ mprj/u_mbist1/_3047_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/_0097_ (net)
+                  0.04    0.00    4.63 ^ mprj/u_mbist1/_4090_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  4.63   data arrival time
+
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.31    0.31 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00    0.32 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.23    0.55 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03    0.58 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.21    0.80 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02    0.81 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.12    0.94 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00    0.94 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.17    1.10 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00    1.11 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.16    1.26 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00    1.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.50 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.50 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.02 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00    2.02 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.26 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00    2.27 ^ mprj/u_mbist1/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.17    0.25    2.52 ^ mprj/u_mbist1/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2103 (net)
+                  0.17    0.00    2.52 ^ mprj/u_mbist1/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.21    2.73 ^ mprj/u_mbist1/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2102 (net)
+                  0.11    0.00    2.73 ^ mprj/u_mbist1/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.18    2.91 ^ mprj/u_mbist1/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2101 (net)
+                  0.11    0.01    2.92 ^ mprj/u_mbist1/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.07 ^ mprj/u_mbist1/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist1/clknet_0_wb_clk2_i (net)
+                  0.04    0.00    3.07 ^ mprj/u_mbist1/clkbuf_1_0__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.19 ^ mprj/u_mbist1/clkbuf_1_0__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_mbist1/clknet_1_0__leaf_wb_clk2_i (net)
+                  0.03    0.00    3.19 ^ mprj/u_mbist1/wire14/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.27    0.29    3.48 ^ mprj/u_mbist1/wire14/X (sky130_fd_sc_hd__clkbuf_4)
+     8    0.09                           mprj/u_mbist1/net2107 (net)
+                  0.27    0.01    3.49 ^ mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_8)
+                  0.04    0.22    3.71 ^ mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.00                           mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.04    0.00    3.71 ^ mprj/u_mbist1/wire13/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.27    3.98 ^ mprj/u_mbist1/wire13/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mbist1/net2106 (net)
+                  0.26    0.00    3.98 ^ mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.28    4.26 ^ mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.12                           mprj/u_mbist1/clknet_0_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.13    0.00    4.26 ^ mprj/u_mbist1/clkbuf_3_3__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.18    4.45 ^ mprj/u_mbist1/clkbuf_3_3__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.04                           mprj/u_mbist1/clknet_3_3__leaf_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.06    0.00    4.45 ^ mprj/u_mbist1/_4090_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    4.55   clock uncertainty
+                         -0.16    4.38   clock reconvergence pessimism
+                         -0.03    4.35   library hold time
+                                  4.35   data required time
+-----------------------------------------------------------------------------
+                                  4.35   data required time
+                                 -4.63   data arrival time
+-----------------------------------------------------------------------------
+                                  0.28   slack (MET)
+
+
+Startpoint: mprj/u_intercon/_4160_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist1/_4601_
+          (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Path Group: wbs_clk_i
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input317/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.21    0.26    0.26 ^ mprj/u_intercon/input317/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.02                           mprj/u_intercon/net317 (net)
+                  0.21    0.00    0.26 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.14    0.40 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d1 (net)
+                  0.09    0.00    0.40 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    0.51 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d2 (net)
+                  0.07    0.00    0.51 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d3 (net)
+                  0.08    0.00    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    0.73 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d4 (net)
+                  0.07    0.00    0.73 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    0.84 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d5 (net)
+                  0.08    0.00    0.84 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d6 (net)
+                  0.07    0.00    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d7 (net)
+                  0.08    0.00    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    1.17 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d8 (net)
+                  0.08    0.00    1.17 ^ mprj/u_intercon/u_skew_wi.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    1.28 ^ mprj/u_intercon/u_skew_wi.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d9 (net)
+                  0.08    0.00    1.28 ^ mprj/u_intercon/u_skew_wi.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.12    1.40 ^ mprj/u_intercon/u_skew_wi.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d10 (net)
+                  0.09    0.00    1.40 ^ mprj/u_intercon/u_skew_wi.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.52 ^ mprj/u_intercon/u_skew_wi.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_intercon/u_skew_wi.d05 (net)
+                  0.04    0.00    1.52 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.13    1.64 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_intercon/u_skew_wi.d12 (net)
+                  0.06    0.00    1.65 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12    1.77 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_intercon/u_skew_wi.d21 (net)
+                  0.05    0.00    1.77 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.13    1.90 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_intercon/net626 (net)
+                  0.06    0.00    1.90 ^ mprj/u_intercon/output626/A (sky130_fd_sc_hd__buf_2)
+                  0.06    0.12    2.02 ^ mprj/u_intercon/output626/X (sky130_fd_sc_hd__buf_2)
+     2    0.01                           mprj/wbd_clk_wi_skew (net)
+                  0.06    0.00    2.02 ^ mprj/u_intercon/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.24    0.24    2.26 ^ mprj/u_intercon/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.04                           mprj/u_intercon/net1226 (net)
+                  0.24    0.00    2.26 ^ mprj/u_intercon/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.14    0.23    2.50 ^ mprj/u_intercon/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.05                           mprj/u_intercon/net1225 (net)
+                  0.14    0.00    2.50 ^ mprj/u_intercon/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.16    2.65 ^ mprj/u_intercon/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/u_intercon/net1224 (net)
+                  0.09    0.01    2.66 ^ mprj/u_intercon/clkbuf_0_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.15    2.81 ^ mprj/u_intercon/clkbuf_0_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_intercon/clknet_0_clk_i (net)
+                  0.05    0.00    2.81 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    2.93 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_intercon/clknet_1_1_0_clk_i (net)
+                  0.04    0.00    2.93 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12    3.05 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_intercon/clknet_1_1_1_clk_i (net)
+                  0.05    0.00    3.05 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    3.16 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_intercon/clknet_1_1_2_clk_i (net)
+                  0.03    0.00    3.16 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.13    3.29 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_intercon/clknet_1_1_3_clk_i (net)
+                  0.06    0.00    3.30 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    3.44 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_intercon/clknet_2_2_0_clk_i (net)
+                  0.06    0.00    3.44 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    3.56 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_intercon/clknet_3_5_0_clk_i (net)
+                  0.04    0.00    3.56 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.21    0.24    3.80 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.12                           mprj/u_intercon/clknet_3_5_1_clk_i (net)
+                  0.21    0.01    3.81 ^ mprj/u_intercon/clkbuf_leaf_18_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.23    4.04 ^ mprj/u_intercon/clkbuf_leaf_18_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.10                           mprj/u_intercon/clknet_leaf_18_clk_i (net)
+                  0.12    0.00    4.04 ^ mprj/u_intercon/_4160_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.07    0.35    4.39 ^ mprj/u_intercon/_4160_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_intercon/u_s3.u_sync_wbb.s_cmd_rd_data_l[48] (net)
+                  0.07    0.00    4.39 ^ mprj/u_intercon/_2176_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    4.56 ^ mprj/u_intercon/_2176_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_intercon/net609 (net)
+                  0.10    0.00    4.56 ^ mprj/u_intercon/output609/A (sky130_fd_sc_hd__buf_2)
+                  0.18    0.21    4.77 ^ mprj/u_intercon/output609/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/wbd_mbist1_dat_o[30] (net)
+                  0.18    0.00    4.77 ^ mprj/u_mbist1/input183/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.13    4.90 ^ mprj/u_mbist1/input183/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_mbist1/net183 (net)
+                  0.07    0.00    4.90 ^ mprj/u_mbist1/_3917_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    5.03 ^ mprj/u_mbist1/_3917_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/_0551_ (net)
+                  0.05    0.00    5.03 ^ mprj/u_mbist1/_4601_/D (sky130_fd_sc_hd__dfrtp_4)
                                   5.03   data arrival time
 
                           0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.27    0.36    0.36 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     2    0.03                           mprj/u_intercon/net2 (net)
-                  0.27    0.00    0.36 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.13    0.50 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net319 (net)
-                  0.06    0.00    0.50 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
-                  0.15    0.19    0.69 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
-                  0.15    0.00    0.69 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    0.86 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net198 (net)
-                  0.12    0.00    0.86 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.70 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    1.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d03 (net)
-                  0.04    0.00    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
-                  0.04    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.23 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/net427 (net)
-                  0.05    0.00    2.23 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.09 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist0_skew (net)
-                  1.11    0.05    3.14 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.48 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.48 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.63 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00    3.63 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    3.76 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00    3.76 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    3.88 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00    3.88 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.41    4.29 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
-                  0.44    0.01    4.30 ^ mprj/u_mbist0/clkbuf_opt_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.24    4.54 ^ mprj/u_mbist0/clkbuf_opt_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_6_0_wb_clk_i (net)
-                  0.05    0.00    4.54 ^ mprj/u_mbist0/clkbuf_opt_6_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.67 ^ mprj/u_mbist0/clkbuf_opt_6_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_6_1_wb_clk_i (net)
-                  0.04    0.00    4.67 ^ mprj/u_mbist0/clkbuf_opt_6_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.14    4.82 ^ mprj/u_mbist0/clkbuf_opt_6_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.04                           mprj/u_mbist0/clknet_opt_6_2_wb_clk_i (net)
-                  0.06    0.00    4.82 ^ mprj/u_mbist0/clkbuf_leaf_17_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.19    5.01 ^ mprj/u_mbist0/clkbuf_leaf_17_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_mbist0/clknet_leaf_17_wb_clk_i (net)
-                  0.11    0.00    5.01 ^ mprj/u_mbist0/_4595_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                          0.10    5.11   clock uncertainty
-                          0.00    5.11   clock reconvergence pessimism
-                         -0.03    5.09   library hold time
-                                  5.09   data required time
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.31    0.31 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00    0.32 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.23    0.55 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03    0.58 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.21    0.80 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02    0.81 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.12    0.94 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00    0.94 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.17    1.10 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00    1.11 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.16    1.26 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00    1.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.50 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.50 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.02 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00    2.02 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.26 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00    2.27 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.25    2.52 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00    2.52 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.20    2.72 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00    2.73 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.17    2.90 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00    2.91 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17    3.08 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00    3.08 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.14    3.22 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00    3.22 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.35 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00    3.35 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    3.46 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00    3.46 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.19    3.66 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00    3.66 ^ mprj/u_mbist1/wire7/A (sky130_fd_sc_hd__buf_8)
+                  0.20    0.24    3.90 ^ mprj/u_mbist1/wire7/X (sky130_fd_sc_hd__buf_8)
+    18    0.12                           mprj/u_mbist1/net2100 (net)
+                  0.20    0.01    3.91 ^ mprj/u_mbist1/clkbuf_opt_5_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    4.09 ^ mprj/u_mbist1/clkbuf_opt_5_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist1/clknet_opt_5_0_wb_clk_i (net)
+                  0.04    0.00    4.09 ^ mprj/u_mbist1/clkbuf_opt_5_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.22 ^ mprj/u_mbist1/clkbuf_opt_5_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist1/clknet_opt_5_1_wb_clk_i (net)
+                  0.04    0.00    4.22 ^ mprj/u_mbist1/clkbuf_opt_5_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.35 ^ mprj/u_mbist1/clkbuf_opt_5_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist1/clknet_opt_5_2_wb_clk_i (net)
+                  0.04    0.00    4.35 ^ mprj/u_mbist1/clkbuf_opt_5_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.48 ^ mprj/u_mbist1/clkbuf_opt_5_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist1/clknet_opt_5_3_wb_clk_i (net)
+                  0.04    0.00    4.49 ^ mprj/u_mbist1/clkbuf_leaf_16_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.18    4.67 ^ mprj/u_mbist1/clkbuf_leaf_16_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           mprj/u_mbist1/clknet_leaf_16_wb_clk_i (net)
+                  0.10    0.00    4.67 ^ mprj/u_mbist1/_4601_/CLK (sky130_fd_sc_hd__dfrtp_4)
+                          0.10    4.77   clock uncertainty
+                          0.00    4.77   clock reconvergence pessimism
+                         -0.03    4.74   library hold time
+                                  4.74   data required time
 -----------------------------------------------------------------------------
-                                  5.09   data required time
+                                  4.74   data required time
                                  -5.03   data arrival time
 -----------------------------------------------------------------------------
-                                 -0.06   slack (VIOLATED)
+                                  0.29   slack (MET)
 
 
-Startpoint: mprj/u_intercon/_3894_
+Startpoint: mprj/u_mbist0/_4318_
             (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist1/_4534_
+Endpoint: mprj/u_mbist0/_4463_
           (rising edge-triggered flip-flop clocked by wbs_clk_i)
 Path Group: wbs_clk_i
 Path Type: min
@@ -76771,404 +88944,344 @@
 -----------------------------------------------------------------------------
                           0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input317/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.20    0.25    0.26 ^ mprj/u_intercon/input317/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.02                           mprj/u_intercon/net317 (net)
-                  0.20    0.00    0.26 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.14    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d1 (net)
-                  0.09    0.00    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d2 (net)
-                  0.07    0.00    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d3 (net)
-                  0.10    0.00    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d4 (net)
-                  0.08    0.00    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d5 (net)
-                  0.08    0.00    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d6 (net)
-                  0.07    0.00    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d7 (net)
-                  0.08    0.00    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.18 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d8 (net)
-                  0.08    0.00    1.18 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d04 (net)
-                  0.05    0.00    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.12    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d12 (net)
-                  0.06    0.00    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d21 (net)
-                  0.05    0.00    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    1.69 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_intercon/net626 (net)
-                  0.07    0.00    1.69 ^ mprj/u_intercon/output626/A (sky130_fd_sc_hd__buf_2)
-                  0.80    0.60    2.29 ^ mprj/u_intercon/output626/X (sky130_fd_sc_hd__buf_2)
-     2    0.17                           mprj/wbd_clk_wi_skew (net)
-                  0.81    0.04    2.33 ^ mprj/u_intercon/clkbuf_0_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.28    2.61 ^ mprj/u_intercon/clkbuf_0_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_intercon/clknet_0_clk_i (net)
-                  0.07    0.00    2.61 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.74 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_intercon/clknet_1_1_0_clk_i (net)
-                  0.04    0.00    2.74 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.86 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_1_clk_i (net)
-                  0.04    0.00    2.86 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.11    2.97 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_2_clk_i (net)
-                  0.04    0.00    2.97 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.13    3.11 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_1_1_3_clk_i (net)
-                  0.07    0.00    3.11 ^ mprj/u_intercon/clkbuf_2_3_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.15    3.25 ^ mprj/u_intercon/clkbuf_2_3_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_2_3_0_clk_i (net)
-                  0.07    0.00    3.26 ^ mprj/u_intercon/clkbuf_3_6_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    3.38 ^ mprj/u_intercon/clkbuf_3_6_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_3_6_0_clk_i (net)
-                  0.04    0.00    3.38 ^ mprj/u_intercon/clkbuf_3_6_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.26    0.27    3.65 ^ mprj/u_intercon/clkbuf_3_6_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.15                           mprj/u_intercon/clknet_3_6_1_clk_i (net)
-                  0.26    0.00    3.65 ^ mprj/u_intercon/clkbuf_leaf_15_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.12    0.25    3.90 ^ mprj/u_intercon/clkbuf_leaf_15_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.11                           mprj/u_intercon/clknet_leaf_15_clk_i (net)
-                  0.12    0.00    3.90 ^ mprj/u_intercon/_3894_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.04    0.32    4.23 ^ mprj/u_intercon/_3894_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.00                           mprj/u_intercon/u_s3.u_sync_wbb.wbs_ack_f (net)
-                  0.04    0.00    4.23 ^ mprj/u_intercon/_1870_/A_N (sky130_fd_sc_hd__and2b_4)
-                  0.16    0.28    4.51 v mprj/u_intercon/_1870_/X (sky130_fd_sc_hd__and2b_4)
-    10    0.12                           mprj/u_intercon/net585 (net)
-                  0.16    0.01    4.52 v mprj/u_intercon/_4694_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.03    0.13    4.65 v mprj/u_intercon/_4694_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net622 (net)
-                  0.03    0.00    4.65 v mprj/u_intercon/output622/A (sky130_fd_sc_hd__buf_2)
-                  0.09    0.16    4.81 v mprj/u_intercon/output622/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_mbist1_stb_o (net)
-                  0.09    0.00    4.81 v mprj/u_mbist1/input196/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.13    0.19    5.01 v mprj/u_mbist1/input196/X (sky130_fd_sc_hd__clkbuf_2)
-     6    0.03                           mprj/u_mbist1/net196 (net)
-                  0.13    0.00    5.01 v mprj/u_mbist1/_3779_/A2 (sky130_fd_sc_hd__a31o_1)
-                  0.04    0.23    5.24 v mprj/u_mbist1/_3779_/X (sky130_fd_sc_hd__a31o_1)
-     1    0.00                           mprj/u_mbist1/_1809_ (net)
-                  0.04    0.00    5.24 v mprj/u_mbist1/_3780_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.26    5.51 v mprj/u_mbist1/_3780_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/_0484_ (net)
-                  0.05    0.00    5.51 v mprj/u_mbist1/_4534_/D (sky130_fd_sc_hd__dfrtp_2)
-                                  5.51   data arrival time
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.41    0.41 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00    0.41 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.19    0.60 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00    0.60 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.21    0.81 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00    0.81 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    0.97 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00    0.97 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    1.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00    1.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.44 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00    1.44 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12    1.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00    1.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00    1.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.93 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00    1.93 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23    2.16 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00    2.16 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23    2.39 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00    2.40 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19    2.59 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00    2.59 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16    2.75 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00    2.75 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16    2.92 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00    2.92 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.05 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_0_0_wb_clk_i (net)
+                  0.05    0.00    3.05 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.18 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_0_1_wb_clk_i (net)
+                  0.05    0.00    3.18 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    3.29 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_0_2_wb_clk_i (net)
+                  0.03    0.00    3.29 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.17    3.46 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist0/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.47 ^ mprj/u_mbist0/clkbuf_leaf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.21    3.67 ^ mprj/u_mbist0/clkbuf_leaf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.10                           mprj/u_mbist0/clknet_leaf_0_wb_clk_i (net)
+                  0.12    0.00    3.68 ^ mprj/u_mbist0/_4318_/CLK (sky130_fd_sc_hd__dfstp_1)
+                  0.10    0.42    4.10 v mprj/u_mbist0/_4318_/Q (sky130_fd_sc_hd__dfstp_1)
+     2    0.02                           mprj/u_mbist0/u_mbist.bist_addr_sdo (net)
+                  0.10    0.00    4.10 v mprj/u_mbist0/_3550_/A1 (sky130_fd_sc_hd__a221o_1)
+                  0.06    0.32    4.42 v mprj/u_mbist0/_3550_/X (sky130_fd_sc_hd__a221o_1)
+     1    0.00                           mprj/u_mbist0/_0416_ (net)
+                  0.06    0.00    4.42 v mprj/u_mbist0/_4463_/D (sky130_fd_sc_hd__dfstp_1)
+                                  4.42   data arrival time
 
                           0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.24    0.53 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.44    0.44 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00    0.45 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.20    0.65 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00    0.65 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.23    0.87 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00    0.88 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.17    1.04 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00    1.04 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.12    1.55 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00    1.55 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.08 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00    2.08 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.33 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00    2.33 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.25    2.58 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00    2.58 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.20    2.79 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00    2.79 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.17    2.97 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00    2.97 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17    3.14 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00    3.14 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.28 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_0_0_wb_clk_i (net)
+                  0.05    0.00    3.28 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.42 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_0_1_wb_clk_i (net)
+                  0.05    0.00    3.43 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    3.55 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_0_2_wb_clk_i (net)
+                  0.03    0.00    3.55 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    3.73 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist0/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.74 ^ mprj/u_mbist0/wire4/A (sky130_fd_sc_hd__buf_4)
+                  0.26    0.30    4.04 ^ mprj/u_mbist0/wire4/X (sky130_fd_sc_hd__buf_4)
+    10    0.09                           mprj/u_mbist0/net2097 (net)
+                  0.26    0.00    4.04 ^ mprj/u_mbist0/clkbuf_leaf_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.26    4.30 ^ mprj/u_mbist0/clkbuf_leaf_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           mprj/u_mbist0/clknet_leaf_1_wb_clk_i (net)
+                  0.11    0.00    4.30 ^ mprj/u_mbist0/_4463_/CLK (sky130_fd_sc_hd__dfstp_1)
+                          0.10    4.40   clock uncertainty
+                         -0.27    4.13   clock reconvergence pessimism
+                          0.00    4.13   library hold time
+                                  4.13   data required time
+-----------------------------------------------------------------------------
+                                  4.13   data required time
+                                 -4.42   data arrival time
+-----------------------------------------------------------------------------
+                                  0.29   slack (MET)
+
+
+Startpoint: mprj/u_mbist1/_4318_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist1/_4463_
+          (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Path Group: wbs_clk_i
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.22    0.51 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
      2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.21    0.76 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+                  0.20    0.03    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.20    0.74 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
      2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02    0.77 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.13    0.90 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.02    0.76 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11    0.87 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00    0.90 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.17    1.07 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+                  0.06    0.00    0.87 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.16    1.02 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
      2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00    1.07 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    1.22 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.00    1.03 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    1.17 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00    1.22 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00    1.17 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    1.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.00    1.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    2.07 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    2.07 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00    1.39 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12    1.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00    1.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00    1.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13    1.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
      1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.60 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00    1.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.87 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00    2.60 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.46 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05    3.51 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.84 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00    1.87 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23    2.10 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00    2.10 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23    2.33 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00    2.34 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19    2.53 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00    2.53 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16    2.69 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00    2.70 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16    2.86 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.85 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.99 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00    3.99 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.13 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00    4.13 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.24 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00    4.25 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.41    4.66 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
-                  0.44    0.02    4.67 ^ mprj/u_mbist1/clkbuf_opt_5_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.24    4.91 ^ mprj/u_mbist1/clkbuf_opt_5_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_5_0_wb_clk_i (net)
-                  0.05    0.00    4.91 ^ mprj/u_mbist1/clkbuf_opt_5_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.04 ^ mprj/u_mbist1/clkbuf_opt_5_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_5_1_wb_clk_i (net)
-                  0.04    0.00    5.05 ^ mprj/u_mbist1/clkbuf_opt_5_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.17 ^ mprj/u_mbist1/clkbuf_opt_5_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_5_2_wb_clk_i (net)
-                  0.04    0.00    5.18 ^ mprj/u_mbist1/clkbuf_opt_5_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    5.31 ^ mprj/u_mbist1/clkbuf_opt_5_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_5_3_wb_clk_i (net)
-                  0.05    0.00    5.31 ^ mprj/u_mbist1/clkbuf_leaf_16_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.18    5.49 ^ mprj/u_mbist1/clkbuf_leaf_16_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_mbist1/clknet_leaf_16_wb_clk_i (net)
-                  0.10    0.00    5.49 ^ mprj/u_mbist1/_4534_/CLK (sky130_fd_sc_hd__dfrtp_2)
-                          0.10    5.59   clock uncertainty
-                          0.00    5.59   clock reconvergence pessimism
-                         -0.03    5.56   library hold time
-                                  5.56   data required time
------------------------------------------------------------------------------
-                                  5.56   data required time
-                                 -5.51   data arrival time
------------------------------------------------------------------------------
-                                 -0.05   slack (VIOLATED)
-
-
-Startpoint: mprj/u_intercon/_4164_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist1/_4501_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input317/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.20    0.25    0.26 ^ mprj/u_intercon/input317/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.02                           mprj/u_intercon/net317 (net)
-                  0.20    0.00    0.26 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.14    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d1 (net)
-                  0.09    0.00    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d2 (net)
-                  0.07    0.00    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d3 (net)
-                  0.10    0.00    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d4 (net)
-                  0.08    0.00    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d5 (net)
-                  0.08    0.00    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d6 (net)
-                  0.07    0.00    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d7 (net)
-                  0.08    0.00    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.18 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d8 (net)
-                  0.08    0.00    1.18 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d04 (net)
-                  0.05    0.00    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.12    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d12 (net)
-                  0.06    0.00    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d21 (net)
-                  0.05    0.00    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    1.69 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_intercon/net626 (net)
-                  0.07    0.00    1.69 ^ mprj/u_intercon/output626/A (sky130_fd_sc_hd__buf_2)
-                  0.80    0.60    2.29 ^ mprj/u_intercon/output626/X (sky130_fd_sc_hd__buf_2)
-     2    0.17                           mprj/wbd_clk_wi_skew (net)
-                  0.81    0.04    2.33 ^ mprj/u_intercon/clkbuf_0_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.28    2.61 ^ mprj/u_intercon/clkbuf_0_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_intercon/clknet_0_clk_i (net)
-                  0.07    0.00    2.61 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.74 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_intercon/clknet_1_1_0_clk_i (net)
-                  0.04    0.00    2.74 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.86 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_1_clk_i (net)
-                  0.04    0.00    2.86 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.11    2.97 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_2_clk_i (net)
-                  0.04    0.00    2.97 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.13    3.11 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_1_1_3_clk_i (net)
-                  0.07    0.00    3.11 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    3.25 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_2_2_0_clk_i (net)
-                  0.06    0.00    3.25 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    3.37 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_3_5_0_clk_i (net)
-                  0.04    0.00    3.37 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.21    0.24    3.61 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.12                           mprj/u_intercon/clknet_3_5_1_clk_i (net)
-                  0.21    0.00    3.61 ^ mprj/u_intercon/clkbuf_leaf_17_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.22    3.83 ^ mprj/u_intercon/clkbuf_leaf_17_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.08                           mprj/u_intercon/clknet_leaf_17_clk_i (net)
-                  0.10    0.00    3.84 ^ mprj/u_intercon/_4164_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.08    0.35    4.19 ^ mprj/u_intercon/_4164_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_intercon/u_s3.u_sync_wbb.s_cmd_rd_data_l[54] (net)
-                  0.08    0.00    4.19 ^ mprj/u_intercon/_2182_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.28    0.29    4.48 ^ mprj/u_intercon/_2182_/X (sky130_fd_sc_hd__mux2_1)
-     2    0.03                           mprj/u_intercon/net578 (net)
-                  0.28    0.00    4.48 ^ mprj/u_intercon/output578/A (sky130_fd_sc_hd__buf_2)
-                  0.18    0.26    4.74 ^ mprj/u_intercon/output578/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_mbist1_adr_o[3] (net)
-                  0.18    0.00    4.75 ^ mprj/u_mbist1/input142/A (sky130_fd_sc_hd__buf_2)
-                  0.34    0.35    5.09 ^ mprj/u_mbist1/input142/X (sky130_fd_sc_hd__buf_2)
-     6    0.07                           mprj/u_mbist1/net142 (net)
-                  0.34    0.00    5.10 ^ mprj/u_mbist1/_3694_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.18    5.28 ^ mprj/u_mbist1/_3694_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/_1757_ (net)
-                  0.04    0.00    5.28 ^ mprj/u_mbist1/_3695_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    5.39 ^ mprj/u_mbist1/_3695_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/_0451_ (net)
-                  0.04    0.00    5.39 ^ mprj/u_mbist1/_4501_/D (sky130_fd_sc_hd__dfrtp_2)
-                                  5.39   data arrival time
+                  0.06    0.00    2.86 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    2.99 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_0_0_wb_clk_i (net)
+                  0.05    0.00    2.99 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.12 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_0_1_wb_clk_i (net)
+                  0.05    0.00    3.12 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    3.23 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_0_2_wb_clk_i (net)
+                  0.03    0.00    3.23 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.17    3.41 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist1/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.41 ^ mprj/u_mbist1/clkbuf_leaf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.21    3.61 ^ mprj/u_mbist1/clkbuf_leaf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.10                           mprj/u_mbist1/clknet_leaf_0_wb_clk_i (net)
+                  0.12    0.00    3.62 ^ mprj/u_mbist1/_4318_/CLK (sky130_fd_sc_hd__dfstp_1)
+                  0.10    0.42    4.04 v mprj/u_mbist1/_4318_/Q (sky130_fd_sc_hd__dfstp_1)
+     2    0.02                           mprj/u_mbist1/u_mbist.bist_addr_sdo (net)
+                  0.10    0.00    4.04 v mprj/u_mbist1/_3550_/A1 (sky130_fd_sc_hd__a221o_1)
+                  0.06    0.32    4.36 v mprj/u_mbist1/_3550_/X (sky130_fd_sc_hd__a221o_1)
+     1    0.00                           mprj/u_mbist1/_0416_ (net)
+                  0.06    0.00    4.36 v mprj/u_mbist1/_4463_/D (sky130_fd_sc_hd__dfstp_1)
+                                  4.36   data arrival time
 
                           0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.24    0.53 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.31    0.31 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00    0.32 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.23    0.55 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
      2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.21    0.76 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+                  0.20    0.03    0.58 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.21    0.80 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
      2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02    0.77 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.13    0.90 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.02    0.81 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.12    0.94 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00    0.90 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.17    1.07 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+                  0.06    0.00    0.94 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.17    1.10 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
      2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00    1.07 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    1.22 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.00    1.11 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.16    1.26 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00    1.22 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00    1.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.00    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.50 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    2.07 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    2.07 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00    1.50 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
      1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.60 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.02 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00    2.60 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.46 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05    3.51 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.84 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00    2.02 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.26 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00    2.27 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.25    2.52 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00    2.52 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.20    2.72 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00    2.73 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.17    2.90 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00    2.91 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17    3.08 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.85 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.99 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00    3.99 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.13 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00    4.13 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.24 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00    4.25 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.41    4.66 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
-                  0.44    0.02    4.67 ^ mprj/u_mbist1/clkbuf_opt_7_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.24    4.92 ^ mprj/u_mbist1/clkbuf_opt_7_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_7_0_wb_clk_i (net)
-                  0.05    0.00    4.92 ^ mprj/u_mbist1/clkbuf_opt_7_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.05 ^ mprj/u_mbist1/clkbuf_opt_7_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_7_1_wb_clk_i (net)
-                  0.04    0.00    5.05 ^ mprj/u_mbist1/clkbuf_opt_7_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.14    5.19 ^ mprj/u_mbist1/clkbuf_opt_7_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.04                           mprj/u_mbist1/clknet_opt_7_2_wb_clk_i (net)
-                  0.06    0.00    5.19 ^ mprj/u_mbist1/clkbuf_leaf_22_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.09    0.18    5.37 ^ mprj/u_mbist1/clkbuf_leaf_22_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    26    0.08                           mprj/u_mbist1/clknet_leaf_22_wb_clk_i (net)
-                  0.09    0.00    5.37 ^ mprj/u_mbist1/_4501_/CLK (sky130_fd_sc_hd__dfrtp_2)
-                          0.10    5.47   clock uncertainty
-                          0.00    5.47   clock reconvergence pessimism
-                         -0.03    5.45   library hold time
-                                  5.45   data required time
+                  0.06    0.00    3.08 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.22 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_0_0_wb_clk_i (net)
+                  0.05    0.00    3.22 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.36 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_0_1_wb_clk_i (net)
+                  0.05    0.00    3.36 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    3.48 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_0_2_wb_clk_i (net)
+                  0.03    0.00    3.48 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    3.67 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist1/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.67 ^ mprj/u_mbist1/wire4/A (sky130_fd_sc_hd__buf_4)
+                  0.26    0.30    3.97 ^ mprj/u_mbist1/wire4/X (sky130_fd_sc_hd__buf_4)
+    10    0.09                           mprj/u_mbist1/net2097 (net)
+                  0.26    0.00    3.98 ^ mprj/u_mbist1/clkbuf_leaf_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.26    4.24 ^ mprj/u_mbist1/clkbuf_leaf_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           mprj/u_mbist1/clknet_leaf_1_wb_clk_i (net)
+                  0.11    0.00    4.24 ^ mprj/u_mbist1/_4463_/CLK (sky130_fd_sc_hd__dfstp_1)
+                          0.10    4.34   clock uncertainty
+                         -0.27    4.07   clock reconvergence pessimism
+                          0.00    4.07   library hold time
+                                  4.07   data required time
 -----------------------------------------------------------------------------
-                                  5.45   data required time
-                                 -5.39   data arrival time
+                                  4.07   data required time
+                                 -4.36   data arrival time
 -----------------------------------------------------------------------------
-                                 -0.05   slack (VIOLATED)
+                                  0.29   slack (MET)
 
 
-Startpoint: mprj/u_intercon/_3920_
+Startpoint: mprj/u_mbist0/_4150_
             (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist0/_4592_
+Endpoint: mprj/u_mbist0/_4148_
           (rising edge-triggered flip-flop clocked by wbs_clk_i)
 Path Group: wbs_clk_i
 Path Type: min
@@ -77177,192 +89290,2397 @@
 -----------------------------------------------------------------------------
                           0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input317/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.20    0.25    0.26 ^ mprj/u_intercon/input317/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.41    0.41 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00    0.41 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.19    0.60 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00    0.60 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.21    0.81 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00    0.81 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    0.97 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00    0.97 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    1.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00    1.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.44 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00    1.44 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12    1.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00    1.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00    1.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.93 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00    1.93 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23    2.16 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00    2.16 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23    2.39 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00    2.40 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19    2.59 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00    2.59 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16    2.75 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00    2.75 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16    2.92 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00    2.92 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.05 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_0_0_wb_clk_i (net)
+                  0.05    0.00    3.05 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.18 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_0_1_wb_clk_i (net)
+                  0.05    0.00    3.18 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    3.29 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_0_2_wb_clk_i (net)
+                  0.03    0.00    3.29 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.17    3.46 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist0/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.47 ^ mprj/u_mbist0/clkbuf_leaf_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.20    3.66 ^ mprj/u_mbist0/clkbuf_leaf_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           mprj/u_mbist0/clknet_leaf_2_wb_clk_i (net)
+                  0.11    0.00    3.67 ^ mprj/u_mbist0/_4150_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                  0.14    0.43    4.10 ^ mprj/u_mbist0/_4150_/Q (sky130_fd_sc_hd__dfrtp_2)
+     4    0.03                           mprj/u_mbist0/u_mbist.mem_no[2].u_cmp.mask_compare (net)
+                  0.14    0.00    4.10 ^ mprj/u_mbist0/_3099_/A2 (sky130_fd_sc_hd__a21oi_2)
+                  0.06    0.10    4.20 v mprj/u_mbist0/_3099_/Y (sky130_fd_sc_hd__a21oi_2)
+     4    0.01                           mprj/u_mbist0/_1471_ (net)
+                  0.06    0.00    4.20 v mprj/u_mbist0/_3104_/A3 (sky130_fd_sc_hd__a31o_1)
+                  0.03    0.21    4.40 v mprj/u_mbist0/_3104_/X (sky130_fd_sc_hd__a31o_1)
+     1    0.00                           mprj/u_mbist0/_0139_ (net)
+                  0.03    0.00    4.40 v mprj/u_mbist0/_4148_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  4.40   data arrival time
+
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.44    0.44 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00    0.45 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.20    0.65 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00    0.65 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.23    0.87 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00    0.88 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.17    1.04 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00    1.04 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.12    1.55 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00    1.55 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.08 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00    2.08 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.33 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00    2.33 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.25    2.58 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00    2.58 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.20    2.79 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00    2.79 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.17    2.97 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00    2.97 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17    3.14 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00    3.14 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.28 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_0_0_wb_clk_i (net)
+                  0.05    0.00    3.28 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.42 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_0_1_wb_clk_i (net)
+                  0.05    0.00    3.43 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    3.55 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_0_2_wb_clk_i (net)
+                  0.03    0.00    3.55 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    3.73 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist0/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.74 ^ mprj/u_mbist0/wire4/A (sky130_fd_sc_hd__buf_4)
+                  0.26    0.30    4.04 ^ mprj/u_mbist0/wire4/X (sky130_fd_sc_hd__buf_4)
+    10    0.09                           mprj/u_mbist0/net2097 (net)
+                  0.26    0.01    4.04 ^ mprj/u_mbist0/clkbuf_leaf_4_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.26    4.30 ^ mprj/u_mbist0/clkbuf_leaf_4_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           mprj/u_mbist0/clknet_leaf_4_wb_clk_i (net)
+                  0.11    0.00    4.30 ^ mprj/u_mbist0/_4148_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    4.40   clock uncertainty
+                         -0.27    4.13   clock reconvergence pessimism
+                         -0.03    4.11   library hold time
+                                  4.11   data required time
+-----------------------------------------------------------------------------
+                                  4.11   data required time
+                                 -4.40   data arrival time
+-----------------------------------------------------------------------------
+                                  0.30   slack (MET)
+
+
+Startpoint: mprj/u_mbist1/_4150_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist1/_4148_
+          (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Path Group: wbs_clk_i
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.22    0.51 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.20    0.74 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02    0.76 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11    0.87 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00    0.87 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.16    1.02 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00    1.03 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    1.17 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00    1.17 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    1.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.39 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12    1.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00    1.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00    1.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13    1.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.87 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00    1.87 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23    2.10 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00    2.10 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23    2.33 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00    2.34 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19    2.53 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00    2.53 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16    2.69 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00    2.70 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16    2.86 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00    2.86 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    2.99 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_0_0_wb_clk_i (net)
+                  0.05    0.00    2.99 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.12 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_0_1_wb_clk_i (net)
+                  0.05    0.00    3.12 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    3.23 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_0_2_wb_clk_i (net)
+                  0.03    0.00    3.23 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.17    3.41 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist1/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.41 ^ mprj/u_mbist1/clkbuf_leaf_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.20    3.61 ^ mprj/u_mbist1/clkbuf_leaf_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           mprj/u_mbist1/clknet_leaf_2_wb_clk_i (net)
+                  0.11    0.00    3.61 ^ mprj/u_mbist1/_4150_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                  0.14    0.43    4.04 ^ mprj/u_mbist1/_4150_/Q (sky130_fd_sc_hd__dfrtp_2)
+     4    0.03                           mprj/u_mbist1/u_mbist.mem_no[2].u_cmp.mask_compare (net)
+                  0.14    0.00    4.04 ^ mprj/u_mbist1/_3099_/A2 (sky130_fd_sc_hd__a21oi_2)
+                  0.06    0.10    4.14 v mprj/u_mbist1/_3099_/Y (sky130_fd_sc_hd__a21oi_2)
+     4    0.01                           mprj/u_mbist1/_1471_ (net)
+                  0.06    0.00    4.14 v mprj/u_mbist1/_3104_/A3 (sky130_fd_sc_hd__a31o_1)
+                  0.03    0.21    4.34 v mprj/u_mbist1/_3104_/X (sky130_fd_sc_hd__a31o_1)
+     1    0.00                           mprj/u_mbist1/_0139_ (net)
+                  0.03    0.00    4.34 v mprj/u_mbist1/_4148_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  4.34   data arrival time
+
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.31    0.31 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00    0.32 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.23    0.55 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03    0.58 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.21    0.80 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02    0.81 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.12    0.94 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00    0.94 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.17    1.10 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00    1.11 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.16    1.26 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00    1.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.50 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.50 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.02 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00    2.02 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.26 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00    2.27 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.25    2.52 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00    2.52 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.20    2.72 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00    2.73 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.17    2.90 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00    2.91 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17    3.08 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00    3.08 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.22 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_0_0_wb_clk_i (net)
+                  0.05    0.00    3.22 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.36 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_0_1_wb_clk_i (net)
+                  0.05    0.00    3.36 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    3.48 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_0_2_wb_clk_i (net)
+                  0.03    0.00    3.48 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    3.67 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist1/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.67 ^ mprj/u_mbist1/wire4/A (sky130_fd_sc_hd__buf_4)
+                  0.26    0.30    3.97 ^ mprj/u_mbist1/wire4/X (sky130_fd_sc_hd__buf_4)
+    10    0.09                           mprj/u_mbist1/net2097 (net)
+                  0.26    0.01    3.98 ^ mprj/u_mbist1/clkbuf_leaf_4_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.26    4.24 ^ mprj/u_mbist1/clkbuf_leaf_4_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           mprj/u_mbist1/clknet_leaf_4_wb_clk_i (net)
+                  0.11    0.00    4.24 ^ mprj/u_mbist1/_4148_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    4.34   clock uncertainty
+                         -0.27    4.08   clock reconvergence pessimism
+                         -0.03    4.05   library hold time
+                                  4.05   data required time
+-----------------------------------------------------------------------------
+                                  4.05   data required time
+                                 -4.34   data arrival time
+-----------------------------------------------------------------------------
+                                  0.30   slack (MET)
+
+
+Startpoint: mprj/u_mbist0/_4112_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist0/_4056_
+          (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Path Group: wbs_clk_i
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.41    0.41 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00    0.41 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.19    0.60 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00    0.60 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.21    0.81 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00    0.81 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    0.97 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00    0.97 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    1.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00    1.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.44 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00    1.44 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12    1.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00    1.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00    1.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.93 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00    1.93 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23    2.16 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00    2.16 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23    2.39 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00    2.40 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19    2.59 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00    2.59 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16    2.75 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00    2.75 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16    2.92 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00    2.92 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.05 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_0_0_wb_clk_i (net)
+                  0.05    0.00    3.05 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.18 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_0_1_wb_clk_i (net)
+                  0.05    0.00    3.18 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    3.29 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_0_2_wb_clk_i (net)
+                  0.03    0.00    3.29 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.17    3.46 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist0/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.46 ^ mprj/u_mbist0/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.25    3.71 ^ mprj/u_mbist0/wire5/X (sky130_fd_sc_hd__buf_4)
+     6    0.08                           mprj/u_mbist0/net2098 (net)
+                  0.21    0.00    3.72 ^ mprj/u_mbist0/clkbuf_leaf_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.24    3.96 ^ mprj/u_mbist0/clkbuf_leaf_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.11                           mprj/u_mbist0/clknet_leaf_3_wb_clk_i (net)
+                  0.13    0.00    3.96 ^ mprj/u_mbist0/_4112_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.36    4.33 ^ mprj/u_mbist0/_4112_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mbist0/u_mbist.bist_error_addr[2][1] (net)
+                  0.08    0.00    4.33 ^ mprj/u_mbist0/fanout1955/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.21    0.21    4.54 ^ mprj/u_mbist0/fanout1955/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist0/net1955 (net)
+                  0.21    0.00    4.54 ^ mprj/u_mbist0/_3009_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.16    4.70 ^ mprj/u_mbist0/_3009_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/_0064_ (net)
+                  0.04    0.00    4.70 ^ mprj/u_mbist0/_4056_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  4.70   data arrival time
+
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.44    0.44 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00    0.45 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.20    0.65 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00    0.65 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.23    0.87 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00    0.88 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.17    1.04 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00    1.04 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.12    1.55 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00    1.55 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.08 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00    2.08 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.33 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00    2.33 ^ mprj/u_mbist0/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.17    0.25    2.58 ^ mprj/u_mbist0/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2103 (net)
+                  0.17    0.00    2.59 ^ mprj/u_mbist0/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.21    2.79 ^ mprj/u_mbist0/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2102 (net)
+                  0.11    0.00    2.80 ^ mprj/u_mbist0/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.18    2.97 ^ mprj/u_mbist0/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2101 (net)
+                  0.11    0.01    2.98 ^ mprj/u_mbist0/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.13 ^ mprj/u_mbist0/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist0/clknet_0_wb_clk2_i (net)
+                  0.04    0.00    3.14 ^ mprj/u_mbist0/clkbuf_1_0__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.25 ^ mprj/u_mbist0/clkbuf_1_0__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_mbist0/clknet_1_0__leaf_wb_clk2_i (net)
+                  0.03    0.00    3.25 ^ mprj/u_mbist0/wire14/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.27    0.29    3.54 ^ mprj/u_mbist0/wire14/X (sky130_fd_sc_hd__clkbuf_4)
+     8    0.09                           mprj/u_mbist0/net2107 (net)
+                  0.27    0.01    3.55 ^ mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_8)
+                  0.04    0.22    3.77 ^ mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.00                           mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.04    0.00    3.77 ^ mprj/u_mbist0/wire13/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.27    4.04 ^ mprj/u_mbist0/wire13/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mbist0/net2106 (net)
+                  0.26    0.00    4.04 ^ mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.28    4.32 ^ mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.12                           mprj/u_mbist0/clknet_0_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.13    0.01    4.33 ^ mprj/u_mbist0/clkbuf_3_2__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    4.50 ^ mprj/u_mbist0/clkbuf_3_2__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_mbist0/clknet_3_2__leaf_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.05    0.00    4.50 ^ mprj/u_mbist0/_4056_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    4.60   clock uncertainty
+                         -0.17    4.43   clock reconvergence pessimism
+                         -0.03    4.40   library hold time
+                                  4.40   data required time
+-----------------------------------------------------------------------------
+                                  4.40   data required time
+                                 -4.70   data arrival time
+-----------------------------------------------------------------------------
+                                  0.30   slack (MET)
+
+
+Startpoint: mprj/u_mbist1/_4112_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist1/_4056_
+          (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Path Group: wbs_clk_i
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.22    0.51 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.20    0.74 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02    0.76 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11    0.87 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00    0.87 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.16    1.02 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00    1.03 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    1.17 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00    1.17 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    1.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.39 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12    1.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00    1.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00    1.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13    1.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.87 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00    1.87 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23    2.10 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00    2.10 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23    2.33 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00    2.34 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19    2.53 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00    2.53 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16    2.69 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00    2.70 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16    2.86 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00    2.86 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    2.99 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_0_0_wb_clk_i (net)
+                  0.05    0.00    2.99 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.12 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_0_1_wb_clk_i (net)
+                  0.05    0.00    3.12 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    3.23 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_0_2_wb_clk_i (net)
+                  0.03    0.00    3.23 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.17    3.41 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist1/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.41 ^ mprj/u_mbist1/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.25    3.66 ^ mprj/u_mbist1/wire5/X (sky130_fd_sc_hd__buf_4)
+     6    0.08                           mprj/u_mbist1/net2098 (net)
+                  0.21    0.00    3.66 ^ mprj/u_mbist1/clkbuf_leaf_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.24    3.90 ^ mprj/u_mbist1/clkbuf_leaf_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.11                           mprj/u_mbist1/clknet_leaf_3_wb_clk_i (net)
+                  0.13    0.00    3.90 ^ mprj/u_mbist1/_4112_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.36    4.27 ^ mprj/u_mbist1/_4112_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mbist1/u_mbist.bist_error_addr[2][1] (net)
+                  0.08    0.00    4.27 ^ mprj/u_mbist1/fanout1955/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.21    0.21    4.48 ^ mprj/u_mbist1/fanout1955/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist1/net1955 (net)
+                  0.21    0.00    4.48 ^ mprj/u_mbist1/_3009_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.16    4.64 ^ mprj/u_mbist1/_3009_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/_0064_ (net)
+                  0.04    0.00    4.64 ^ mprj/u_mbist1/_4056_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  4.64   data arrival time
+
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.31    0.31 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00    0.32 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.23    0.55 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03    0.58 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.21    0.80 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02    0.81 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.12    0.94 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00    0.94 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.17    1.10 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00    1.11 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.16    1.26 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00    1.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.50 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.50 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.02 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00    2.02 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.26 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00    2.27 ^ mprj/u_mbist1/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.17    0.25    2.52 ^ mprj/u_mbist1/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2103 (net)
+                  0.17    0.00    2.52 ^ mprj/u_mbist1/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.21    2.73 ^ mprj/u_mbist1/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2102 (net)
+                  0.11    0.00    2.73 ^ mprj/u_mbist1/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.18    2.91 ^ mprj/u_mbist1/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2101 (net)
+                  0.11    0.01    2.92 ^ mprj/u_mbist1/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.07 ^ mprj/u_mbist1/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist1/clknet_0_wb_clk2_i (net)
+                  0.04    0.00    3.07 ^ mprj/u_mbist1/clkbuf_1_0__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.19 ^ mprj/u_mbist1/clkbuf_1_0__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_mbist1/clknet_1_0__leaf_wb_clk2_i (net)
+                  0.03    0.00    3.19 ^ mprj/u_mbist1/wire14/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.27    0.29    3.48 ^ mprj/u_mbist1/wire14/X (sky130_fd_sc_hd__clkbuf_4)
+     8    0.09                           mprj/u_mbist1/net2107 (net)
+                  0.27    0.01    3.49 ^ mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_8)
+                  0.04    0.22    3.71 ^ mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.00                           mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.04    0.00    3.71 ^ mprj/u_mbist1/wire13/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.27    3.98 ^ mprj/u_mbist1/wire13/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mbist1/net2106 (net)
+                  0.26    0.00    3.98 ^ mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.28    4.26 ^ mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.12                           mprj/u_mbist1/clknet_0_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.13    0.01    4.26 ^ mprj/u_mbist1/clkbuf_3_2__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    4.44 ^ mprj/u_mbist1/clkbuf_3_2__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_mbist1/clknet_3_2__leaf_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.05    0.00    4.44 ^ mprj/u_mbist1/_4056_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    4.54   clock uncertainty
+                         -0.16    4.37   clock reconvergence pessimism
+                         -0.03    4.34   library hold time
+                                  4.34   data required time
+-----------------------------------------------------------------------------
+                                  4.34   data required time
+                                 -4.64   data arrival time
+-----------------------------------------------------------------------------
+                                  0.30   slack (MET)
+
+
+Startpoint: mprj/u_mbist1/_4150_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist1/_4146_
+          (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Path Group: wbs_clk_i
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.22    0.51 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.20    0.74 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02    0.76 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11    0.87 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00    0.87 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.16    1.02 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00    1.03 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    1.17 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00    1.17 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    1.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.39 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12    1.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00    1.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00    1.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13    1.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.87 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00    1.87 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23    2.10 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00    2.10 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23    2.33 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00    2.34 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19    2.53 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00    2.53 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16    2.69 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00    2.70 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16    2.86 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00    2.86 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    2.99 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_0_0_wb_clk_i (net)
+                  0.05    0.00    2.99 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.12 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_0_1_wb_clk_i (net)
+                  0.05    0.00    3.12 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    3.23 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_0_2_wb_clk_i (net)
+                  0.03    0.00    3.23 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.17    3.41 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist1/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.41 ^ mprj/u_mbist1/clkbuf_leaf_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.20    3.61 ^ mprj/u_mbist1/clkbuf_leaf_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           mprj/u_mbist1/clknet_leaf_2_wb_clk_i (net)
+                  0.11    0.00    3.61 ^ mprj/u_mbist1/_4150_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                  0.14    0.43    4.04 ^ mprj/u_mbist1/_4150_/Q (sky130_fd_sc_hd__dfrtp_2)
+     4    0.03                           mprj/u_mbist1/u_mbist.mem_no[2].u_cmp.mask_compare (net)
+                  0.14    0.00    4.04 ^ mprj/u_mbist1/_3099_/A2 (sky130_fd_sc_hd__a21oi_2)
+                  0.06    0.10    4.14 v mprj/u_mbist1/_3099_/Y (sky130_fd_sc_hd__a21oi_2)
+     4    0.01                           mprj/u_mbist1/_1471_ (net)
+                  0.06    0.00    4.14 v mprj/u_mbist1/_3100_/B (sky130_fd_sc_hd__nand2_1)
+                  0.08    0.10    4.23 ^ mprj/u_mbist1/_3100_/Y (sky130_fd_sc_hd__nand2_1)
+     2    0.01                           mprj/u_mbist1/_1472_ (net)
+                  0.08    0.00    4.23 ^ mprj/u_mbist1/_3102_/A (sky130_fd_sc_hd__and2_1)
+                  0.04    0.11    4.35 ^ mprj/u_mbist1/_3102_/X (sky130_fd_sc_hd__and2_1)
+     1    0.00                           mprj/u_mbist1/_0137_ (net)
+                  0.04    0.00    4.35 ^ mprj/u_mbist1/_4146_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  4.35   data arrival time
+
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.31    0.31 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00    0.32 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.23    0.55 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03    0.58 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.21    0.80 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02    0.81 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.12    0.94 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00    0.94 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.17    1.10 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00    1.11 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.16    1.26 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00    1.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.50 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.50 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.02 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00    2.02 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.26 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00    2.27 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.25    2.52 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00    2.52 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.20    2.72 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00    2.73 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.17    2.90 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00    2.91 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17    3.08 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00    3.08 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.22 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_0_0_wb_clk_i (net)
+                  0.05    0.00    3.22 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.36 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_0_1_wb_clk_i (net)
+                  0.05    0.00    3.36 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    3.48 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_0_2_wb_clk_i (net)
+                  0.03    0.00    3.48 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    3.67 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist1/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.67 ^ mprj/u_mbist1/wire4/A (sky130_fd_sc_hd__buf_4)
+                  0.26    0.30    3.97 ^ mprj/u_mbist1/wire4/X (sky130_fd_sc_hd__buf_4)
+    10    0.09                           mprj/u_mbist1/net2097 (net)
+                  0.26    0.00    3.98 ^ mprj/u_mbist1/clkbuf_leaf_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.26    4.24 ^ mprj/u_mbist1/clkbuf_leaf_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           mprj/u_mbist1/clknet_leaf_1_wb_clk_i (net)
+                  0.11    0.00    4.24 ^ mprj/u_mbist1/_4146_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    4.34   clock uncertainty
+                         -0.27    4.07   clock reconvergence pessimism
+                         -0.03    4.05   library hold time
+                                  4.05   data required time
+-----------------------------------------------------------------------------
+                                  4.05   data required time
+                                 -4.35   data arrival time
+-----------------------------------------------------------------------------
+                                  0.30   slack (MET)
+
+
+Startpoint: mprj/u_mbist0/_4150_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist0/_4146_
+          (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Path Group: wbs_clk_i
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.41    0.41 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00    0.41 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.19    0.60 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00    0.60 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.21    0.81 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00    0.81 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    0.97 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00    0.97 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    1.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00    1.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.44 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00    1.44 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12    1.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00    1.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00    1.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.93 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00    1.93 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23    2.16 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00    2.16 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23    2.39 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00    2.40 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19    2.59 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00    2.59 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16    2.75 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00    2.75 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16    2.92 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00    2.92 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.05 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_0_0_wb_clk_i (net)
+                  0.05    0.00    3.05 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.18 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_0_1_wb_clk_i (net)
+                  0.05    0.00    3.18 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    3.29 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_0_2_wb_clk_i (net)
+                  0.03    0.00    3.29 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.17    3.46 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist0/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.47 ^ mprj/u_mbist0/clkbuf_leaf_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.20    3.66 ^ mprj/u_mbist0/clkbuf_leaf_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           mprj/u_mbist0/clknet_leaf_2_wb_clk_i (net)
+                  0.11    0.00    3.67 ^ mprj/u_mbist0/_4150_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                  0.14    0.43    4.10 ^ mprj/u_mbist0/_4150_/Q (sky130_fd_sc_hd__dfrtp_2)
+     4    0.03                           mprj/u_mbist0/u_mbist.mem_no[2].u_cmp.mask_compare (net)
+                  0.14    0.00    4.10 ^ mprj/u_mbist0/_3099_/A2 (sky130_fd_sc_hd__a21oi_2)
+                  0.06    0.10    4.20 v mprj/u_mbist0/_3099_/Y (sky130_fd_sc_hd__a21oi_2)
+     4    0.01                           mprj/u_mbist0/_1471_ (net)
+                  0.06    0.00    4.20 v mprj/u_mbist0/_3100_/B (sky130_fd_sc_hd__nand2_1)
+                  0.08    0.10    4.29 ^ mprj/u_mbist0/_3100_/Y (sky130_fd_sc_hd__nand2_1)
+     2    0.01                           mprj/u_mbist0/_1472_ (net)
+                  0.08    0.00    4.29 ^ mprj/u_mbist0/_3102_/A (sky130_fd_sc_hd__and2_1)
+                  0.04    0.11    4.41 ^ mprj/u_mbist0/_3102_/X (sky130_fd_sc_hd__and2_1)
+     1    0.00                           mprj/u_mbist0/_0137_ (net)
+                  0.04    0.00    4.41 ^ mprj/u_mbist0/_4146_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  4.41   data arrival time
+
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.44    0.44 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00    0.45 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.20    0.65 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00    0.65 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.23    0.87 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00    0.88 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.17    1.04 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00    1.04 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.12    1.55 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00    1.55 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.08 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00    2.08 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.33 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00    2.33 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.25    2.58 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00    2.58 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.20    2.79 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00    2.79 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.17    2.97 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00    2.97 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17    3.14 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00    3.14 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.28 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_0_0_wb_clk_i (net)
+                  0.05    0.00    3.28 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.42 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_0_1_wb_clk_i (net)
+                  0.05    0.00    3.43 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    3.55 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_0_2_wb_clk_i (net)
+                  0.03    0.00    3.55 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    3.73 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist0/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.74 ^ mprj/u_mbist0/wire4/A (sky130_fd_sc_hd__buf_4)
+                  0.26    0.30    4.04 ^ mprj/u_mbist0/wire4/X (sky130_fd_sc_hd__buf_4)
+    10    0.09                           mprj/u_mbist0/net2097 (net)
+                  0.26    0.00    4.04 ^ mprj/u_mbist0/clkbuf_leaf_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.26    4.30 ^ mprj/u_mbist0/clkbuf_leaf_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           mprj/u_mbist0/clknet_leaf_1_wb_clk_i (net)
+                  0.11    0.00    4.30 ^ mprj/u_mbist0/_4146_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    4.40   clock uncertainty
+                         -0.27    4.13   clock reconvergence pessimism
+                         -0.03    4.11   library hold time
+                                  4.11   data required time
+-----------------------------------------------------------------------------
+                                  4.11   data required time
+                                 -4.41   data arrival time
+-----------------------------------------------------------------------------
+                                  0.30   slack (MET)
+
+
+Startpoint: mprj/u_mbist1/_4192_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist1/_4152_
+          (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Path Group: wbs_clk_i
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.22    0.51 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.20    0.74 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02    0.76 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11    0.87 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00    0.87 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.16    1.02 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00    1.03 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    1.17 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00    1.17 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    1.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.39 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12    1.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00    1.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00    1.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13    1.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.87 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00    1.87 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23    2.10 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00    2.10 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23    2.33 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00    2.34 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19    2.53 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00    2.53 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16    2.69 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00    2.70 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16    2.86 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00    2.86 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    2.99 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_0_0_wb_clk_i (net)
+                  0.05    0.00    2.99 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.12 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_0_1_wb_clk_i (net)
+                  0.05    0.00    3.12 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    3.23 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_0_2_wb_clk_i (net)
+                  0.03    0.00    3.23 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.17    3.41 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist1/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.41 ^ mprj/u_mbist1/clkbuf_leaf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.21    3.61 ^ mprj/u_mbist1/clkbuf_leaf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.10                           mprj/u_mbist1/clknet_leaf_0_wb_clk_i (net)
+                  0.12    0.00    3.62 ^ mprj/u_mbist1/_4192_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.05    0.33    3.95 ^ mprj/u_mbist1/_4192_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.00                           mprj/u_mbist1/u_mbist.bist_error_addr[1][0] (net)
+                  0.05    0.00    3.95 ^ mprj/u_mbist1/hold110/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.14    0.59    4.53 ^ mprj/u_mbist1/hold110/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     2    0.01                           mprj/u_mbist1/net2224 (net)
+                  0.14    0.00    4.53 ^ mprj/u_mbist1/fanout1946/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.26    0.28    4.81 ^ mprj/u_mbist1/fanout1946/X (sky130_fd_sc_hd__clkbuf_2)
+     8    0.05                           mprj/u_mbist1/net1946 (net)
+                  0.26    0.00    4.82 ^ mprj/u_mbist1/_3107_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.17    4.99 ^ mprj/u_mbist1/_3107_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/_0142_ (net)
+                  0.04    0.00    4.99 ^ mprj/u_mbist1/_4152_/D (sky130_fd_sc_hd__dfrtp_4)
+                                  4.99   data arrival time
+
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.31    0.31 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00    0.32 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.23    0.55 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03    0.58 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.21    0.80 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02    0.81 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.12    0.94 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00    0.94 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.17    1.10 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00    1.11 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.16    1.26 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00    1.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.50 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.50 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.02 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00    2.02 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.26 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00    2.27 ^ mprj/u_mbist1/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.17    0.25    2.52 ^ mprj/u_mbist1/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2103 (net)
+                  0.17    0.00    2.52 ^ mprj/u_mbist1/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.21    2.73 ^ mprj/u_mbist1/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2102 (net)
+                  0.11    0.00    2.73 ^ mprj/u_mbist1/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.18    2.91 ^ mprj/u_mbist1/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2101 (net)
+                  0.11    0.01    2.92 ^ mprj/u_mbist1/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.07 ^ mprj/u_mbist1/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist1/clknet_0_wb_clk2_i (net)
+                  0.04    0.00    3.07 ^ mprj/u_mbist1/clkbuf_1_1__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.09    0.17    3.24 ^ mprj/u_mbist1/clkbuf_1_1__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.07                           mprj/u_mbist1/clknet_1_1__leaf_wb_clk2_i (net)
+                  0.09    0.00    3.24 ^ mprj/u_mbist1/wire15/A (sky130_fd_sc_hd__buf_2)
+                  0.28    0.30    3.54 ^ mprj/u_mbist1/wire15/X (sky130_fd_sc_hd__buf_2)
+     4    0.06                           mprj/u_mbist1/net2108 (net)
+                  0.28    0.00    3.54 ^ mprj/u_mbist1/u_mbist.mem_no[1].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
+                  0.06    0.25    3.79 ^ mprj/u_mbist1/u_mbist.mem_no[1].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     2    0.02                           mprj/u_mbist1/u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
+                  0.06    0.00    3.79 ^ mprj/u_mbist1/wire12/A (sky130_fd_sc_hd__buf_2)
+                  0.29    0.30    4.09 ^ mprj/u_mbist1/wire12/X (sky130_fd_sc_hd__buf_2)
+     2    0.06                           mprj/u_mbist1/net2105 (net)
+                  0.29    0.00    4.09 ^ mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[1].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    4.35 ^ mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[1].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.08                           mprj/u_mbist1/clknet_0_u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
+                  0.10    0.00    4.35 ^ mprj/u_mbist1/clkbuf_3_3__f_u_mbist.mem_no[1].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    4.50 ^ mprj/u_mbist1/clkbuf_3_3__f_u_mbist.mem_no[1].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     3    0.01                           mprj/u_mbist1/clknet_3_3__leaf_u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
+                  0.04    0.00    4.50 ^ mprj/u_mbist1/wire18/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.21    0.26    4.75 ^ mprj/u_mbist1/wire18/X (sky130_fd_sc_hd__clkbuf_4)
+    16    0.07                           mprj/u_mbist1/net2111 (net)
+                  0.21    0.01    4.76 ^ mprj/u_mbist1/_4152_/CLK (sky130_fd_sc_hd__dfrtp_4)
+                          0.10    4.86   clock uncertainty
+                         -0.16    4.70   clock reconvergence pessimism
+                         -0.01    4.68   library hold time
+                                  4.68   data required time
+-----------------------------------------------------------------------------
+                                  4.68   data required time
+                                 -4.99   data arrival time
+-----------------------------------------------------------------------------
+                                  0.30   slack (MET)
+
+
+Startpoint: mprj/u_mbist0/_4192_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist0/_4152_
+          (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Path Group: wbs_clk_i
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.41    0.41 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00    0.41 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.19    0.60 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00    0.60 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.21    0.81 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00    0.81 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    0.97 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00    0.97 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    1.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00    1.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.44 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00    1.44 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12    1.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00    1.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00    1.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.93 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00    1.93 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23    2.16 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00    2.16 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23    2.39 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00    2.40 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19    2.59 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00    2.59 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16    2.75 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00    2.75 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16    2.92 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00    2.92 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.05 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_0_0_wb_clk_i (net)
+                  0.05    0.00    3.05 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.18 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_0_1_wb_clk_i (net)
+                  0.05    0.00    3.18 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    3.29 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_0_2_wb_clk_i (net)
+                  0.03    0.00    3.29 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.17    3.46 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist0/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.47 ^ mprj/u_mbist0/clkbuf_leaf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.21    3.67 ^ mprj/u_mbist0/clkbuf_leaf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.10                           mprj/u_mbist0/clknet_leaf_0_wb_clk_i (net)
+                  0.12    0.00    3.67 ^ mprj/u_mbist0/_4192_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.05    0.33    4.00 ^ mprj/u_mbist0/_4192_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.00                           mprj/u_mbist0/u_mbist.bist_error_addr[1][0] (net)
+                  0.05    0.00    4.00 ^ mprj/u_mbist0/hold110/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.14    0.59    4.59 ^ mprj/u_mbist0/hold110/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     2    0.01                           mprj/u_mbist0/net2224 (net)
+                  0.14    0.00    4.59 ^ mprj/u_mbist0/fanout1946/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.26    0.28    4.87 ^ mprj/u_mbist0/fanout1946/X (sky130_fd_sc_hd__clkbuf_2)
+     8    0.05                           mprj/u_mbist0/net1946 (net)
+                  0.26    0.00    4.88 ^ mprj/u_mbist0/_3107_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.17    5.05 ^ mprj/u_mbist0/_3107_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/_0142_ (net)
+                  0.04    0.00    5.05 ^ mprj/u_mbist0/_4152_/D (sky130_fd_sc_hd__dfrtp_4)
+                                  5.05   data arrival time
+
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.44    0.44 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00    0.45 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.20    0.65 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00    0.65 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.23    0.87 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00    0.88 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.17    1.04 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00    1.04 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.12    1.55 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00    1.55 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.08 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00    2.08 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.33 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00    2.33 ^ mprj/u_mbist0/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.17    0.25    2.58 ^ mprj/u_mbist0/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2103 (net)
+                  0.17    0.00    2.59 ^ mprj/u_mbist0/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.21    2.79 ^ mprj/u_mbist0/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2102 (net)
+                  0.11    0.00    2.80 ^ mprj/u_mbist0/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.18    2.97 ^ mprj/u_mbist0/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2101 (net)
+                  0.11    0.01    2.98 ^ mprj/u_mbist0/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.13 ^ mprj/u_mbist0/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist0/clknet_0_wb_clk2_i (net)
+                  0.04    0.00    3.14 ^ mprj/u_mbist0/clkbuf_1_1__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.09    0.17    3.30 ^ mprj/u_mbist0/clkbuf_1_1__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.07                           mprj/u_mbist0/clknet_1_1__leaf_wb_clk2_i (net)
+                  0.09    0.00    3.30 ^ mprj/u_mbist0/wire15/A (sky130_fd_sc_hd__buf_2)
+                  0.28    0.30    3.60 ^ mprj/u_mbist0/wire15/X (sky130_fd_sc_hd__buf_2)
+     4    0.06                           mprj/u_mbist0/net2108 (net)
+                  0.28    0.00    3.60 ^ mprj/u_mbist0/u_mbist.mem_no[1].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
+                  0.06    0.25    3.85 ^ mprj/u_mbist0/u_mbist.mem_no[1].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     2    0.02                           mprj/u_mbist0/u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
+                  0.06    0.00    3.86 ^ mprj/u_mbist0/wire12/A (sky130_fd_sc_hd__buf_2)
+                  0.29    0.30    4.15 ^ mprj/u_mbist0/wire12/X (sky130_fd_sc_hd__buf_2)
+     2    0.06                           mprj/u_mbist0/net2105 (net)
+                  0.29    0.00    4.16 ^ mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[1].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    4.41 ^ mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[1].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.08                           mprj/u_mbist0/clknet_0_u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
+                  0.10    0.00    4.42 ^ mprj/u_mbist0/clkbuf_3_3__f_u_mbist.mem_no[1].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    4.56 ^ mprj/u_mbist0/clkbuf_3_3__f_u_mbist.mem_no[1].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     3    0.01                           mprj/u_mbist0/clknet_3_3__leaf_u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
+                  0.04    0.00    4.56 ^ mprj/u_mbist0/wire18/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.21    0.26    4.81 ^ mprj/u_mbist0/wire18/X (sky130_fd_sc_hd__clkbuf_4)
+    16    0.07                           mprj/u_mbist0/net2111 (net)
+                  0.21    0.01    4.82 ^ mprj/u_mbist0/_4152_/CLK (sky130_fd_sc_hd__dfrtp_4)
+                          0.10    4.92   clock uncertainty
+                         -0.17    4.75   clock reconvergence pessimism
+                         -0.01    4.74   library hold time
+                                  4.74   data required time
+-----------------------------------------------------------------------------
+                                  4.74   data required time
+                                 -5.05   data arrival time
+-----------------------------------------------------------------------------
+                                  0.30   slack (MET)
+
+
+Startpoint: mprj/u_mbist1/_4192_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist1/_4161_
+          (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Path Group: wbs_clk_i
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.22    0.51 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.20    0.74 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02    0.76 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11    0.87 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00    0.87 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.16    1.02 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00    1.03 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    1.17 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00    1.17 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    1.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.39 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12    1.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00    1.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00    1.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13    1.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.87 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00    1.87 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23    2.10 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00    2.10 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23    2.33 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00    2.34 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19    2.53 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00    2.53 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16    2.69 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00    2.70 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16    2.86 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00    2.86 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    2.99 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_0_0_wb_clk_i (net)
+                  0.05    0.00    2.99 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.12 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_0_1_wb_clk_i (net)
+                  0.05    0.00    3.12 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    3.23 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_0_2_wb_clk_i (net)
+                  0.03    0.00    3.23 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.17    3.41 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist1/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.41 ^ mprj/u_mbist1/clkbuf_leaf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.21    3.61 ^ mprj/u_mbist1/clkbuf_leaf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.10                           mprj/u_mbist1/clknet_leaf_0_wb_clk_i (net)
+                  0.12    0.00    3.62 ^ mprj/u_mbist1/_4192_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.05    0.33    3.95 ^ mprj/u_mbist1/_4192_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.00                           mprj/u_mbist1/u_mbist.bist_error_addr[1][0] (net)
+                  0.05    0.00    3.95 ^ mprj/u_mbist1/hold110/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.14    0.59    4.53 ^ mprj/u_mbist1/hold110/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     2    0.01                           mprj/u_mbist1/net2224 (net)
+                  0.14    0.00    4.53 ^ mprj/u_mbist1/fanout1946/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.26    0.28    4.81 ^ mprj/u_mbist1/fanout1946/X (sky130_fd_sc_hd__clkbuf_2)
+     8    0.05                           mprj/u_mbist1/net1946 (net)
+                  0.26    0.00    4.82 ^ mprj/u_mbist1/_3117_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.17    4.99 ^ mprj/u_mbist1/_3117_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/_0151_ (net)
+                  0.04    0.00    4.99 ^ mprj/u_mbist1/_4161_/D (sky130_fd_sc_hd__dfrtp_4)
+                                  4.99   data arrival time
+
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.31    0.31 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00    0.32 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.23    0.55 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03    0.58 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.21    0.80 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02    0.81 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.12    0.94 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00    0.94 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.17    1.10 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00    1.11 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.16    1.26 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00    1.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.50 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.50 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.02 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00    2.02 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.26 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00    2.27 ^ mprj/u_mbist1/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.17    0.25    2.52 ^ mprj/u_mbist1/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2103 (net)
+                  0.17    0.00    2.52 ^ mprj/u_mbist1/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.21    2.73 ^ mprj/u_mbist1/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2102 (net)
+                  0.11    0.00    2.73 ^ mprj/u_mbist1/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.18    2.91 ^ mprj/u_mbist1/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2101 (net)
+                  0.11    0.01    2.92 ^ mprj/u_mbist1/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.07 ^ mprj/u_mbist1/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist1/clknet_0_wb_clk2_i (net)
+                  0.04    0.00    3.07 ^ mprj/u_mbist1/clkbuf_1_1__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.09    0.17    3.24 ^ mprj/u_mbist1/clkbuf_1_1__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.07                           mprj/u_mbist1/clknet_1_1__leaf_wb_clk2_i (net)
+                  0.09    0.00    3.24 ^ mprj/u_mbist1/wire15/A (sky130_fd_sc_hd__buf_2)
+                  0.28    0.30    3.54 ^ mprj/u_mbist1/wire15/X (sky130_fd_sc_hd__buf_2)
+     4    0.06                           mprj/u_mbist1/net2108 (net)
+                  0.28    0.00    3.54 ^ mprj/u_mbist1/u_mbist.mem_no[1].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
+                  0.06    0.25    3.79 ^ mprj/u_mbist1/u_mbist.mem_no[1].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     2    0.02                           mprj/u_mbist1/u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
+                  0.06    0.00    3.79 ^ mprj/u_mbist1/wire12/A (sky130_fd_sc_hd__buf_2)
+                  0.29    0.30    4.09 ^ mprj/u_mbist1/wire12/X (sky130_fd_sc_hd__buf_2)
+     2    0.06                           mprj/u_mbist1/net2105 (net)
+                  0.29    0.00    4.09 ^ mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[1].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    4.35 ^ mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[1].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.08                           mprj/u_mbist1/clknet_0_u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
+                  0.10    0.00    4.35 ^ mprj/u_mbist1/clkbuf_3_3__f_u_mbist.mem_no[1].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    4.50 ^ mprj/u_mbist1/clkbuf_3_3__f_u_mbist.mem_no[1].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     3    0.01                           mprj/u_mbist1/clknet_3_3__leaf_u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
+                  0.04    0.00    4.50 ^ mprj/u_mbist1/wire18/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.21    0.26    4.75 ^ mprj/u_mbist1/wire18/X (sky130_fd_sc_hd__clkbuf_4)
+    16    0.07                           mprj/u_mbist1/net2111 (net)
+                  0.21    0.01    4.76 ^ mprj/u_mbist1/_4161_/CLK (sky130_fd_sc_hd__dfrtp_4)
+                          0.10    4.86   clock uncertainty
+                         -0.16    4.70   clock reconvergence pessimism
+                         -0.01    4.68   library hold time
+                                  4.68   data required time
+-----------------------------------------------------------------------------
+                                  4.68   data required time
+                                 -4.99   data arrival time
+-----------------------------------------------------------------------------
+                                  0.30   slack (MET)
+
+
+Startpoint: mprj/u_mbist0/_4192_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist0/_4161_
+          (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Path Group: wbs_clk_i
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.41    0.41 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00    0.41 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.19    0.60 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00    0.60 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.21    0.81 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00    0.81 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    0.97 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00    0.97 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    1.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00    1.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.44 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00    1.44 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12    1.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00    1.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00    1.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.93 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00    1.93 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23    2.16 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00    2.16 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23    2.39 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00    2.40 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19    2.59 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00    2.59 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16    2.75 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00    2.75 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16    2.92 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00    2.92 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.05 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_0_0_wb_clk_i (net)
+                  0.05    0.00    3.05 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.18 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_0_1_wb_clk_i (net)
+                  0.05    0.00    3.18 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    3.29 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_0_2_wb_clk_i (net)
+                  0.03    0.00    3.29 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.17    3.46 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist0/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.47 ^ mprj/u_mbist0/clkbuf_leaf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.21    3.67 ^ mprj/u_mbist0/clkbuf_leaf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.10                           mprj/u_mbist0/clknet_leaf_0_wb_clk_i (net)
+                  0.12    0.00    3.67 ^ mprj/u_mbist0/_4192_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.05    0.33    4.00 ^ mprj/u_mbist0/_4192_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.00                           mprj/u_mbist0/u_mbist.bist_error_addr[1][0] (net)
+                  0.05    0.00    4.00 ^ mprj/u_mbist0/hold110/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.14    0.59    4.59 ^ mprj/u_mbist0/hold110/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     2    0.01                           mprj/u_mbist0/net2224 (net)
+                  0.14    0.00    4.59 ^ mprj/u_mbist0/fanout1946/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.26    0.28    4.87 ^ mprj/u_mbist0/fanout1946/X (sky130_fd_sc_hd__clkbuf_2)
+     8    0.05                           mprj/u_mbist0/net1946 (net)
+                  0.26    0.00    4.88 ^ mprj/u_mbist0/_3117_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.17    5.05 ^ mprj/u_mbist0/_3117_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/_0151_ (net)
+                  0.04    0.00    5.05 ^ mprj/u_mbist0/_4161_/D (sky130_fd_sc_hd__dfrtp_4)
+                                  5.05   data arrival time
+
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.44    0.44 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00    0.45 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.20    0.65 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00    0.65 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.23    0.87 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00    0.88 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.17    1.04 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00    1.04 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.12    1.55 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00    1.55 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.08 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00    2.08 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.33 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00    2.33 ^ mprj/u_mbist0/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.17    0.25    2.58 ^ mprj/u_mbist0/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2103 (net)
+                  0.17    0.00    2.59 ^ mprj/u_mbist0/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.21    2.79 ^ mprj/u_mbist0/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2102 (net)
+                  0.11    0.00    2.80 ^ mprj/u_mbist0/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.18    2.97 ^ mprj/u_mbist0/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2101 (net)
+                  0.11    0.01    2.98 ^ mprj/u_mbist0/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.13 ^ mprj/u_mbist0/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist0/clknet_0_wb_clk2_i (net)
+                  0.04    0.00    3.14 ^ mprj/u_mbist0/clkbuf_1_1__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.09    0.17    3.30 ^ mprj/u_mbist0/clkbuf_1_1__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.07                           mprj/u_mbist0/clknet_1_1__leaf_wb_clk2_i (net)
+                  0.09    0.00    3.30 ^ mprj/u_mbist0/wire15/A (sky130_fd_sc_hd__buf_2)
+                  0.28    0.30    3.60 ^ mprj/u_mbist0/wire15/X (sky130_fd_sc_hd__buf_2)
+     4    0.06                           mprj/u_mbist0/net2108 (net)
+                  0.28    0.00    3.60 ^ mprj/u_mbist0/u_mbist.mem_no[1].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
+                  0.06    0.25    3.85 ^ mprj/u_mbist0/u_mbist.mem_no[1].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     2    0.02                           mprj/u_mbist0/u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
+                  0.06    0.00    3.86 ^ mprj/u_mbist0/wire12/A (sky130_fd_sc_hd__buf_2)
+                  0.29    0.30    4.15 ^ mprj/u_mbist0/wire12/X (sky130_fd_sc_hd__buf_2)
+     2    0.06                           mprj/u_mbist0/net2105 (net)
+                  0.29    0.00    4.16 ^ mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[1].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    4.41 ^ mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[1].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.08                           mprj/u_mbist0/clknet_0_u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
+                  0.10    0.00    4.42 ^ mprj/u_mbist0/clkbuf_3_3__f_u_mbist.mem_no[1].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    4.56 ^ mprj/u_mbist0/clkbuf_3_3__f_u_mbist.mem_no[1].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     3    0.01                           mprj/u_mbist0/clknet_3_3__leaf_u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
+                  0.04    0.00    4.56 ^ mprj/u_mbist0/wire18/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.21    0.26    4.81 ^ mprj/u_mbist0/wire18/X (sky130_fd_sc_hd__clkbuf_4)
+    16    0.07                           mprj/u_mbist0/net2111 (net)
+                  0.21    0.01    4.82 ^ mprj/u_mbist0/_4161_/CLK (sky130_fd_sc_hd__dfrtp_4)
+                          0.10    4.92   clock uncertainty
+                         -0.17    4.75   clock reconvergence pessimism
+                         -0.01    4.74   library hold time
+                                  4.74   data required time
+-----------------------------------------------------------------------------
+                                  4.74   data required time
+                                 -5.05   data arrival time
+-----------------------------------------------------------------------------
+                                  0.30   slack (MET)
+
+
+Startpoint: mprj/u_mbist0/_4418_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist0/_4535_
+          (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Path Group: wbs_clk_i
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.41    0.41 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00    0.41 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.19    0.60 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00    0.60 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.21    0.81 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00    0.81 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    0.97 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00    0.97 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    1.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00    1.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.44 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00    1.44 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12    1.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00    1.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00    1.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.93 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00    1.93 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23    2.16 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00    2.16 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23    2.39 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00    2.40 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19    2.59 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00    2.59 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16    2.75 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00    2.75 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16    2.92 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00    2.92 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.04 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00    3.04 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    3.16 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00    3.16 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    3.27 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00    3.27 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18    3.45 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00    3.45 ^ mprj/u_mbist0/wire7/A (sky130_fd_sc_hd__buf_8)
+                  0.20    0.22    3.67 ^ mprj/u_mbist0/wire7/X (sky130_fd_sc_hd__buf_8)
+    18    0.12                           mprj/u_mbist0/net2100 (net)
+                  0.20    0.01    3.69 ^ mprj/u_mbist0/wire6/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.27    3.95 ^ mprj/u_mbist0/wire6/X (sky130_fd_sc_hd__buf_4)
+     8    0.07                           mprj/u_mbist0/net2099 (net)
+                  0.21    0.01    3.96 ^ mprj/u_mbist0/clkbuf_leaf_15_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.23    4.19 ^ mprj/u_mbist0/clkbuf_leaf_15_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           mprj/u_mbist0/clknet_leaf_15_wb_clk_i (net)
+                  0.11    0.00    4.19 ^ mprj/u_mbist0/_4418_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.35    4.54 ^ mprj/u_mbist0/_4418_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mbist0/u_reg.reg_rdata[0] (net)
+                  0.08    0.00    4.54 ^ mprj/u_mbist0/_3785_/A2 (sky130_fd_sc_hd__o221a_1)
+                  0.07    0.18    4.72 ^ mprj/u_mbist0/_3785_/X (sky130_fd_sc_hd__o221a_1)
+     1    0.00                           mprj/u_mbist0/_1814_ (net)
+                  0.07    0.00    4.72 ^ mprj/u_mbist0/_3786_/B1 (sky130_fd_sc_hd__a22o_1)
+                  0.04    0.11    4.84 ^ mprj/u_mbist0/_3786_/X (sky130_fd_sc_hd__a22o_1)
+     1    0.00                           mprj/u_mbist0/_0485_ (net)
+                  0.04    0.00    4.84 ^ mprj/u_mbist0/_4535_/D (sky130_fd_sc_hd__dfrtp_2)
+                                  4.84   data arrival time
+
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.44    0.44 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00    0.45 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.20    0.65 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00    0.65 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.23    0.87 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00    0.88 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.17    1.04 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00    1.04 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.12    1.55 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00    1.55 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.08 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00    2.08 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.33 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00    2.33 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.25    2.58 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00    2.58 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.20    2.79 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00    2.79 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.17    2.97 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00    2.97 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17    3.14 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00    3.14 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.14    3.28 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00    3.28 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.41 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00    3.41 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    3.53 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00    3.53 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.19    3.72 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00    3.72 ^ mprj/u_mbist0/wire7/A (sky130_fd_sc_hd__buf_8)
+                  0.20    0.24    3.96 ^ mprj/u_mbist0/wire7/X (sky130_fd_sc_hd__buf_8)
+    18    0.12                           mprj/u_mbist0/net2100 (net)
+                  0.20    0.01    3.97 ^ mprj/u_mbist0/clkbuf_opt_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    4.15 ^ mprj/u_mbist0/clkbuf_opt_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist0/clknet_opt_4_0_wb_clk_i (net)
+                  0.04    0.00    4.16 ^ mprj/u_mbist0/clkbuf_opt_4_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.28 ^ mprj/u_mbist0/clkbuf_opt_4_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist0/clknet_opt_4_1_wb_clk_i (net)
+                  0.04    0.00    4.29 ^ mprj/u_mbist0/clkbuf_opt_4_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.41 ^ mprj/u_mbist0/clkbuf_opt_4_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist0/clknet_opt_4_2_wb_clk_i (net)
+                  0.04    0.00    4.41 ^ mprj/u_mbist0/clkbuf_opt_4_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.14    4.55 ^ mprj/u_mbist0/clkbuf_opt_4_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.03                           mprj/u_mbist0/clknet_opt_4_3_wb_clk_i (net)
+                  0.05    0.00    4.56 ^ mprj/u_mbist0/clkbuf_leaf_13_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.19    4.74 ^ mprj/u_mbist0/clkbuf_leaf_13_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           mprj/u_mbist0/clknet_leaf_13_wb_clk_i (net)
+                  0.11    0.00    4.75 ^ mprj/u_mbist0/_4535_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                          0.10    4.85   clock uncertainty
+                         -0.29    4.56   clock reconvergence pessimism
+                         -0.03    4.53   library hold time
+                                  4.53   data required time
+-----------------------------------------------------------------------------
+                                  4.53   data required time
+                                 -4.84   data arrival time
+-----------------------------------------------------------------------------
+                                  0.30   slack (MET)
+
+
+Startpoint: mprj/u_mbist1/_4418_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist1/_4535_
+          (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Path Group: wbs_clk_i
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.22    0.51 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.20    0.74 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02    0.76 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11    0.87 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00    0.87 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.16    1.02 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00    1.03 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    1.17 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00    1.17 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    1.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.39 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12    1.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00    1.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00    1.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13    1.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.87 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00    1.87 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23    2.10 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00    2.10 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23    2.33 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00    2.34 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19    2.53 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00    2.53 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16    2.69 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00    2.70 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16    2.86 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00    2.86 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.98 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00    2.98 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    3.10 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00    3.10 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    3.21 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00    3.21 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18    3.39 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00    3.39 ^ mprj/u_mbist1/wire7/A (sky130_fd_sc_hd__buf_8)
+                  0.20    0.22    3.61 ^ mprj/u_mbist1/wire7/X (sky130_fd_sc_hd__buf_8)
+    18    0.12                           mprj/u_mbist1/net2100 (net)
+                  0.20    0.01    3.63 ^ mprj/u_mbist1/wire6/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.27    3.90 ^ mprj/u_mbist1/wire6/X (sky130_fd_sc_hd__buf_4)
+     8    0.07                           mprj/u_mbist1/net2099 (net)
+                  0.21    0.01    3.90 ^ mprj/u_mbist1/clkbuf_leaf_15_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.23    4.13 ^ mprj/u_mbist1/clkbuf_leaf_15_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           mprj/u_mbist1/clknet_leaf_15_wb_clk_i (net)
+                  0.11    0.00    4.13 ^ mprj/u_mbist1/_4418_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.35    4.48 ^ mprj/u_mbist1/_4418_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mbist1/u_reg.reg_rdata[0] (net)
+                  0.08    0.00    4.48 ^ mprj/u_mbist1/_3785_/A2 (sky130_fd_sc_hd__o221a_1)
+                  0.07    0.18    4.67 ^ mprj/u_mbist1/_3785_/X (sky130_fd_sc_hd__o221a_1)
+     1    0.00                           mprj/u_mbist1/_1814_ (net)
+                  0.07    0.00    4.67 ^ mprj/u_mbist1/_3786_/B1 (sky130_fd_sc_hd__a22o_1)
+                  0.04    0.11    4.78 ^ mprj/u_mbist1/_3786_/X (sky130_fd_sc_hd__a22o_1)
+     1    0.00                           mprj/u_mbist1/_0485_ (net)
+                  0.04    0.00    4.78 ^ mprj/u_mbist1/_4535_/D (sky130_fd_sc_hd__dfrtp_2)
+                                  4.78   data arrival time
+
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.31    0.31 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00    0.32 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.23    0.55 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03    0.58 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.21    0.80 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02    0.81 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.12    0.94 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00    0.94 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.17    1.10 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00    1.11 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.16    1.26 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00    1.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.50 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.50 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.02 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00    2.02 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.26 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00    2.27 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.25    2.52 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00    2.52 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.20    2.72 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00    2.73 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.17    2.90 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00    2.91 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17    3.08 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00    3.08 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.14    3.22 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00    3.22 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.35 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00    3.35 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    3.46 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00    3.46 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.19    3.66 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00    3.66 ^ mprj/u_mbist1/wire7/A (sky130_fd_sc_hd__buf_8)
+                  0.20    0.24    3.90 ^ mprj/u_mbist1/wire7/X (sky130_fd_sc_hd__buf_8)
+    18    0.12                           mprj/u_mbist1/net2100 (net)
+                  0.20    0.01    3.91 ^ mprj/u_mbist1/clkbuf_opt_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    4.09 ^ mprj/u_mbist1/clkbuf_opt_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist1/clknet_opt_4_0_wb_clk_i (net)
+                  0.04    0.00    4.09 ^ mprj/u_mbist1/clkbuf_opt_4_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.22 ^ mprj/u_mbist1/clkbuf_opt_4_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist1/clknet_opt_4_1_wb_clk_i (net)
+                  0.04    0.00    4.22 ^ mprj/u_mbist1/clkbuf_opt_4_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.35 ^ mprj/u_mbist1/clkbuf_opt_4_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist1/clknet_opt_4_2_wb_clk_i (net)
+                  0.04    0.00    4.35 ^ mprj/u_mbist1/clkbuf_opt_4_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.14    4.49 ^ mprj/u_mbist1/clkbuf_opt_4_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.03                           mprj/u_mbist1/clknet_opt_4_3_wb_clk_i (net)
+                  0.05    0.00    4.49 ^ mprj/u_mbist1/clkbuf_leaf_13_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.19    4.68 ^ mprj/u_mbist1/clkbuf_leaf_13_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           mprj/u_mbist1/clknet_leaf_13_wb_clk_i (net)
+                  0.11    0.00    4.68 ^ mprj/u_mbist1/_4535_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                          0.10    4.78   clock uncertainty
+                         -0.28    4.50   clock reconvergence pessimism
+                         -0.03    4.47   library hold time
+                                  4.47   data required time
+-----------------------------------------------------------------------------
+                                  4.47   data required time
+                                 -4.78   data arrival time
+-----------------------------------------------------------------------------
+                                  0.30   slack (MET)
+
+
+Startpoint: mprj/u_intercon/_4601_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_intercon/_3932_
+          (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Path Group: wbs_clk_i
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input317/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.21    0.26    0.26 ^ mprj/u_intercon/input317/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.02                           mprj/u_intercon/net317 (net)
-                  0.20    0.00    0.26 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.14    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.21    0.00    0.26 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.14    0.40 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_intercon/u_skew_wi.clk_d1 (net)
-                  0.09    0.00    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.00    0.40 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    0.51 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_intercon/u_skew_wi.clk_d2 (net)
-                  0.07    0.00    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.00    0.51 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_intercon/u_skew_wi.clk_d3 (net)
-                  0.10    0.00    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.00    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    0.73 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_intercon/u_skew_wi.clk_d4 (net)
-                  0.08    0.00    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.00    0.73 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    0.84 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_intercon/u_skew_wi.clk_d5 (net)
-                  0.08    0.00    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.00    0.84 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
                   0.07    0.11    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_intercon/u_skew_wi.clk_d6 (net)
                   0.07    0.00    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
                   0.08    0.11    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_intercon/u_skew_wi.clk_d7 (net)
                   0.08    0.00    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.18 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    1.17 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_intercon/u_skew_wi.clk_d8 (net)
-                  0.08    0.00    1.18 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d04 (net)
-                  0.05    0.00    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.12    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
+                  0.08    0.00    1.17 ^ mprj/u_intercon/u_skew_wi.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    1.28 ^ mprj/u_intercon/u_skew_wi.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d9 (net)
+                  0.08    0.00    1.28 ^ mprj/u_intercon/u_skew_wi.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.12    1.40 ^ mprj/u_intercon/u_skew_wi.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d10 (net)
+                  0.09    0.00    1.40 ^ mprj/u_intercon/u_skew_wi.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.52 ^ mprj/u_intercon/u_skew_wi.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_intercon/u_skew_wi.d05 (net)
+                  0.04    0.00    1.52 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.13    1.64 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_intercon/u_skew_wi.d12 (net)
-                  0.06    0.00    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.00    1.65 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12    1.77 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_intercon/u_skew_wi.d21 (net)
-                  0.05    0.00    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    1.69 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    1.77 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.13    1.90 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
      1    0.01                           mprj/u_intercon/net626 (net)
-                  0.07    0.00    1.69 ^ mprj/u_intercon/output626/A (sky130_fd_sc_hd__buf_2)
-                  0.80    0.60    2.29 ^ mprj/u_intercon/output626/X (sky130_fd_sc_hd__buf_2)
-     2    0.17                           mprj/wbd_clk_wi_skew (net)
-                  0.81    0.04    2.33 ^ mprj/u_intercon/clkbuf_0_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.28    2.61 ^ mprj/u_intercon/clkbuf_0_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.00    1.90 ^ mprj/u_intercon/output626/A (sky130_fd_sc_hd__buf_2)
+                  0.06    0.12    2.02 ^ mprj/u_intercon/output626/X (sky130_fd_sc_hd__buf_2)
+     2    0.01                           mprj/wbd_clk_wi_skew (net)
+                  0.06    0.00    2.02 ^ mprj/u_intercon/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.24    0.24    2.26 ^ mprj/u_intercon/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.04                           mprj/u_intercon/net1226 (net)
+                  0.24    0.00    2.26 ^ mprj/u_intercon/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.14    0.23    2.50 ^ mprj/u_intercon/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.05                           mprj/u_intercon/net1225 (net)
+                  0.14    0.00    2.50 ^ mprj/u_intercon/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.16    2.65 ^ mprj/u_intercon/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/u_intercon/net1224 (net)
+                  0.09    0.01    2.66 ^ mprj/u_intercon/clkbuf_0_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.15    2.81 ^ mprj/u_intercon/clkbuf_0_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_intercon/clknet_0_clk_i (net)
-                  0.07    0.00    2.61 ^ mprj/u_intercon/clkbuf_1_0_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.74 ^ mprj/u_intercon/clkbuf_1_0_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_intercon/clknet_1_0_0_clk_i (net)
-                  0.04    0.00    2.74 ^ mprj/u_intercon/clkbuf_1_0_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.86 ^ mprj/u_intercon/clkbuf_1_0_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    2.81 ^ mprj/u_intercon/clkbuf_1_0_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    2.93 ^ mprj/u_intercon/clkbuf_1_0_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_intercon/clknet_1_0_0_clk_i (net)
+                  0.04    0.00    2.93 ^ mprj/u_intercon/clkbuf_1_0_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12    3.05 ^ mprj/u_intercon/clkbuf_1_0_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.02                           mprj/u_intercon/clknet_1_0_1_clk_i (net)
-                  0.04    0.00    2.86 ^ mprj/u_intercon/clkbuf_1_0_2_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.11    2.97 ^ mprj/u_intercon/clkbuf_1_0_2_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    3.05 ^ mprj/u_intercon/clkbuf_1_0_2_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    3.16 ^ mprj/u_intercon/clkbuf_1_0_2_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.01                           mprj/u_intercon/clknet_1_0_2_clk_i (net)
-                  0.03    0.00    2.97 ^ mprj/u_intercon/clkbuf_1_0_3_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14    3.11 ^ mprj/u_intercon/clkbuf_1_0_3_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_intercon/clknet_1_0_3_clk_i (net)
-                  0.07    0.00    3.11 ^ mprj/u_intercon/clkbuf_2_1_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.15    3.26 ^ mprj/u_intercon/clkbuf_2_1_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_2_1_0_clk_i (net)
-                  0.07    0.00    3.27 ^ mprj/u_intercon/clkbuf_3_3_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    3.39 ^ mprj/u_intercon/clkbuf_3_3_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_3_3_0_clk_i (net)
-                  0.04    0.00    3.39 ^ mprj/u_intercon/clkbuf_3_3_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.32    0.31    3.70 ^ mprj/u_intercon/clkbuf_3_3_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.19                           mprj/u_intercon/clknet_3_3_1_clk_i (net)
-                  0.32    0.00    3.70 ^ mprj/u_intercon/clkbuf_leaf_4_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.25    3.95 ^ mprj/u_intercon/clkbuf_leaf_4_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_intercon/clknet_leaf_4_clk_i (net)
-                  0.11    0.00    3.96 ^ mprj/u_intercon/_3920_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.08    0.36    4.31 ^ mprj/u_intercon/_3920_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_intercon/u_s2.u_sync_wbb.s_cmd_rd_data_l[39] (net)
-                  0.08    0.00    4.31 ^ mprj/u_intercon/_2265_/A0 (sky130_fd_sc_hd__mux2_4)
-                  0.18    0.26    4.58 ^ mprj/u_intercon/_2265_/X (sky130_fd_sc_hd__mux2_4)
-     2    0.06                           mprj/u_intercon/net547 (net)
-                  0.18    0.00    4.58 ^ mprj/u_intercon/output547/A (sky130_fd_sc_hd__buf_2)
-                  0.19    0.25    4.82 ^ mprj/u_intercon/output547/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_mbist0_dat_o[21] (net)
-                  0.19    0.00    4.83 ^ mprj/u_mbist0/input173/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.14    0.17    5.00 ^ mprj/u_mbist0/input173/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_mbist0/net173 (net)
-                  0.14    0.00    5.00 ^ mprj/u_mbist0/_3908_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.15    5.15 ^ mprj/u_mbist0/_3908_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/_0542_ (net)
-                  0.05    0.00    5.15 ^ mprj/u_mbist0/_4592_/D (sky130_fd_sc_hd__dfrtp_4)
-                                  5.15   data arrival time
+                  0.03    0.00    3.16 ^ mprj/u_intercon/clkbuf_1_0_3_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.14    3.30 ^ mprj/u_intercon/clkbuf_1_0_3_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_intercon/clknet_1_0_3_clk_i (net)
+                  0.07    0.00    3.30 ^ mprj/u_intercon/clkbuf_2_0_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    3.45 ^ mprj/u_intercon/clkbuf_2_0_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_intercon/clknet_2_0_0_clk_i (net)
+                  0.06    0.00    3.45 ^ mprj/u_intercon/clkbuf_3_1_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    3.57 ^ mprj/u_intercon/clkbuf_3_1_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_intercon/clknet_3_1_0_clk_i (net)
+                  0.04    0.00    3.57 ^ mprj/u_intercon/clkbuf_3_1_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.21    0.24    3.81 ^ mprj/u_intercon/clkbuf_3_1_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.12                           mprj/u_intercon/clknet_3_1_1_clk_i (net)
+                  0.21    0.01    3.82 ^ mprj/u_intercon/clkbuf_leaf_59_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.22    4.04 ^ mprj/u_intercon/clkbuf_leaf_59_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           mprj/u_intercon/clknet_leaf_59_clk_i (net)
+                  0.11    0.00    4.04 ^ mprj/u_intercon/_4601_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.07    0.33    4.38 ^ mprj/u_intercon/_4601_/Q (sky130_fd_sc_hd__dfxtp_1)
+     2    0.01                           mprj/u_intercon/u_s2.u_sync_wbb.u_cmd_if.mem[0][53] (net)
+                  0.07    0.00    4.38 ^ mprj/u_intercon/_2286_/A0 (sky130_fd_sc_hd__mux4_1)
+                  0.15    0.29    4.66 ^ mprj/u_intercon/_2286_/X (sky130_fd_sc_hd__mux4_1)
+     2    0.01                           mprj/u_intercon/_1292_ (net)
+                  0.15    0.00    4.66 ^ mprj/u_intercon/_2721_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.15    4.82 ^ mprj/u_intercon/_2721_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_intercon/_0073_ (net)
+                  0.05    0.00    4.82 ^ mprj/u_intercon/_3932_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  4.82   data arrival time
 
                           0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.27    0.36    0.36 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     2    0.03                           mprj/u_intercon/net2 (net)
-                  0.27    0.00    0.36 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.13    0.50 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net319 (net)
-                  0.06    0.00    0.50 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
-                  0.15    0.19    0.69 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
-                  0.15    0.00    0.69 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    0.86 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net198 (net)
-                  0.12    0.00    0.86 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.70 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    1.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d03 (net)
-                  0.04    0.00    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
-                  0.04    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.23 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/net427 (net)
-                  0.05    0.00    2.23 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.09 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist0_skew (net)
-                  1.11    0.05    3.14 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.48 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.48 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.63 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00    3.63 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    3.76 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00    3.76 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    3.88 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00    3.88 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.41    4.29 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
-                  0.44    0.02    4.31 ^ mprj/u_mbist0/clkbuf_opt_5_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.24    4.54 ^ mprj/u_mbist0/clkbuf_opt_5_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_5_0_wb_clk_i (net)
-                  0.05    0.00    4.54 ^ mprj/u_mbist0/clkbuf_opt_5_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.68 ^ mprj/u_mbist0/clkbuf_opt_5_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_5_1_wb_clk_i (net)
-                  0.04    0.00    4.68 ^ mprj/u_mbist0/clkbuf_opt_5_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.81 ^ mprj/u_mbist0/clkbuf_opt_5_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_5_2_wb_clk_i (net)
-                  0.04    0.00    4.81 ^ mprj/u_mbist0/clkbuf_opt_5_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.94 ^ mprj/u_mbist0/clkbuf_opt_5_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_5_3_wb_clk_i (net)
-                  0.05    0.00    4.94 ^ mprj/u_mbist0/clkbuf_leaf_16_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.18    5.12 ^ mprj/u_mbist0/clkbuf_leaf_16_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_mbist0/clknet_leaf_16_wb_clk_i (net)
-                  0.10    0.00    5.12 ^ mprj/u_mbist0/_4592_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                          0.10    5.22   clock uncertainty
-                          0.00    5.22   clock reconvergence pessimism
-                         -0.03    5.20   library hold time
-                                  5.20   data required time
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input317/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.21    0.28    0.28 ^ mprj/u_intercon/input317/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.02                           mprj/u_intercon/net317 (net)
+                  0.21    0.00    0.28 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.15    0.43 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d1 (net)
+                  0.09    0.00    0.43 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.12    0.55 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d2 (net)
+                  0.07    0.00    0.55 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    0.67 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d3 (net)
+                  0.08    0.00    0.67 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.12    0.79 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d4 (net)
+                  0.07    0.00    0.79 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    0.91 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d5 (net)
+                  0.08    0.00    0.91 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.12    1.02 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d6 (net)
+                  0.07    0.00    1.02 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    1.14 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d7 (net)
+                  0.08    0.00    1.14 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    1.26 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d8 (net)
+                  0.08    0.00    1.26 ^ mprj/u_intercon/u_skew_wi.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    1.38 ^ mprj/u_intercon/u_skew_wi.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d9 (net)
+                  0.08    0.00    1.38 ^ mprj/u_intercon/u_skew_wi.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.12    1.51 ^ mprj/u_intercon/u_skew_wi.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d10 (net)
+                  0.09    0.00    1.51 ^ mprj/u_intercon/u_skew_wi.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.13    1.64 ^ mprj/u_intercon/u_skew_wi.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_intercon/u_skew_wi.d05 (net)
+                  0.04    0.00    1.64 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.14    1.77 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_intercon/u_skew_wi.d12 (net)
+                  0.06    0.00    1.77 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.90 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_intercon/u_skew_wi.d21 (net)
+                  0.05    0.00    1.90 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.14    2.05 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_intercon/net626 (net)
+                  0.06    0.00    2.05 ^ mprj/u_intercon/output626/A (sky130_fd_sc_hd__buf_2)
+                  0.06    0.13    2.18 ^ mprj/u_intercon/output626/X (sky130_fd_sc_hd__buf_2)
+     2    0.01                           mprj/wbd_clk_wi_skew (net)
+                  0.06    0.00    2.18 ^ mprj/u_intercon/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.24    0.25    2.44 ^ mprj/u_intercon/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.04                           mprj/u_intercon/net1226 (net)
+                  0.24    0.00    2.44 ^ mprj/u_intercon/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.14    0.25    2.69 ^ mprj/u_intercon/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.05                           mprj/u_intercon/net1225 (net)
+                  0.14    0.00    2.69 ^ mprj/u_intercon/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.17    2.86 ^ mprj/u_intercon/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/u_intercon/net1224 (net)
+                  0.09    0.01    2.87 ^ mprj/u_intercon/clkbuf_0_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16    3.02 ^ mprj/u_intercon/clkbuf_0_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_intercon/clknet_0_clk_i (net)
+                  0.05    0.00    3.03 ^ mprj/u_intercon/clkbuf_1_0_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.16 ^ mprj/u_intercon/clkbuf_1_0_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_intercon/clknet_1_0_0_clk_i (net)
+                  0.04    0.00    3.16 ^ mprj/u_intercon/clkbuf_1_0_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.29 ^ mprj/u_intercon/clkbuf_1_0_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_intercon/clknet_1_0_1_clk_i (net)
+                  0.05    0.00    3.29 ^ mprj/u_intercon/clkbuf_1_0_2_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    3.41 ^ mprj/u_intercon/clkbuf_1_0_2_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_intercon/clknet_1_0_2_clk_i (net)
+                  0.03    0.00    3.41 ^ mprj/u_intercon/clkbuf_1_0_3_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15    3.56 ^ mprj/u_intercon/clkbuf_1_0_3_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_intercon/clknet_1_0_3_clk_i (net)
+                  0.07    0.00    3.56 ^ mprj/u_intercon/clkbuf_2_0_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.16    3.72 ^ mprj/u_intercon/clkbuf_2_0_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_intercon/clknet_2_0_0_clk_i (net)
+                  0.06    0.00    3.72 ^ mprj/u_intercon/clkbuf_3_0_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.85 ^ mprj/u_intercon/clkbuf_3_0_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_intercon/clknet_3_0_0_clk_i (net)
+                  0.04    0.00    3.85 ^ mprj/u_intercon/clkbuf_3_0_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.16    4.02 ^ mprj/u_intercon/clkbuf_3_0_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     6    0.04                           mprj/u_intercon/clknet_3_0_1_clk_i (net)
+                  0.09    0.00    4.02 ^ mprj/u_intercon/max_length5/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18    4.19 ^ mprj/u_intercon/max_length5/X (sky130_fd_sc_hd__buf_6)
+     8    0.06                           mprj/u_intercon/net1228 (net)
+                  0.12    0.00    4.20 ^ mprj/u_intercon/max_length4/A (sky130_fd_sc_hd__buf_4)
+                  0.19    0.25    4.45 ^ mprj/u_intercon/max_length4/X (sky130_fd_sc_hd__buf_4)
+     8    0.07                           mprj/u_intercon/net1227 (net)
+                  0.19    0.01    4.46 ^ mprj/u_intercon/clkbuf_leaf_64_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.25    4.70 ^ mprj/u_intercon/clkbuf_leaf_64_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.10                           mprj/u_intercon/clknet_leaf_64_clk_i (net)
+                  0.12    0.00    4.71 ^ mprj/u_intercon/_3932_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    4.81   clock uncertainty
+                         -0.27    4.54   clock reconvergence pessimism
+                         -0.03    4.51   library hold time
+                                  4.51   data required time
 -----------------------------------------------------------------------------
-                                  5.20   data required time
-                                 -5.15   data arrival time
+                                  4.51   data required time
+                                 -4.82   data arrival time
 -----------------------------------------------------------------------------
-                                 -0.05   slack (VIOLATED)
+                                  0.31   slack (MET)
 
 
-Startpoint: mprj/u_intercon/_4134_
+Startpoint: mprj/u_mbist0/_4117_
             (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist1/_4525_
+Endpoint: mprj/u_mbist0/_4077_
           (rising edge-triggered flip-flop clocked by wbs_clk_i)
 Path Group: wbs_clk_i
 Path Type: min
@@ -77371,186 +91689,177 @@
 -----------------------------------------------------------------------------
                           0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input317/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.20    0.25    0.26 ^ mprj/u_intercon/input317/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.02                           mprj/u_intercon/net317 (net)
-                  0.20    0.00    0.26 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.14    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d1 (net)
-                  0.09    0.00    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d2 (net)
-                  0.07    0.00    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d3 (net)
-                  0.10    0.00    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d4 (net)
-                  0.08    0.00    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d5 (net)
-                  0.08    0.00    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d6 (net)
-                  0.07    0.00    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d7 (net)
-                  0.08    0.00    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.18 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d8 (net)
-                  0.08    0.00    1.18 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d04 (net)
-                  0.05    0.00    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.12    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d12 (net)
-                  0.06    0.00    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d21 (net)
-                  0.05    0.00    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    1.69 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_intercon/net626 (net)
-                  0.07    0.00    1.69 ^ mprj/u_intercon/output626/A (sky130_fd_sc_hd__buf_2)
-                  0.80    0.60    2.29 ^ mprj/u_intercon/output626/X (sky130_fd_sc_hd__buf_2)
-     2    0.17                           mprj/wbd_clk_wi_skew (net)
-                  0.81    0.04    2.33 ^ mprj/u_intercon/clkbuf_0_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.28    2.61 ^ mprj/u_intercon/clkbuf_0_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_intercon/clknet_0_clk_i (net)
-                  0.07    0.00    2.61 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.74 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_intercon/clknet_1_1_0_clk_i (net)
-                  0.04    0.00    2.74 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.86 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_1_clk_i (net)
-                  0.04    0.00    2.86 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.11    2.97 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_2_clk_i (net)
-                  0.04    0.00    2.97 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.13    3.11 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_1_1_3_clk_i (net)
-                  0.07    0.00    3.11 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    3.25 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_2_2_0_clk_i (net)
-                  0.06    0.00    3.25 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    3.37 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_3_5_0_clk_i (net)
-                  0.04    0.00    3.37 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.21    0.24    3.61 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.12                           mprj/u_intercon/clknet_3_5_1_clk_i (net)
-                  0.21    0.01    3.62 ^ mprj/u_intercon/clkbuf_leaf_19_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.09    0.22    3.84 ^ mprj/u_intercon/clkbuf_leaf_19_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.08                           mprj/u_intercon/clknet_leaf_19_clk_i (net)
-                  0.10    0.00    3.84 ^ mprj/u_intercon/_4134_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.06    0.34    4.18 ^ mprj/u_intercon/_4134_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_intercon/u_s3.u_sync_wbb.s_cmd_rd_data_l[22] (net)
-                  0.06    0.00    4.18 ^ mprj/u_intercon/_2124_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    4.29 ^ mprj/u_intercon/_2124_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/net612 (net)
-                  0.04    0.00    4.29 ^ mprj/u_intercon/output612/A (sky130_fd_sc_hd__buf_2)
-                  0.21    0.21    4.50 ^ mprj/u_intercon/output612/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_mbist1_dat_o[4] (net)
-                  0.21    0.00    4.50 ^ mprj/u_mbist1/input186/A (sky130_fd_sc_hd__buf_2)
-                  0.30    0.33    4.83 ^ mprj/u_mbist1/input186/X (sky130_fd_sc_hd__buf_2)
-     4    0.06                           mprj/u_mbist1/net186 (net)
-                  0.30    0.00    4.83 ^ mprj/u_mbist1/_3765_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.18    5.02 ^ mprj/u_mbist1/_3765_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/_0475_ (net)
-                  0.05    0.00    5.02 ^ mprj/u_mbist1/_4525_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  5.02   data arrival time
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.41    0.41 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00    0.41 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.19    0.60 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00    0.60 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.21    0.81 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00    0.81 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    0.97 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00    0.97 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    1.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00    1.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.44 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00    1.44 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12    1.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00    1.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00    1.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.93 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00    1.93 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23    2.16 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00    2.16 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23    2.39 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00    2.40 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19    2.59 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00    2.59 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16    2.75 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00    2.75 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16    2.92 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00    2.92 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.05 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_0_0_wb_clk_i (net)
+                  0.05    0.00    3.05 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.18 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_0_1_wb_clk_i (net)
+                  0.05    0.00    3.18 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    3.29 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_0_2_wb_clk_i (net)
+                  0.03    0.00    3.29 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.17    3.46 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist0/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.46 ^ mprj/u_mbist0/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.25    3.71 ^ mprj/u_mbist0/wire5/X (sky130_fd_sc_hd__buf_4)
+     6    0.08                           mprj/u_mbist0/net2098 (net)
+                  0.21    0.00    3.72 ^ mprj/u_mbist0/clkbuf_leaf_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.24    3.96 ^ mprj/u_mbist0/clkbuf_leaf_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.11                           mprj/u_mbist0/clknet_leaf_3_wb_clk_i (net)
+                  0.13    0.00    3.96 ^ mprj/u_mbist0/_4117_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.10    0.38    4.34 ^ mprj/u_mbist0/_4117_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mbist0/u_mbist.bist_error_addr[2][6] (net)
+                  0.10    0.00    4.34 ^ mprj/u_mbist0/fanout1950/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.21    0.22    4.56 ^ mprj/u_mbist0/fanout1950/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist0/net1950 (net)
+                  0.21    0.00    4.56 ^ mprj/u_mbist0/_3033_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.15    4.71 ^ mprj/u_mbist0/_3033_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/_0084_ (net)
+                  0.04    0.00    4.71 ^ mprj/u_mbist0/_4077_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  4.71   data arrival time
 
                           0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.24    0.53 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
-     2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.21    0.76 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02    0.77 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.13    0.90 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00    0.90 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.17    1.07 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
-     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00    1.07 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    1.22 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00    1.22 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    2.07 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    2.07 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.60 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00    2.60 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.46 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05    3.51 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.84 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.85 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.99 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00    3.99 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.13 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00    4.13 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.24 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00    4.25 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.41    4.66 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
-                  0.45    0.03    4.69 ^ mprj/u_mbist1/clkbuf_leaf_14_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.30    4.99 ^ mprj/u_mbist1/clkbuf_leaf_14_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_mbist1/clknet_leaf_14_wb_clk_i (net)
-                  0.11    0.00    4.99 ^ mprj/u_mbist1/_4525_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    5.09   clock uncertainty
-                          0.00    5.09   clock reconvergence pessimism
-                         -0.03    5.06   library hold time
-                                  5.06   data required time
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.44    0.44 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00    0.45 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.20    0.65 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00    0.65 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.23    0.87 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00    0.88 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.17    1.04 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00    1.04 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.12    1.55 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00    1.55 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.08 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00    2.08 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.33 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00    2.33 ^ mprj/u_mbist0/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.17    0.25    2.58 ^ mprj/u_mbist0/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2103 (net)
+                  0.17    0.00    2.59 ^ mprj/u_mbist0/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.21    2.79 ^ mprj/u_mbist0/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2102 (net)
+                  0.11    0.00    2.80 ^ mprj/u_mbist0/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.18    2.97 ^ mprj/u_mbist0/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2101 (net)
+                  0.11    0.01    2.98 ^ mprj/u_mbist0/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.13 ^ mprj/u_mbist0/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist0/clknet_0_wb_clk2_i (net)
+                  0.04    0.00    3.14 ^ mprj/u_mbist0/clkbuf_1_0__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.25 ^ mprj/u_mbist0/clkbuf_1_0__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_mbist0/clknet_1_0__leaf_wb_clk2_i (net)
+                  0.03    0.00    3.25 ^ mprj/u_mbist0/wire14/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.27    0.29    3.54 ^ mprj/u_mbist0/wire14/X (sky130_fd_sc_hd__clkbuf_4)
+     8    0.09                           mprj/u_mbist0/net2107 (net)
+                  0.27    0.01    3.55 ^ mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_8)
+                  0.04    0.22    3.77 ^ mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.00                           mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.04    0.00    3.77 ^ mprj/u_mbist0/wire13/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.27    4.04 ^ mprj/u_mbist0/wire13/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mbist0/net2106 (net)
+                  0.26    0.00    4.04 ^ mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.28    4.32 ^ mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.12                           mprj/u_mbist0/clknet_0_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.13    0.01    4.33 ^ mprj/u_mbist0/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.18    4.50 ^ mprj/u_mbist0/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.04                           mprj/u_mbist0/clknet_3_7__leaf_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.06    0.00    4.50 ^ mprj/u_mbist0/_4077_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    4.60   clock uncertainty
+                         -0.17    4.43   clock reconvergence pessimism
+                         -0.03    4.40   library hold time
+                                  4.40   data required time
 -----------------------------------------------------------------------------
-                                  5.06   data required time
-                                 -5.02   data arrival time
+                                  4.40   data required time
+                                 -4.71   data arrival time
 -----------------------------------------------------------------------------
-                                 -0.05   slack (VIOLATED)
+                                  0.31   slack (MET)
 
 
-Startpoint: mprj/u_intercon/_4134_
+Startpoint: mprj/u_mbist1/_4117_
             (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist1/_4575_
+Endpoint: mprj/u_mbist1/_4077_
           (rising edge-triggered flip-flop clocked by wbs_clk_i)
 Path Group: wbs_clk_i
 Path Type: min
@@ -77559,186 +91868,747 @@
 -----------------------------------------------------------------------------
                           0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input317/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.20    0.25    0.26 ^ mprj/u_intercon/input317/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.22    0.51 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.20    0.74 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02    0.76 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11    0.87 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00    0.87 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.16    1.02 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00    1.03 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    1.17 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00    1.17 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    1.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.39 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12    1.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00    1.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00    1.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13    1.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.87 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00    1.87 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23    2.10 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00    2.10 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23    2.33 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00    2.34 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19    2.53 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00    2.53 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16    2.69 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00    2.70 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16    2.86 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00    2.86 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    2.99 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_0_0_wb_clk_i (net)
+                  0.05    0.00    2.99 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.12 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_0_1_wb_clk_i (net)
+                  0.05    0.00    3.12 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    3.23 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_0_2_wb_clk_i (net)
+                  0.03    0.00    3.23 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.17    3.41 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist1/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.41 ^ mprj/u_mbist1/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.25    3.66 ^ mprj/u_mbist1/wire5/X (sky130_fd_sc_hd__buf_4)
+     6    0.08                           mprj/u_mbist1/net2098 (net)
+                  0.21    0.00    3.66 ^ mprj/u_mbist1/clkbuf_leaf_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.24    3.90 ^ mprj/u_mbist1/clkbuf_leaf_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.11                           mprj/u_mbist1/clknet_leaf_3_wb_clk_i (net)
+                  0.13    0.00    3.90 ^ mprj/u_mbist1/_4117_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.10    0.38    4.28 ^ mprj/u_mbist1/_4117_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mbist1/u_mbist.bist_error_addr[2][6] (net)
+                  0.10    0.00    4.28 ^ mprj/u_mbist1/fanout1950/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.21    0.22    4.50 ^ mprj/u_mbist1/fanout1950/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist1/net1950 (net)
+                  0.21    0.00    4.50 ^ mprj/u_mbist1/_3033_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.15    4.65 ^ mprj/u_mbist1/_3033_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/_0084_ (net)
+                  0.04    0.00    4.65 ^ mprj/u_mbist1/_4077_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  4.65   data arrival time
+
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.31    0.31 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00    0.32 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.23    0.55 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03    0.58 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.21    0.80 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02    0.81 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.12    0.94 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00    0.94 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.17    1.10 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00    1.11 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.16    1.26 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00    1.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.50 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.50 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.02 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00    2.02 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.26 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00    2.27 ^ mprj/u_mbist1/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.17    0.25    2.52 ^ mprj/u_mbist1/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2103 (net)
+                  0.17    0.00    2.52 ^ mprj/u_mbist1/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.21    2.73 ^ mprj/u_mbist1/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2102 (net)
+                  0.11    0.00    2.73 ^ mprj/u_mbist1/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.18    2.91 ^ mprj/u_mbist1/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2101 (net)
+                  0.11    0.01    2.92 ^ mprj/u_mbist1/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.07 ^ mprj/u_mbist1/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist1/clknet_0_wb_clk2_i (net)
+                  0.04    0.00    3.07 ^ mprj/u_mbist1/clkbuf_1_0__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.19 ^ mprj/u_mbist1/clkbuf_1_0__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_mbist1/clknet_1_0__leaf_wb_clk2_i (net)
+                  0.03    0.00    3.19 ^ mprj/u_mbist1/wire14/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.27    0.29    3.48 ^ mprj/u_mbist1/wire14/X (sky130_fd_sc_hd__clkbuf_4)
+     8    0.09                           mprj/u_mbist1/net2107 (net)
+                  0.27    0.01    3.49 ^ mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_8)
+                  0.04    0.22    3.71 ^ mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.00                           mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.04    0.00    3.71 ^ mprj/u_mbist1/wire13/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.27    3.98 ^ mprj/u_mbist1/wire13/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mbist1/net2106 (net)
+                  0.26    0.00    3.98 ^ mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.28    4.26 ^ mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.12                           mprj/u_mbist1/clknet_0_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.13    0.01    4.26 ^ mprj/u_mbist1/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.18    4.44 ^ mprj/u_mbist1/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.04                           mprj/u_mbist1/clknet_3_7__leaf_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.06    0.00    4.44 ^ mprj/u_mbist1/_4077_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    4.54   clock uncertainty
+                         -0.16    4.38   clock reconvergence pessimism
+                         -0.03    4.34   library hold time
+                                  4.34   data required time
+-----------------------------------------------------------------------------
+                                  4.34   data required time
+                                 -4.65   data arrival time
+-----------------------------------------------------------------------------
+                                  0.31   slack (MET)
+
+
+Startpoint: mprj/u_mbist1/_4119_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist1/_4063_
+          (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Path Group: wbs_clk_i
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.22    0.51 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.20    0.74 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02    0.76 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11    0.87 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00    0.87 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.16    1.02 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00    1.03 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    1.17 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00    1.17 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    1.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.39 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12    1.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00    1.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00    1.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13    1.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.87 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00    1.87 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23    2.10 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00    2.10 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23    2.33 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00    2.34 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19    2.53 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00    2.53 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16    2.69 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00    2.70 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16    2.86 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00    2.86 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    2.99 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_0_0_wb_clk_i (net)
+                  0.05    0.00    2.99 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.12 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_0_1_wb_clk_i (net)
+                  0.05    0.00    3.12 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    3.23 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_0_2_wb_clk_i (net)
+                  0.03    0.00    3.23 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.17    3.41 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist1/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.41 ^ mprj/u_mbist1/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.25    3.66 ^ mprj/u_mbist1/wire5/X (sky130_fd_sc_hd__buf_4)
+     6    0.08                           mprj/u_mbist1/net2098 (net)
+                  0.21    0.00    3.66 ^ mprj/u_mbist1/clkbuf_leaf_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.24    3.90 ^ mprj/u_mbist1/clkbuf_leaf_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.11                           mprj/u_mbist1/clknet_leaf_3_wb_clk_i (net)
+                  0.13    0.01    3.91 ^ mprj/u_mbist1/_4119_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.06    0.35    4.25 ^ mprj/u_mbist1/_4119_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mbist1/u_mbist.bist_error_addr[2][8] (net)
+                  0.06    0.00    4.25 ^ mprj/u_mbist1/fanout1948/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.25    0.23    4.49 ^ mprj/u_mbist1/fanout1948/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist1/net1948 (net)
+                  0.25    0.00    4.49 ^ mprj/u_mbist1/_3016_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.17    4.65 ^ mprj/u_mbist1/_3016_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/_0071_ (net)
+                  0.04    0.00    4.65 ^ mprj/u_mbist1/_4063_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  4.65   data arrival time
+
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.31    0.31 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00    0.32 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.23    0.55 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03    0.58 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.21    0.80 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02    0.81 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.12    0.94 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00    0.94 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.17    1.10 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00    1.11 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.16    1.26 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00    1.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.50 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.50 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.02 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00    2.02 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.26 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00    2.27 ^ mprj/u_mbist1/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.17    0.25    2.52 ^ mprj/u_mbist1/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2103 (net)
+                  0.17    0.00    2.52 ^ mprj/u_mbist1/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.21    2.73 ^ mprj/u_mbist1/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2102 (net)
+                  0.11    0.00    2.73 ^ mprj/u_mbist1/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.18    2.91 ^ mprj/u_mbist1/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2101 (net)
+                  0.11    0.01    2.92 ^ mprj/u_mbist1/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.07 ^ mprj/u_mbist1/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist1/clknet_0_wb_clk2_i (net)
+                  0.04    0.00    3.07 ^ mprj/u_mbist1/clkbuf_1_0__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.19 ^ mprj/u_mbist1/clkbuf_1_0__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_mbist1/clknet_1_0__leaf_wb_clk2_i (net)
+                  0.03    0.00    3.19 ^ mprj/u_mbist1/wire14/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.27    0.29    3.48 ^ mprj/u_mbist1/wire14/X (sky130_fd_sc_hd__clkbuf_4)
+     8    0.09                           mprj/u_mbist1/net2107 (net)
+                  0.27    0.01    3.49 ^ mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_8)
+                  0.04    0.22    3.71 ^ mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.00                           mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.04    0.00    3.71 ^ mprj/u_mbist1/wire13/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.27    3.98 ^ mprj/u_mbist1/wire13/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mbist1/net2106 (net)
+                  0.26    0.00    3.98 ^ mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.28    4.26 ^ mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.12                           mprj/u_mbist1/clknet_0_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.13    0.01    4.26 ^ mprj/u_mbist1/clkbuf_3_1__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    4.44 ^ mprj/u_mbist1/clkbuf_3_1__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_mbist1/clknet_3_1__leaf_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.05    0.00    4.44 ^ mprj/u_mbist1/_4063_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    4.54   clock uncertainty
+                         -0.16    4.37   clock reconvergence pessimism
+                         -0.03    4.34   library hold time
+                                  4.34   data required time
+-----------------------------------------------------------------------------
+                                  4.34   data required time
+                                 -4.65   data arrival time
+-----------------------------------------------------------------------------
+                                  0.31   slack (MET)
+
+
+Startpoint: mprj/u_mbist0/_4119_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist0/_4063_
+          (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Path Group: wbs_clk_i
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.41    0.41 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00    0.41 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.19    0.60 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00    0.60 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.21    0.81 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00    0.81 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    0.97 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00    0.97 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    1.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00    1.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.44 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00    1.44 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12    1.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00    1.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00    1.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.93 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00    1.93 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23    2.16 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00    2.16 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23    2.39 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00    2.40 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19    2.59 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00    2.59 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16    2.75 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00    2.75 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16    2.92 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00    2.92 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.05 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_0_0_wb_clk_i (net)
+                  0.05    0.00    3.05 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.18 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_0_1_wb_clk_i (net)
+                  0.05    0.00    3.18 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    3.29 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_0_2_wb_clk_i (net)
+                  0.03    0.00    3.29 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.17    3.46 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist0/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.46 ^ mprj/u_mbist0/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.25    3.71 ^ mprj/u_mbist0/wire5/X (sky130_fd_sc_hd__buf_4)
+     6    0.08                           mprj/u_mbist0/net2098 (net)
+                  0.21    0.00    3.72 ^ mprj/u_mbist0/clkbuf_leaf_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.24    3.96 ^ mprj/u_mbist0/clkbuf_leaf_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.11                           mprj/u_mbist0/clknet_leaf_3_wb_clk_i (net)
+                  0.13    0.01    3.96 ^ mprj/u_mbist0/_4119_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.06    0.35    4.31 ^ mprj/u_mbist0/_4119_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mbist0/u_mbist.bist_error_addr[2][8] (net)
+                  0.06    0.00    4.31 ^ mprj/u_mbist0/fanout1948/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.25    0.23    4.54 ^ mprj/u_mbist0/fanout1948/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist0/net1948 (net)
+                  0.25    0.00    4.54 ^ mprj/u_mbist0/_3016_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.17    4.71 ^ mprj/u_mbist0/_3016_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/_0071_ (net)
+                  0.04    0.00    4.71 ^ mprj/u_mbist0/_4063_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  4.71   data arrival time
+
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.44    0.44 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00    0.45 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.20    0.65 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00    0.65 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.23    0.87 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00    0.88 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.17    1.04 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00    1.04 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.12    1.55 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00    1.55 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.08 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00    2.08 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.33 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00    2.33 ^ mprj/u_mbist0/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.17    0.25    2.58 ^ mprj/u_mbist0/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2103 (net)
+                  0.17    0.00    2.59 ^ mprj/u_mbist0/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.21    2.79 ^ mprj/u_mbist0/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2102 (net)
+                  0.11    0.00    2.80 ^ mprj/u_mbist0/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.18    2.97 ^ mprj/u_mbist0/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2101 (net)
+                  0.11    0.01    2.98 ^ mprj/u_mbist0/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.13 ^ mprj/u_mbist0/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist0/clknet_0_wb_clk2_i (net)
+                  0.04    0.00    3.14 ^ mprj/u_mbist0/clkbuf_1_0__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.25 ^ mprj/u_mbist0/clkbuf_1_0__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_mbist0/clknet_1_0__leaf_wb_clk2_i (net)
+                  0.03    0.00    3.25 ^ mprj/u_mbist0/wire14/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.27    0.29    3.54 ^ mprj/u_mbist0/wire14/X (sky130_fd_sc_hd__clkbuf_4)
+     8    0.09                           mprj/u_mbist0/net2107 (net)
+                  0.27    0.01    3.55 ^ mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_8)
+                  0.04    0.22    3.77 ^ mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.00                           mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.04    0.00    3.77 ^ mprj/u_mbist0/wire13/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.27    4.04 ^ mprj/u_mbist0/wire13/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mbist0/net2106 (net)
+                  0.26    0.00    4.04 ^ mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.28    4.32 ^ mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.12                           mprj/u_mbist0/clknet_0_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.13    0.01    4.33 ^ mprj/u_mbist0/clkbuf_3_1__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    4.50 ^ mprj/u_mbist0/clkbuf_3_1__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_mbist0/clknet_3_1__leaf_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.05    0.00    4.50 ^ mprj/u_mbist0/_4063_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                          0.10    4.60   clock uncertainty
+                         -0.17    4.43   clock reconvergence pessimism
+                         -0.03    4.40   library hold time
+                                  4.40   data required time
+-----------------------------------------------------------------------------
+                                  4.40   data required time
+                                 -4.71   data arrival time
+-----------------------------------------------------------------------------
+                                  0.31   slack (MET)
+
+
+Startpoint: mprj/u_intercon/_4151_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist1/_4592_
+          (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Path Group: wbs_clk_i
+Path Type: min
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input317/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.21    0.26    0.26 ^ mprj/u_intercon/input317/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.02                           mprj/u_intercon/net317 (net)
-                  0.20    0.00    0.26 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.14    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.21    0.00    0.26 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.14    0.40 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_intercon/u_skew_wi.clk_d1 (net)
-                  0.09    0.00    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.00    0.40 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    0.51 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_intercon/u_skew_wi.clk_d2 (net)
-                  0.07    0.00    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.00    0.51 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_intercon/u_skew_wi.clk_d3 (net)
-                  0.10    0.00    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.00    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    0.73 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_intercon/u_skew_wi.clk_d4 (net)
-                  0.08    0.00    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.00    0.73 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    0.84 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_intercon/u_skew_wi.clk_d5 (net)
-                  0.08    0.00    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.00    0.84 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
                   0.07    0.11    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_intercon/u_skew_wi.clk_d6 (net)
                   0.07    0.00    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
                   0.08    0.11    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_intercon/u_skew_wi.clk_d7 (net)
                   0.08    0.00    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.18 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    1.17 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_intercon/u_skew_wi.clk_d8 (net)
-                  0.08    0.00    1.18 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d04 (net)
-                  0.05    0.00    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.12    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
+                  0.08    0.00    1.17 ^ mprj/u_intercon/u_skew_wi.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    1.28 ^ mprj/u_intercon/u_skew_wi.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d9 (net)
+                  0.08    0.00    1.28 ^ mprj/u_intercon/u_skew_wi.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.12    1.40 ^ mprj/u_intercon/u_skew_wi.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d10 (net)
+                  0.09    0.00    1.40 ^ mprj/u_intercon/u_skew_wi.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.52 ^ mprj/u_intercon/u_skew_wi.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_intercon/u_skew_wi.d05 (net)
+                  0.04    0.00    1.52 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.13    1.64 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_intercon/u_skew_wi.d12 (net)
-                  0.06    0.00    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.00    1.65 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12    1.77 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_intercon/u_skew_wi.d21 (net)
-                  0.05    0.00    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    1.69 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    1.77 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.13    1.90 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
      1    0.01                           mprj/u_intercon/net626 (net)
-                  0.07    0.00    1.69 ^ mprj/u_intercon/output626/A (sky130_fd_sc_hd__buf_2)
-                  0.80    0.60    2.29 ^ mprj/u_intercon/output626/X (sky130_fd_sc_hd__buf_2)
-     2    0.17                           mprj/wbd_clk_wi_skew (net)
-                  0.81    0.04    2.33 ^ mprj/u_intercon/clkbuf_0_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.28    2.61 ^ mprj/u_intercon/clkbuf_0_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.00    1.90 ^ mprj/u_intercon/output626/A (sky130_fd_sc_hd__buf_2)
+                  0.06    0.12    2.02 ^ mprj/u_intercon/output626/X (sky130_fd_sc_hd__buf_2)
+     2    0.01                           mprj/wbd_clk_wi_skew (net)
+                  0.06    0.00    2.02 ^ mprj/u_intercon/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.24    0.24    2.26 ^ mprj/u_intercon/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.04                           mprj/u_intercon/net1226 (net)
+                  0.24    0.00    2.26 ^ mprj/u_intercon/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.14    0.23    2.50 ^ mprj/u_intercon/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.05                           mprj/u_intercon/net1225 (net)
+                  0.14    0.00    2.50 ^ mprj/u_intercon/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.16    2.65 ^ mprj/u_intercon/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/u_intercon/net1224 (net)
+                  0.09    0.01    2.66 ^ mprj/u_intercon/clkbuf_0_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.15    2.81 ^ mprj/u_intercon/clkbuf_0_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_intercon/clknet_0_clk_i (net)
-                  0.07    0.00    2.61 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.74 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_intercon/clknet_1_1_0_clk_i (net)
-                  0.04    0.00    2.74 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.86 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_1_clk_i (net)
-                  0.04    0.00    2.86 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.11    2.97 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    2.81 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    2.93 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_intercon/clknet_1_1_0_clk_i (net)
+                  0.04    0.00    2.93 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12    3.05 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_intercon/clknet_1_1_1_clk_i (net)
+                  0.05    0.00    3.05 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11    3.16 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.01                           mprj/u_intercon/clknet_1_1_2_clk_i (net)
-                  0.04    0.00    2.97 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.13    3.11 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.00    3.16 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.13    3.29 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_intercon/clknet_1_1_3_clk_i (net)
-                  0.07    0.00    3.11 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    3.25 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    3.30 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14    3.44 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_intercon/clknet_2_2_0_clk_i (net)
-                  0.06    0.00    3.25 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    3.37 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    3.44 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12    3.56 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.01                           mprj/u_intercon/clknet_3_5_0_clk_i (net)
-                  0.04    0.00    3.37 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.21    0.24    3.61 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.00    3.56 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.21    0.24    3.80 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
     14    0.12                           mprj/u_intercon/clknet_3_5_1_clk_i (net)
-                  0.21    0.01    3.62 ^ mprj/u_intercon/clkbuf_leaf_19_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.09    0.22    3.84 ^ mprj/u_intercon/clkbuf_leaf_19_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.21    0.01    3.81 ^ mprj/u_intercon/clkbuf_leaf_19_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.22    4.03 ^ mprj/u_intercon/clkbuf_leaf_19_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
     32    0.08                           mprj/u_intercon/clknet_leaf_19_clk_i (net)
-                  0.10    0.00    3.84 ^ mprj/u_intercon/_4134_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.06    0.34    4.18 ^ mprj/u_intercon/_4134_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_intercon/u_s3.u_sync_wbb.s_cmd_rd_data_l[22] (net)
-                  0.06    0.00    4.18 ^ mprj/u_intercon/_2124_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    4.29 ^ mprj/u_intercon/_2124_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/net612 (net)
-                  0.04    0.00    4.29 ^ mprj/u_intercon/output612/A (sky130_fd_sc_hd__buf_2)
-                  0.21    0.21    4.50 ^ mprj/u_intercon/output612/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_mbist1_dat_o[4] (net)
-                  0.21    0.00    4.50 ^ mprj/u_mbist1/input186/A (sky130_fd_sc_hd__buf_2)
-                  0.30    0.33    4.83 ^ mprj/u_mbist1/input186/X (sky130_fd_sc_hd__buf_2)
-     4    0.06                           mprj/u_mbist1/net186 (net)
-                  0.30    0.00    4.83 ^ mprj/u_mbist1/_3891_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.18    5.01 ^ mprj/u_mbist1/_3891_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/_0525_ (net)
-                  0.04    0.00    5.01 ^ mprj/u_mbist1/_4575_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  5.01   data arrival time
+                  0.10    0.00    4.03 ^ mprj/u_intercon/_4151_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.06    0.34    4.37 ^ mprj/u_intercon/_4151_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_intercon/u_s3.u_sync_wbb.s_cmd_rd_data_l[39] (net)
+                  0.06    0.00    4.37 ^ mprj/u_intercon/_2158_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    4.53 ^ mprj/u_intercon/_2158_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_intercon/net599 (net)
+                  0.10    0.00    4.53 ^ mprj/u_intercon/output599/A (sky130_fd_sc_hd__buf_2)
+                  0.16    0.20    4.73 ^ mprj/u_intercon/output599/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_mbist1_dat_o[21] (net)
+                  0.16    0.00    4.74 ^ mprj/u_mbist1/input173/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.17    4.91 ^ mprj/u_mbist1/input173/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_mbist1/net173 (net)
+                  0.14    0.00    4.91 ^ mprj/u_mbist1/_3908_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.15    5.05 ^ mprj/u_mbist1/_3908_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/_0542_ (net)
+                  0.04    0.00    5.05 ^ mprj/u_mbist1/_4592_/D (sky130_fd_sc_hd__dfrtp_4)
+                                  5.05   data arrival time
 
                           0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.24    0.53 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.31    0.31 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00    0.32 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.23    0.55 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
      2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.21    0.76 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+                  0.20    0.03    0.58 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.21    0.80 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
      2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02    0.77 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.13    0.90 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.02    0.81 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.12    0.94 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00    0.90 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.17    1.07 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+                  0.06    0.00    0.94 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.17    1.10 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
      2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00    1.07 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    1.22 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.00    1.11 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.16    1.26 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00    1.22 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00    1.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.00    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.50 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    2.07 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    2.07 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00    1.50 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
      1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.60 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.02 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00    2.60 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.46 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05    3.51 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.84 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00    2.02 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.26 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00    2.27 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.25    2.52 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00    2.52 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.20    2.72 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00    2.73 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.17    2.90 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00    2.91 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17    3.08 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.85 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.99 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    3.08 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.14    3.22 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00    3.99 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.13 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00    4.13 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.24 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.00    3.22 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.35 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00    3.35 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    3.46 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00    4.25 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.41    4.66 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
-                  0.44    0.03    4.68 ^ mprj/u_mbist1/clkbuf_leaf_10_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.29    4.98 ^ mprj/u_mbist1/clkbuf_leaf_10_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_mbist1/clknet_leaf_10_wb_clk_i (net)
-                  0.11    0.00    4.98 ^ mprj/u_mbist1/_4575_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    5.08   clock uncertainty
-                          0.00    5.08   clock reconvergence pessimism
-                         -0.02    5.05   library hold time
-                                  5.05   data required time
+                  0.03    0.00    3.46 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.19    3.66 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00    3.66 ^ mprj/u_mbist1/wire7/A (sky130_fd_sc_hd__buf_8)
+                  0.20    0.24    3.90 ^ mprj/u_mbist1/wire7/X (sky130_fd_sc_hd__buf_8)
+    18    0.12                           mprj/u_mbist1/net2100 (net)
+                  0.20    0.01    3.91 ^ mprj/u_mbist1/clkbuf_opt_5_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    4.09 ^ mprj/u_mbist1/clkbuf_opt_5_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist1/clknet_opt_5_0_wb_clk_i (net)
+                  0.04    0.00    4.09 ^ mprj/u_mbist1/clkbuf_opt_5_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.22 ^ mprj/u_mbist1/clkbuf_opt_5_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist1/clknet_opt_5_1_wb_clk_i (net)
+                  0.04    0.00    4.22 ^ mprj/u_mbist1/clkbuf_opt_5_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.35 ^ mprj/u_mbist1/clkbuf_opt_5_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist1/clknet_opt_5_2_wb_clk_i (net)
+                  0.04    0.00    4.35 ^ mprj/u_mbist1/clkbuf_opt_5_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.48 ^ mprj/u_mbist1/clkbuf_opt_5_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist1/clknet_opt_5_3_wb_clk_i (net)
+                  0.04    0.00    4.49 ^ mprj/u_mbist1/clkbuf_leaf_16_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.18    4.67 ^ mprj/u_mbist1/clkbuf_leaf_16_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           mprj/u_mbist1/clknet_leaf_16_wb_clk_i (net)
+                  0.10    0.00    4.67 ^ mprj/u_mbist1/_4592_/CLK (sky130_fd_sc_hd__dfrtp_4)
+                          0.10    4.77   clock uncertainty
+                          0.00    4.77   clock reconvergence pessimism
+                         -0.03    4.74   library hold time
+                                  4.74   data required time
 -----------------------------------------------------------------------------
-                                  5.05   data required time
-                                 -5.01   data arrival time
+                                  4.74   data required time
+                                 -5.05   data arrival time
 -----------------------------------------------------------------------------
-                                 -0.04   slack (VIOLATED)
+                                  0.31   slack (MET)
 
 
 Startpoint: mprj/u_mbist0/_4498_
             (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist0/_4481_
+Endpoint: mprj/u_mbist0/_4300_
           (recovery check against rising-edge clock wbs_clk_i)
 Path Group: **async_default**
 Path Type: max
@@ -77747,1530 +92617,210 @@
 -----------------------------------------------------------------------------
                           0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.27    0.36    0.36 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     2    0.03                           mprj/u_intercon/net2 (net)
-                  0.27    0.00    0.36 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.13    0.50 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net319 (net)
-                  0.06    0.00    0.50 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
-                  0.15    0.19    0.69 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.44    0.44 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00    0.45 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.20    0.65 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00    0.65 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.23    0.87 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
      2    0.03                           mprj/wbd_clk_mbist0_rp (net)
-                  0.15    0.00    0.69 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    0.86 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.00    0.88 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.17    1.04 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/net198 (net)
-                  0.12    0.00    0.86 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00    1.04 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.00    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.00    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.12    1.55 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.70 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    1.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d03 (net)
-                  0.04    0.00    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00    1.55 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
-                  0.04    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
      1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.23 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.08 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist0/net427 (net)
-                  0.05    0.00    2.23 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.09 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist0_skew (net)
-                  1.11    0.05    3.14 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.48 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00    2.08 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.33 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00    2.33 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.25    2.58 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00    2.58 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.20    2.79 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00    2.79 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.17    2.97 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00    2.97 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17    3.14 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.48 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.63 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    3.14 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.28 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.02                           mprj/u_mbist0/clknet_1_0_0_wb_clk_i (net)
-                  0.05    0.00    3.63 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    3.77 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    3.28 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.42 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.02                           mprj/u_mbist0/clknet_1_0_1_wb_clk_i (net)
-                  0.05    0.00    3.77 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    3.89 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    3.43 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    3.55 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.01                           mprj/u_mbist0/clknet_1_0_2_wb_clk_i (net)
-                  0.03    0.00    3.89 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.37    0.36    4.25 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.22                           mprj/u_mbist0/clknet_1_0_3_wb_clk_i (net)
-                  0.37    0.01    4.26 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.22    4.48 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    3.55 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    3.73 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist0/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.73 ^ mprj/u_mbist0/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.27    4.00 ^ mprj/u_mbist0/wire5/X (sky130_fd_sc_hd__buf_4)
+     6    0.08                           mprj/u_mbist0/net2098 (net)
+                  0.21    0.00    4.00 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.19    4.19 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.02                           mprj/u_mbist0/clknet_opt_2_0_wb_clk_i (net)
-                  0.05    0.00    4.48 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.61 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.19 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.32 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.02                           mprj/u_mbist0/clknet_opt_2_1_wb_clk_i (net)
-                  0.04    0.00    4.61 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.74 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.32 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.45 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.02                           mprj/u_mbist0/clknet_opt_2_2_wb_clk_i (net)
-                  0.04    0.00    4.74 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.87 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.45 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.58 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_mbist0/clknet_opt_2_3_wb_clk_i (net)
-                  0.05    0.00    4.87 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    4.99 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00    4.59 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    4.70 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.01                           mprj/u_mbist0/clknet_leaf_24_wb_clk_i (net)
-                  0.03    0.00    4.99 ^ mprj/u_mbist0/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.09    0.36    5.35 ^ mprj/u_mbist0/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
+                  0.03    0.00    4.70 ^ mprj/u_mbist0/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.36    5.06 ^ mprj/u_mbist0/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
      1    0.01                           mprj/u_mbist0/u_mbist.mem_no[0].u_cmp.rst_n (net)
-                  0.09    0.00    5.35 ^ mprj/u_mbist0/fanout1728/A (sky130_fd_sc_hd__buf_4)
-                  0.14    0.21    5.56 ^ mprj/u_mbist0/fanout1728/X (sky130_fd_sc_hd__buf_4)
+                  0.09    0.00    5.06 ^ mprj/u_mbist0/fanout1728/A (sky130_fd_sc_hd__buf_4)
+                  0.15    0.21    5.27 ^ mprj/u_mbist0/fanout1728/X (sky130_fd_sc_hd__buf_4)
      4    0.05                           mprj/u_mbist0/net1728 (net)
-                  0.14    0.00    5.56 ^ mprj/u_mbist0/wire1729/A (sky130_fd_sc_hd__buf_4)
-                  0.24    0.28    5.84 ^ mprj/u_mbist0/wire1729/X (sky130_fd_sc_hd__buf_4)
+                  0.15    0.00    5.27 ^ mprj/u_mbist0/wire1729/A (sky130_fd_sc_hd__buf_4)
+                  0.26    0.29    5.57 ^ mprj/u_mbist0/wire1729/X (sky130_fd_sc_hd__buf_4)
      2    0.09                           mprj/u_mbist0/net1729 (net)
-                  0.24    0.02    5.86 ^ mprj/u_mbist0/fanout1727/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.42    6.28 ^ mprj/u_mbist0/fanout1727/X (sky130_fd_sc_hd__buf_2)
+                  0.26    0.03    5.60 ^ mprj/u_mbist0/fanout1727/A (sky130_fd_sc_hd__buf_2)
+                  0.41    0.44    6.04 ^ mprj/u_mbist0/fanout1727/X (sky130_fd_sc_hd__buf_2)
      4    0.08                           mprj/u_mbist0/net1727 (net)
-                  0.38    0.00    6.29 ^ mprj/u_mbist0/fanout1726/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.25    0.35    6.63 ^ mprj/u_mbist0/fanout1726/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.05                           mprj/u_mbist0/net1726 (net)
-                  0.25    0.00    6.64 ^ mprj/u_mbist0/fanout1725/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.32    0.35    6.98 ^ mprj/u_mbist0/fanout1725/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.03                           mprj/u_mbist0/net1725 (net)
-                  0.32    0.00    6.98 ^ mprj/u_mbist0/fanout1724/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.17    7.15 ^ mprj/u_mbist0/fanout1724/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net1724 (net)
-                  0.09    0.00    7.15 ^ mprj/u_mbist0/fanout1723/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.17    0.19    7.34 ^ mprj/u_mbist0/fanout1723/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net1723 (net)
-                  0.17    0.00    7.34 ^ mprj/u_mbist0/fanout1722/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.20    0.22    7.56 ^ mprj/u_mbist0/fanout1722/X (sky130_fd_sc_hd__clkbuf_1)
-     3    0.02                           mprj/u_mbist0/net1722 (net)
-                  0.20    0.00    7.56 ^ mprj/u_mbist0/fanout1721/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.18    0.23    7.79 ^ mprj/u_mbist0/fanout1721/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     3    0.02                           mprj/u_mbist0/net1721 (net)
-                  0.18    0.00    7.79 ^ mprj/u_mbist0/fanout1720/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    7.95 ^ mprj/u_mbist0/fanout1720/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net1720 (net)
-                  0.10    0.00    7.95 ^ mprj/u_mbist0/fanout1716/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.17    0.19    8.15 ^ mprj/u_mbist0/fanout1716/X (sky130_fd_sc_hd__clkbuf_1)
-     3    0.01                           mprj/u_mbist0/net1716 (net)
-                  0.17    0.00    8.15 ^ mprj/u_mbist0/fanout1715/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.21    0.25    8.40 ^ mprj/u_mbist0/fanout1715/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.02                           mprj/u_mbist0/net1715 (net)
-                  0.21    0.00    8.40 ^ mprj/u_mbist0/_4481_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  8.40   data arrival time
-
-                         10.00   10.00   clock wbs_clk_i (rise edge)
-                          0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.27    0.33   10.33 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     2    0.03                           mprj/u_intercon/net2 (net)
-                  0.27    0.00   10.34 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.12   10.46 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net319 (net)
-                  0.06    0.00   10.46 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
-                  0.15    0.18   10.64 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
-                  0.15    0.00   10.64 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.15   10.79 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net198 (net)
-                  0.12    0.00   10.79 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12   10.91 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00   10.91 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.01 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00   11.02 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.13   11.15 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00   11.15 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.26 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00   11.26 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.37 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00   11.37 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00   11.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.58 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00   11.58 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12   11.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d03 (net)
-                  0.04    0.00   11.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11   11.81 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
-                  0.04    0.00   11.81 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   11.94 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
-                  0.07    0.00   11.94 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   12.07 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/net427 (net)
-                  0.05    0.00   12.07 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.80   12.87 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist0_skew (net)
-                  1.11    0.05   12.91 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31   13.23 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
-                  0.08    0.00   13.23 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   13.36 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00   13.36 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.12   13.49 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00   13.49 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.11   13.60 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00   13.60 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.38   13.98 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
-                  0.45    0.03   14.01 ^ mprj/u_mbist0/clkbuf_leaf_15_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.12    0.28   14.29 ^ mprj/u_mbist0/clkbuf_leaf_15_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.10                           mprj/u_mbist0/clknet_leaf_15_wb_clk_i (net)
-                  0.12    0.00   14.29 ^ mprj/u_mbist0/_4481_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   14.04   clock uncertainty
-                          0.25   14.29   clock reconvergence pessimism
-                          0.21   14.50   library recovery time
-                                 14.50   data required time
------------------------------------------------------------------------------
-                                 14.50   data required time
-                                 -8.40   data arrival time
------------------------------------------------------------------------------
-                                  6.11   slack (MET)
-
-
-Startpoint: mprj/u_mbist1/_4498_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist1/_4481_
-          (recovery check against rising-edge clock wbs_clk_i)
-Path Group: **async_default**
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.24    0.53 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
-     2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.21    0.76 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02    0.77 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.13    0.90 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00    0.90 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.17    1.07 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
-     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00    1.07 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    1.22 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00    1.22 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    2.07 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    2.07 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.60 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00    2.60 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.46 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05    3.51 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.84 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.85 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    4.00 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_0_0_wb_clk_i (net)
-                  0.05    0.00    4.00 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    4.13 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_0_1_wb_clk_i (net)
-                  0.05    0.00    4.13 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.25 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist1/clknet_1_0_2_wb_clk_i (net)
-                  0.03    0.00    4.25 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.37    0.36    4.61 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.22                           mprj/u_mbist1/clknet_1_0_3_wb_clk_i (net)
-                  0.37    0.01    4.62 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.22    4.85 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_2_0_wb_clk_i (net)
-                  0.05    0.00    4.85 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.98 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_2_1_wb_clk_i (net)
-                  0.04    0.00    4.98 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.11 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_2_2_wb_clk_i (net)
-                  0.04    0.00    5.11 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    5.24 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_mbist1/clknet_opt_2_3_wb_clk_i (net)
-                  0.05    0.00    5.24 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    5.36 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.01                           mprj/u_mbist1/clknet_leaf_24_wb_clk_i (net)
-                  0.03    0.00    5.36 ^ mprj/u_mbist1/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.09    0.36    5.72 ^ mprj/u_mbist1/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.01                           mprj/u_mbist1/u_mbist.mem_no[0].u_cmp.rst_n (net)
-                  0.09    0.00    5.72 ^ mprj/u_mbist1/fanout1728/A (sky130_fd_sc_hd__buf_4)
-                  0.14    0.21    5.92 ^ mprj/u_mbist1/fanout1728/X (sky130_fd_sc_hd__buf_4)
-     4    0.05                           mprj/u_mbist1/net1728 (net)
-                  0.14    0.00    5.93 ^ mprj/u_mbist1/wire1729/A (sky130_fd_sc_hd__buf_4)
-                  0.24    0.28    6.21 ^ mprj/u_mbist1/wire1729/X (sky130_fd_sc_hd__buf_4)
-     2    0.09                           mprj/u_mbist1/net1729 (net)
-                  0.24    0.02    6.23 ^ mprj/u_mbist1/fanout1727/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.42    6.65 ^ mprj/u_mbist1/fanout1727/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_mbist1/net1727 (net)
-                  0.38    0.00    6.65 ^ mprj/u_mbist1/fanout1726/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.25    0.35    7.00 ^ mprj/u_mbist1/fanout1726/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.05                           mprj/u_mbist1/net1726 (net)
-                  0.25    0.00    7.01 ^ mprj/u_mbist1/fanout1725/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.32    0.35    7.35 ^ mprj/u_mbist1/fanout1725/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.03                           mprj/u_mbist1/net1725 (net)
-                  0.32    0.00    7.35 ^ mprj/u_mbist1/fanout1724/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.17    7.52 ^ mprj/u_mbist1/fanout1724/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net1724 (net)
-                  0.09    0.00    7.52 ^ mprj/u_mbist1/fanout1723/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.17    0.19    7.70 ^ mprj/u_mbist1/fanout1723/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net1723 (net)
-                  0.17    0.00    7.70 ^ mprj/u_mbist1/fanout1722/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.20    0.22    7.93 ^ mprj/u_mbist1/fanout1722/X (sky130_fd_sc_hd__clkbuf_1)
-     3    0.02                           mprj/u_mbist1/net1722 (net)
-                  0.20    0.00    7.93 ^ mprj/u_mbist1/fanout1721/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.18    0.23    8.16 ^ mprj/u_mbist1/fanout1721/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     3    0.02                           mprj/u_mbist1/net1721 (net)
-                  0.18    0.00    8.16 ^ mprj/u_mbist1/fanout1720/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    8.32 ^ mprj/u_mbist1/fanout1720/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net1720 (net)
-                  0.10    0.00    8.32 ^ mprj/u_mbist1/fanout1716/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.17    0.19    8.51 ^ mprj/u_mbist1/fanout1716/X (sky130_fd_sc_hd__clkbuf_1)
-     3    0.01                           mprj/u_mbist1/net1716 (net)
-                  0.17    0.00    8.51 ^ mprj/u_mbist1/fanout1715/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.21    0.25    8.76 ^ mprj/u_mbist1/fanout1715/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.02                           mprj/u_mbist1/net1715 (net)
-                  0.21    0.00    8.76 ^ mprj/u_mbist1/_4481_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  8.76   data arrival time
-
-                         10.00   10.00   clock wbs_clk_i (rise edge)
-                          0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.27   10.27 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00   10.27 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.22   10.49 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
-     2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01   10.50 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.20   10.70 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02   10.72 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12   10.84 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00   10.84 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.15   10.99 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
-     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00   10.99 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14   11.14 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00   11.14 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12   11.25 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00   11.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.36 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00   11.36 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.13   11.49 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00   11.49 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.60 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00   11.60 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.71 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00   11.71 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.82 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00   11.82 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.92 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00   11.92 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12   12.04 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00   12.04 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11   12.15 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00   12.15 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   12.28 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00   12.28 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   12.41 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00   12.41 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.80   13.21 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05   13.26 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31   13.57 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00   13.57 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   13.70 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00   13.71 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.12   13.83 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00   13.83 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.11   13.94 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00   13.94 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.38   14.32 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
-                  0.45    0.03   14.35 ^ mprj/u_mbist1/clkbuf_leaf_15_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.12    0.28   14.63 ^ mprj/u_mbist1/clkbuf_leaf_15_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.10                           mprj/u_mbist1/clknet_leaf_15_wb_clk_i (net)
-                  0.12    0.00   14.63 ^ mprj/u_mbist1/_4481_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   14.38   clock uncertainty
-                          0.28   14.66   clock reconvergence pessimism
-                          0.21   14.87   library recovery time
-                                 14.87   data required time
------------------------------------------------------------------------------
-                                 14.87   data required time
-                                 -8.76   data arrival time
------------------------------------------------------------------------------
-                                  6.11   slack (MET)
-
-
-Startpoint: mprj/u_mbist0/_4498_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist0/_4543_
-          (recovery check against rising-edge clock wbs_clk_i)
-Path Group: **async_default**
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.27    0.36    0.36 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     2    0.03                           mprj/u_intercon/net2 (net)
-                  0.27    0.00    0.36 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.13    0.50 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net319 (net)
-                  0.06    0.00    0.50 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
-                  0.15    0.19    0.69 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
-                  0.15    0.00    0.69 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    0.86 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net198 (net)
-                  0.12    0.00    0.86 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.70 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    1.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d03 (net)
-                  0.04    0.00    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
-                  0.04    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.23 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/net427 (net)
-                  0.05    0.00    2.23 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.09 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist0_skew (net)
-                  1.11    0.05    3.14 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.48 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.48 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.63 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_0_0_wb_clk_i (net)
-                  0.05    0.00    3.63 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    3.77 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_0_1_wb_clk_i (net)
-                  0.05    0.00    3.77 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    3.89 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist0/clknet_1_0_2_wb_clk_i (net)
-                  0.03    0.00    3.89 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.37    0.36    4.25 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.22                           mprj/u_mbist0/clknet_1_0_3_wb_clk_i (net)
-                  0.37    0.01    4.26 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.22    4.48 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_2_0_wb_clk_i (net)
-                  0.05    0.00    4.48 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.61 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_2_1_wb_clk_i (net)
-                  0.04    0.00    4.61 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.74 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_2_2_wb_clk_i (net)
-                  0.04    0.00    4.74 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.87 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_mbist0/clknet_opt_2_3_wb_clk_i (net)
-                  0.05    0.00    4.87 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    4.99 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.01                           mprj/u_mbist0/clknet_leaf_24_wb_clk_i (net)
-                  0.03    0.00    4.99 ^ mprj/u_mbist0/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.09    0.36    5.35 ^ mprj/u_mbist0/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.01                           mprj/u_mbist0/u_mbist.mem_no[0].u_cmp.rst_n (net)
-                  0.09    0.00    5.35 ^ mprj/u_mbist0/fanout1728/A (sky130_fd_sc_hd__buf_4)
-                  0.14    0.21    5.56 ^ mprj/u_mbist0/fanout1728/X (sky130_fd_sc_hd__buf_4)
-     4    0.05                           mprj/u_mbist0/net1728 (net)
-                  0.14    0.00    5.56 ^ mprj/u_mbist0/wire1729/A (sky130_fd_sc_hd__buf_4)
-                  0.24    0.28    5.84 ^ mprj/u_mbist0/wire1729/X (sky130_fd_sc_hd__buf_4)
-     2    0.09                           mprj/u_mbist0/net1729 (net)
-                  0.24    0.02    5.86 ^ mprj/u_mbist0/fanout1727/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.42    6.28 ^ mprj/u_mbist0/fanout1727/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_mbist0/net1727 (net)
-                  0.38    0.00    6.29 ^ mprj/u_mbist0/fanout1726/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.25    0.35    6.63 ^ mprj/u_mbist0/fanout1726/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.05                           mprj/u_mbist0/net1726 (net)
-                  0.25    0.00    6.64 ^ mprj/u_mbist0/fanout1725/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.32    0.35    6.98 ^ mprj/u_mbist0/fanout1725/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.03                           mprj/u_mbist0/net1725 (net)
-                  0.32    0.00    6.98 ^ mprj/u_mbist0/fanout1724/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.17    7.15 ^ mprj/u_mbist0/fanout1724/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net1724 (net)
-                  0.09    0.00    7.15 ^ mprj/u_mbist0/fanout1723/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.17    0.19    7.34 ^ mprj/u_mbist0/fanout1723/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net1723 (net)
-                  0.17    0.00    7.34 ^ mprj/u_mbist0/fanout1722/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.20    0.22    7.56 ^ mprj/u_mbist0/fanout1722/X (sky130_fd_sc_hd__clkbuf_1)
-     3    0.02                           mprj/u_mbist0/net1722 (net)
-                  0.20    0.00    7.56 ^ mprj/u_mbist0/fanout1721/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.18    0.23    7.79 ^ mprj/u_mbist0/fanout1721/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     3    0.02                           mprj/u_mbist0/net1721 (net)
-                  0.18    0.00    7.79 ^ mprj/u_mbist0/fanout1720/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    7.95 ^ mprj/u_mbist0/fanout1720/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net1720 (net)
-                  0.10    0.00    7.95 ^ mprj/u_mbist0/fanout1719/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.16    0.18    8.14 ^ mprj/u_mbist0/fanout1719/X (sky130_fd_sc_hd__clkbuf_1)
-     3    0.01                           mprj/u_mbist0/net1719 (net)
-                  0.16    0.00    8.14 ^ mprj/u_mbist0/fanout1717/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.20    0.24    8.38 ^ mprj/u_mbist0/fanout1717/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.02                           mprj/u_mbist0/net1717 (net)
-                  0.20    0.00    8.38 ^ mprj/u_mbist0/_4543_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  8.38   data arrival time
-
-                         10.00   10.00   clock wbs_clk_i (rise edge)
-                          0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.27    0.33   10.33 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     2    0.03                           mprj/u_intercon/net2 (net)
-                  0.27    0.00   10.34 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.12   10.46 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net319 (net)
-                  0.06    0.00   10.46 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
-                  0.15    0.18   10.64 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
-                  0.15    0.00   10.64 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.15   10.79 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net198 (net)
-                  0.12    0.00   10.79 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12   10.91 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00   10.91 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.01 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00   11.02 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.13   11.15 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00   11.15 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.26 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00   11.26 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.37 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00   11.37 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00   11.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.58 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00   11.58 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12   11.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d03 (net)
-                  0.04    0.00   11.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11   11.81 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
-                  0.04    0.00   11.81 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   11.94 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
-                  0.07    0.00   11.94 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   12.07 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/net427 (net)
-                  0.05    0.00   12.07 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.80   12.87 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist0_skew (net)
-                  1.11    0.05   12.91 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31   13.23 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
-                  0.08    0.00   13.23 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   13.36 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00   13.36 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.12   13.49 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00   13.49 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.11   13.60 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00   13.60 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.38   13.98 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
-                  0.45    0.03   14.01 ^ mprj/u_mbist0/clkbuf_leaf_11_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.12    0.29   14.29 ^ mprj/u_mbist0/clkbuf_leaf_11_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.10                           mprj/u_mbist0/clknet_leaf_11_wb_clk_i (net)
-                  0.12    0.00   14.30 ^ mprj/u_mbist0/_4543_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   14.05   clock uncertainty
-                          0.25   14.30   clock reconvergence pessimism
-                          0.22   14.51   library recovery time
-                                 14.51   data required time
------------------------------------------------------------------------------
-                                 14.51   data required time
-                                 -8.38   data arrival time
------------------------------------------------------------------------------
-                                  6.14   slack (MET)
-
-
-Startpoint: mprj/u_mbist1/_4498_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist1/_4543_
-          (recovery check against rising-edge clock wbs_clk_i)
-Path Group: **async_default**
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.24    0.53 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
-     2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.21    0.76 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02    0.77 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.13    0.90 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00    0.90 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.17    1.07 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
-     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00    1.07 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    1.22 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00    1.22 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    2.07 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    2.07 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.60 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00    2.60 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.46 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05    3.51 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.84 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.85 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    4.00 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_0_0_wb_clk_i (net)
-                  0.05    0.00    4.00 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    4.13 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_0_1_wb_clk_i (net)
-                  0.05    0.00    4.13 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.25 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist1/clknet_1_0_2_wb_clk_i (net)
-                  0.03    0.00    4.25 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.37    0.36    4.61 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.22                           mprj/u_mbist1/clknet_1_0_3_wb_clk_i (net)
-                  0.37    0.01    4.62 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.22    4.85 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_2_0_wb_clk_i (net)
-                  0.05    0.00    4.85 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.98 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_2_1_wb_clk_i (net)
-                  0.04    0.00    4.98 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.11 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_2_2_wb_clk_i (net)
-                  0.04    0.00    5.11 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    5.24 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_mbist1/clknet_opt_2_3_wb_clk_i (net)
-                  0.05    0.00    5.24 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    5.36 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.01                           mprj/u_mbist1/clknet_leaf_24_wb_clk_i (net)
-                  0.03    0.00    5.36 ^ mprj/u_mbist1/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.09    0.36    5.72 ^ mprj/u_mbist1/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.01                           mprj/u_mbist1/u_mbist.mem_no[0].u_cmp.rst_n (net)
-                  0.09    0.00    5.72 ^ mprj/u_mbist1/fanout1728/A (sky130_fd_sc_hd__buf_4)
-                  0.14    0.21    5.92 ^ mprj/u_mbist1/fanout1728/X (sky130_fd_sc_hd__buf_4)
-     4    0.05                           mprj/u_mbist1/net1728 (net)
-                  0.14    0.00    5.93 ^ mprj/u_mbist1/wire1729/A (sky130_fd_sc_hd__buf_4)
-                  0.24    0.28    6.21 ^ mprj/u_mbist1/wire1729/X (sky130_fd_sc_hd__buf_4)
-     2    0.09                           mprj/u_mbist1/net1729 (net)
-                  0.24    0.02    6.23 ^ mprj/u_mbist1/fanout1727/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.42    6.65 ^ mprj/u_mbist1/fanout1727/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_mbist1/net1727 (net)
-                  0.38    0.00    6.65 ^ mprj/u_mbist1/fanout1726/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.25    0.35    7.00 ^ mprj/u_mbist1/fanout1726/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.05                           mprj/u_mbist1/net1726 (net)
-                  0.25    0.00    7.01 ^ mprj/u_mbist1/fanout1725/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.32    0.35    7.35 ^ mprj/u_mbist1/fanout1725/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.03                           mprj/u_mbist1/net1725 (net)
-                  0.32    0.00    7.35 ^ mprj/u_mbist1/fanout1724/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.17    7.52 ^ mprj/u_mbist1/fanout1724/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net1724 (net)
-                  0.09    0.00    7.52 ^ mprj/u_mbist1/fanout1723/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.17    0.19    7.70 ^ mprj/u_mbist1/fanout1723/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net1723 (net)
-                  0.17    0.00    7.70 ^ mprj/u_mbist1/fanout1722/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.20    0.22    7.93 ^ mprj/u_mbist1/fanout1722/X (sky130_fd_sc_hd__clkbuf_1)
-     3    0.02                           mprj/u_mbist1/net1722 (net)
-                  0.20    0.00    7.93 ^ mprj/u_mbist1/fanout1721/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.18    0.23    8.16 ^ mprj/u_mbist1/fanout1721/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     3    0.02                           mprj/u_mbist1/net1721 (net)
-                  0.18    0.00    8.16 ^ mprj/u_mbist1/fanout1720/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    8.32 ^ mprj/u_mbist1/fanout1720/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net1720 (net)
-                  0.10    0.00    8.32 ^ mprj/u_mbist1/fanout1719/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.16    0.18    8.50 ^ mprj/u_mbist1/fanout1719/X (sky130_fd_sc_hd__clkbuf_1)
-     3    0.01                           mprj/u_mbist1/net1719 (net)
-                  0.16    0.00    8.50 ^ mprj/u_mbist1/fanout1717/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.20    0.24    8.74 ^ mprj/u_mbist1/fanout1717/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.02                           mprj/u_mbist1/net1717 (net)
-                  0.20    0.00    8.74 ^ mprj/u_mbist1/_4543_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  8.74   data arrival time
-
-                         10.00   10.00   clock wbs_clk_i (rise edge)
-                          0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.27   10.27 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00   10.27 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.22   10.49 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
-     2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01   10.50 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.20   10.70 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02   10.72 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12   10.84 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00   10.84 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.15   10.99 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
-     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00   10.99 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14   11.14 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00   11.14 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12   11.25 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00   11.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.36 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00   11.36 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.13   11.49 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00   11.49 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.60 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00   11.60 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.71 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00   11.71 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.82 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00   11.82 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.92 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00   11.92 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12   12.04 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00   12.04 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11   12.15 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00   12.15 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   12.28 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00   12.28 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   12.41 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00   12.41 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.80   13.21 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05   13.26 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31   13.57 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00   13.57 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   13.70 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00   13.71 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.12   13.83 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00   13.83 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.11   13.94 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00   13.94 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.38   14.32 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
-                  0.45    0.03   14.35 ^ mprj/u_mbist1/clkbuf_leaf_11_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.12    0.29   14.64 ^ mprj/u_mbist1/clkbuf_leaf_11_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.10                           mprj/u_mbist1/clknet_leaf_11_wb_clk_i (net)
-                  0.12    0.00   14.64 ^ mprj/u_mbist1/_4543_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   14.39   clock uncertainty
-                          0.28   14.67   clock reconvergence pessimism
-                          0.22   14.88   library recovery time
-                                 14.88   data required time
------------------------------------------------------------------------------
-                                 14.88   data required time
-                                 -8.74   data arrival time
------------------------------------------------------------------------------
-                                  6.14   slack (MET)
-
-
-Startpoint: mprj/u_mbist0/_4498_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist0/_4553_
-          (recovery check against rising-edge clock wbs_clk_i)
-Path Group: **async_default**
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.27    0.36    0.36 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     2    0.03                           mprj/u_intercon/net2 (net)
-                  0.27    0.00    0.36 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.13    0.50 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net319 (net)
-                  0.06    0.00    0.50 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
-                  0.15    0.19    0.69 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
-                  0.15    0.00    0.69 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    0.86 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net198 (net)
-                  0.12    0.00    0.86 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.70 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    1.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d03 (net)
-                  0.04    0.00    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
-                  0.04    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.23 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/net427 (net)
-                  0.05    0.00    2.23 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.09 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist0_skew (net)
-                  1.11    0.05    3.14 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.48 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.48 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.63 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_0_0_wb_clk_i (net)
-                  0.05    0.00    3.63 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    3.77 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_0_1_wb_clk_i (net)
-                  0.05    0.00    3.77 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    3.89 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist0/clknet_1_0_2_wb_clk_i (net)
-                  0.03    0.00    3.89 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.37    0.36    4.25 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.22                           mprj/u_mbist0/clknet_1_0_3_wb_clk_i (net)
-                  0.37    0.01    4.26 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.22    4.48 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_2_0_wb_clk_i (net)
-                  0.05    0.00    4.48 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.61 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_2_1_wb_clk_i (net)
-                  0.04    0.00    4.61 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.74 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_2_2_wb_clk_i (net)
-                  0.04    0.00    4.74 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.87 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_mbist0/clknet_opt_2_3_wb_clk_i (net)
-                  0.05    0.00    4.87 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    4.99 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.01                           mprj/u_mbist0/clknet_leaf_24_wb_clk_i (net)
-                  0.03    0.00    4.99 ^ mprj/u_mbist0/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.09    0.36    5.35 ^ mprj/u_mbist0/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.01                           mprj/u_mbist0/u_mbist.mem_no[0].u_cmp.rst_n (net)
-                  0.09    0.00    5.35 ^ mprj/u_mbist0/fanout1728/A (sky130_fd_sc_hd__buf_4)
-                  0.14    0.21    5.56 ^ mprj/u_mbist0/fanout1728/X (sky130_fd_sc_hd__buf_4)
-     4    0.05                           mprj/u_mbist0/net1728 (net)
-                  0.14    0.00    5.56 ^ mprj/u_mbist0/wire1729/A (sky130_fd_sc_hd__buf_4)
-                  0.24    0.28    5.84 ^ mprj/u_mbist0/wire1729/X (sky130_fd_sc_hd__buf_4)
-     2    0.09                           mprj/u_mbist0/net1729 (net)
-                  0.24    0.02    5.86 ^ mprj/u_mbist0/fanout1727/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.42    6.28 ^ mprj/u_mbist0/fanout1727/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_mbist0/net1727 (net)
-                  0.38    0.00    6.29 ^ mprj/u_mbist0/fanout1726/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.25    0.35    6.63 ^ mprj/u_mbist0/fanout1726/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.05                           mprj/u_mbist0/net1726 (net)
-                  0.25    0.00    6.64 ^ mprj/u_mbist0/fanout1725/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.32    0.35    6.98 ^ mprj/u_mbist0/fanout1725/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.03                           mprj/u_mbist0/net1725 (net)
-                  0.32    0.00    6.98 ^ mprj/u_mbist0/fanout1724/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.17    7.15 ^ mprj/u_mbist0/fanout1724/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net1724 (net)
-                  0.09    0.00    7.15 ^ mprj/u_mbist0/fanout1723/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.17    0.19    7.34 ^ mprj/u_mbist0/fanout1723/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net1723 (net)
-                  0.17    0.00    7.34 ^ mprj/u_mbist0/fanout1722/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.20    0.22    7.56 ^ mprj/u_mbist0/fanout1722/X (sky130_fd_sc_hd__clkbuf_1)
-     3    0.02                           mprj/u_mbist0/net1722 (net)
-                  0.20    0.00    7.56 ^ mprj/u_mbist0/fanout1721/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.18    0.23    7.79 ^ mprj/u_mbist0/fanout1721/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     3    0.02                           mprj/u_mbist0/net1721 (net)
-                  0.18    0.00    7.79 ^ mprj/u_mbist0/fanout1720/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    7.95 ^ mprj/u_mbist0/fanout1720/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net1720 (net)
-                  0.10    0.00    7.95 ^ mprj/u_mbist0/fanout1719/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.16    0.18    8.14 ^ mprj/u_mbist0/fanout1719/X (sky130_fd_sc_hd__clkbuf_1)
-     3    0.01                           mprj/u_mbist0/net1719 (net)
-                  0.16    0.00    8.14 ^ mprj/u_mbist0/fanout1718/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15    8.29 ^ mprj/u_mbist0/fanout1718/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net1718 (net)
-                  0.10    0.00    8.29 ^ mprj/u_mbist0/_4553_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  8.29   data arrival time
-
-                         10.00   10.00   clock wbs_clk_i (rise edge)
-                          0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.27    0.33   10.33 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     2    0.03                           mprj/u_intercon/net2 (net)
-                  0.27    0.00   10.34 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.12   10.46 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net319 (net)
-                  0.06    0.00   10.46 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
-                  0.15    0.18   10.64 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
-                  0.15    0.00   10.64 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.15   10.79 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net198 (net)
-                  0.12    0.00   10.79 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12   10.91 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00   10.91 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.01 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00   11.02 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.13   11.15 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00   11.15 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.26 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00   11.26 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.37 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00   11.37 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00   11.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.58 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00   11.58 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12   11.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d03 (net)
-                  0.04    0.00   11.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11   11.81 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
-                  0.04    0.00   11.81 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   11.94 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
-                  0.07    0.00   11.94 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   12.07 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/net427 (net)
-                  0.05    0.00   12.07 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.80   12.87 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist0_skew (net)
-                  1.11    0.05   12.91 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31   13.23 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
-                  0.08    0.00   13.23 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   13.36 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00   13.36 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.12   13.49 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00   13.49 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.11   13.60 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00   13.60 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.38   13.98 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
-                  0.45    0.03   14.01 ^ mprj/u_mbist0/clkbuf_leaf_11_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.12    0.29   14.29 ^ mprj/u_mbist0/clkbuf_leaf_11_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.10                           mprj/u_mbist0/clknet_leaf_11_wb_clk_i (net)
-                  0.12    0.00   14.30 ^ mprj/u_mbist0/_4553_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   14.05   clock uncertainty
-                          0.25   14.30   clock reconvergence pessimism
-                          0.24   14.54   library recovery time
-                                 14.54   data required time
------------------------------------------------------------------------------
-                                 14.54   data required time
-                                 -8.29   data arrival time
------------------------------------------------------------------------------
-                                  6.25   slack (MET)
-
-
-Startpoint: mprj/u_mbist1/_4498_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist1/_4553_
-          (recovery check against rising-edge clock wbs_clk_i)
-Path Group: **async_default**
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.24    0.53 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
-     2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.21    0.76 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02    0.77 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.13    0.90 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00    0.90 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.17    1.07 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
-     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00    1.07 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    1.22 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00    1.22 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    2.07 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    2.07 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.60 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00    2.60 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.46 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05    3.51 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.84 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.85 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    4.00 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_0_0_wb_clk_i (net)
-                  0.05    0.00    4.00 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    4.13 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_0_1_wb_clk_i (net)
-                  0.05    0.00    4.13 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.25 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist1/clknet_1_0_2_wb_clk_i (net)
-                  0.03    0.00    4.25 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.37    0.36    4.61 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.22                           mprj/u_mbist1/clknet_1_0_3_wb_clk_i (net)
-                  0.37    0.01    4.62 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.22    4.85 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_2_0_wb_clk_i (net)
-                  0.05    0.00    4.85 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.98 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_2_1_wb_clk_i (net)
-                  0.04    0.00    4.98 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.11 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_2_2_wb_clk_i (net)
-                  0.04    0.00    5.11 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    5.24 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_mbist1/clknet_opt_2_3_wb_clk_i (net)
-                  0.05    0.00    5.24 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    5.36 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.01                           mprj/u_mbist1/clknet_leaf_24_wb_clk_i (net)
-                  0.03    0.00    5.36 ^ mprj/u_mbist1/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.09    0.36    5.72 ^ mprj/u_mbist1/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.01                           mprj/u_mbist1/u_mbist.mem_no[0].u_cmp.rst_n (net)
-                  0.09    0.00    5.72 ^ mprj/u_mbist1/fanout1728/A (sky130_fd_sc_hd__buf_4)
-                  0.14    0.21    5.92 ^ mprj/u_mbist1/fanout1728/X (sky130_fd_sc_hd__buf_4)
-     4    0.05                           mprj/u_mbist1/net1728 (net)
-                  0.14    0.00    5.93 ^ mprj/u_mbist1/wire1729/A (sky130_fd_sc_hd__buf_4)
-                  0.24    0.28    6.21 ^ mprj/u_mbist1/wire1729/X (sky130_fd_sc_hd__buf_4)
-     2    0.09                           mprj/u_mbist1/net1729 (net)
-                  0.24    0.02    6.23 ^ mprj/u_mbist1/fanout1727/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.42    6.65 ^ mprj/u_mbist1/fanout1727/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_mbist1/net1727 (net)
-                  0.38    0.00    6.65 ^ mprj/u_mbist1/fanout1726/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.25    0.35    7.00 ^ mprj/u_mbist1/fanout1726/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.05                           mprj/u_mbist1/net1726 (net)
-                  0.25    0.00    7.01 ^ mprj/u_mbist1/fanout1725/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.32    0.35    7.35 ^ mprj/u_mbist1/fanout1725/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.03                           mprj/u_mbist1/net1725 (net)
-                  0.32    0.00    7.35 ^ mprj/u_mbist1/fanout1724/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.17    7.52 ^ mprj/u_mbist1/fanout1724/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net1724 (net)
-                  0.09    0.00    7.52 ^ mprj/u_mbist1/fanout1723/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.17    0.19    7.70 ^ mprj/u_mbist1/fanout1723/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net1723 (net)
-                  0.17    0.00    7.70 ^ mprj/u_mbist1/fanout1722/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.20    0.22    7.93 ^ mprj/u_mbist1/fanout1722/X (sky130_fd_sc_hd__clkbuf_1)
-     3    0.02                           mprj/u_mbist1/net1722 (net)
-                  0.20    0.00    7.93 ^ mprj/u_mbist1/fanout1721/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.18    0.23    8.16 ^ mprj/u_mbist1/fanout1721/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     3    0.02                           mprj/u_mbist1/net1721 (net)
-                  0.18    0.00    8.16 ^ mprj/u_mbist1/fanout1720/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    8.32 ^ mprj/u_mbist1/fanout1720/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net1720 (net)
-                  0.10    0.00    8.32 ^ mprj/u_mbist1/fanout1719/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.16    0.18    8.50 ^ mprj/u_mbist1/fanout1719/X (sky130_fd_sc_hd__clkbuf_1)
-     3    0.01                           mprj/u_mbist1/net1719 (net)
-                  0.16    0.00    8.50 ^ mprj/u_mbist1/fanout1718/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15    8.66 ^ mprj/u_mbist1/fanout1718/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net1718 (net)
-                  0.10    0.00    8.66 ^ mprj/u_mbist1/_4553_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  8.66   data arrival time
-
-                         10.00   10.00   clock wbs_clk_i (rise edge)
-                          0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.27   10.27 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00   10.27 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.22   10.49 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
-     2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01   10.50 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.20   10.70 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02   10.72 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12   10.84 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00   10.84 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.15   10.99 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
-     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00   10.99 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14   11.14 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00   11.14 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12   11.25 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00   11.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.36 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00   11.36 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.13   11.49 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00   11.49 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.60 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00   11.60 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.71 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00   11.71 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.82 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00   11.82 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.92 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00   11.92 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12   12.04 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00   12.04 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11   12.15 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00   12.15 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   12.28 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00   12.28 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   12.41 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00   12.41 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.80   13.21 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05   13.26 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31   13.57 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00   13.57 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   13.70 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00   13.71 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.12   13.83 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00   13.83 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.11   13.94 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00   13.94 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.38   14.32 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
-                  0.45    0.03   14.35 ^ mprj/u_mbist1/clkbuf_leaf_11_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.12    0.29   14.64 ^ mprj/u_mbist1/clkbuf_leaf_11_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.10                           mprj/u_mbist1/clknet_leaf_11_wb_clk_i (net)
-                  0.12    0.00   14.64 ^ mprj/u_mbist1/_4553_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   14.39   clock uncertainty
-                          0.28   14.67   clock reconvergence pessimism
-                          0.24   14.91   library recovery time
-                                 14.91   data required time
------------------------------------------------------------------------------
-                                 14.91   data required time
-                                 -8.66   data arrival time
------------------------------------------------------------------------------
-                                  6.25   slack (MET)
-
-
-Startpoint: mprj/u_mbist0/_4498_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist0/_4173_
-          (recovery check against rising-edge clock wbs_clk_i)
-Path Group: **async_default**
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.27    0.36    0.36 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     2    0.03                           mprj/u_intercon/net2 (net)
-                  0.27    0.00    0.36 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.13    0.50 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net319 (net)
-                  0.06    0.00    0.50 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
-                  0.15    0.19    0.69 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
-                  0.15    0.00    0.69 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    0.86 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net198 (net)
-                  0.12    0.00    0.86 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.70 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    1.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d03 (net)
-                  0.04    0.00    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
-                  0.04    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.23 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/net427 (net)
-                  0.05    0.00    2.23 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.09 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist0_skew (net)
-                  1.11    0.05    3.14 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.48 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.48 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.63 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_0_0_wb_clk_i (net)
-                  0.05    0.00    3.63 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    3.77 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_0_1_wb_clk_i (net)
-                  0.05    0.00    3.77 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    3.89 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist0/clknet_1_0_2_wb_clk_i (net)
-                  0.03    0.00    3.89 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.37    0.36    4.25 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.22                           mprj/u_mbist0/clknet_1_0_3_wb_clk_i (net)
-                  0.37    0.01    4.26 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.22    4.48 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_2_0_wb_clk_i (net)
-                  0.05    0.00    4.48 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.61 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_2_1_wb_clk_i (net)
-                  0.04    0.00    4.61 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.74 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_2_2_wb_clk_i (net)
-                  0.04    0.00    4.74 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.87 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_mbist0/clknet_opt_2_3_wb_clk_i (net)
-                  0.05    0.00    4.87 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    4.99 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.01                           mprj/u_mbist0/clknet_leaf_24_wb_clk_i (net)
-                  0.03    0.00    4.99 ^ mprj/u_mbist0/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.09    0.36    5.35 ^ mprj/u_mbist0/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.01                           mprj/u_mbist0/u_mbist.mem_no[0].u_cmp.rst_n (net)
-                  0.09    0.00    5.35 ^ mprj/u_mbist0/fanout1728/A (sky130_fd_sc_hd__buf_4)
-                  0.14    0.21    5.56 ^ mprj/u_mbist0/fanout1728/X (sky130_fd_sc_hd__buf_4)
-     4    0.05                           mprj/u_mbist0/net1728 (net)
-                  0.14    0.00    5.56 ^ mprj/u_mbist0/wire1729/A (sky130_fd_sc_hd__buf_4)
-                  0.24    0.28    5.84 ^ mprj/u_mbist0/wire1729/X (sky130_fd_sc_hd__buf_4)
-     2    0.09                           mprj/u_mbist0/net1729 (net)
-                  0.24    0.02    5.86 ^ mprj/u_mbist0/fanout1727/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.42    6.28 ^ mprj/u_mbist0/fanout1727/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_mbist0/net1727 (net)
-                  0.38    0.00    6.28 ^ mprj/u_mbist0/fanout1639/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.24    0.34    6.62 ^ mprj/u_mbist0/fanout1639/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.04                           mprj/u_mbist0/net1639 (net)
-                  0.24    0.00    6.62 ^ mprj/u_mbist0/fanout1629/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.25    0.29    6.91 ^ mprj/u_mbist0/fanout1629/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.41    0.00    6.04 ^ mprj/u_mbist0/fanout1639/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.25    0.35    6.39 ^ mprj/u_mbist0/fanout1639/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.05                           mprj/u_mbist0/net1639 (net)
+                  0.25    0.00    6.39 ^ mprj/u_mbist0/fanout1629/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.23    0.28    6.67 ^ mprj/u_mbist0/fanout1629/X (sky130_fd_sc_hd__dlymetal6s2s_1)
      4    0.02                           mprj/u_mbist0/net1629 (net)
-                  0.25    0.00    6.91 ^ mprj/u_mbist0/fanout1614/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.20    7.12 ^ mprj/u_mbist0/fanout1614/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.23    0.00    6.67 ^ mprj/u_mbist0/fanout1614/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.20    6.87 ^ mprj/u_mbist0/fanout1614/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/net1614 (net)
-                  0.15    0.00    7.12 ^ mprj/u_mbist0/fanout1604/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.18    0.21    7.32 ^ mprj/u_mbist0/fanout1604/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net1604 (net)
-                  0.18    0.00    7.32 ^ mprj/u_mbist0/fanout1600/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.19    0.22    7.54 ^ mprj/u_mbist0/fanout1600/X (sky130_fd_sc_hd__clkbuf_1)
-     3    0.02                           mprj/u_mbist0/net1600 (net)
-                  0.19    0.00    7.54 ^ mprj/u_mbist0/fanout1599/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.16    7.71 ^ mprj/u_mbist0/fanout1599/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net1599 (net)
-                  0.11    0.00    7.71 ^ mprj/u_mbist0/fanout1596/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.19    0.22    7.93 ^ mprj/u_mbist0/fanout1596/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.02                           mprj/u_mbist0/net1596 (net)
-                  0.19    0.00    7.93 ^ mprj/u_mbist0/_4173_/RESET_B (sky130_fd_sc_hd__dfrtp_2)
-                                  7.93   data arrival time
+                  0.15    0.00    6.87 ^ mprj/u_mbist0/fanout1613/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.20    7.07 ^ mprj/u_mbist0/fanout1613/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net1613 (net)
+                  0.17    0.00    7.07 ^ mprj/u_mbist0/fanout1612/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.24    0.27    7.34 ^ mprj/u_mbist0/fanout1612/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist0/net1612 (net)
+                  0.24    0.00    7.34 ^ mprj/u_mbist0/fanout1611/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.22    0.27    7.61 ^ mprj/u_mbist0/fanout1611/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist0/net1611 (net)
+                  0.22    0.00    7.61 ^ mprj/u_mbist0/fanout1610/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.20    0.25    7.86 ^ mprj/u_mbist0/fanout1610/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist0/net1610 (net)
+                  0.20    0.00    7.86 ^ mprj/u_mbist0/_4300_/RESET_B (sky130_fd_sc_hd__dfrtp_4)
+                                  7.86   data arrival time
 
                          10.00   10.00   clock wbs_clk_i (rise edge)
                           0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.27    0.33   10.33 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     2    0.03                           mprj/u_intercon/net2 (net)
-                  0.27    0.00   10.34 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.12   10.46 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net319 (net)
-                  0.06    0.00   10.46 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
-                  0.15    0.18   10.64 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.41   10.41 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00   10.41 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.19   10.60 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00   10.60 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.21   10.81 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
      2    0.03                           mprj/wbd_clk_mbist0_rp (net)
-                  0.15    0.00   10.64 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.15   10.79 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.00   10.81 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   10.97 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/net198 (net)
-                  0.12    0.00   10.79 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12   10.91 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00   10.97 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00   10.91 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.01 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.00   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00   11.02 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.13   11.15 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.00   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00   11.15 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.26 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11   11.44 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00   11.26 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.37 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00   11.37 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00   11.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.58 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00   11.58 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12   11.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d03 (net)
-                  0.04    0.00   11.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11   11.81 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00   11.44 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
-                  0.04    0.00   11.81 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   11.94 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
      1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
-                  0.07    0.00   11.94 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   12.07 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.93 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist0/net427 (net)
-                  0.05    0.00   12.07 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.80   12.87 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist0_skew (net)
-                  1.11    0.04   12.90 ^ mprj/u_mbist0/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.29   13.20 ^ mprj/u_mbist0/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_mbist0/clknet_0_wb_clk2_i (net)
-                  0.07    0.00   13.20 ^ mprj/u_mbist0/clkbuf_1_1__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.14    0.20   13.40 ^ mprj/u_mbist0/clkbuf_1_1__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.13                           mprj/u_mbist0/clknet_1_1__leaf_wb_clk2_i (net)
-                  0.14    0.01   13.41 ^ mprj/u_mbist0/u_mbist.mem_no[1].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_8)
-                  0.14    0.26   13.67 ^ mprj/u_mbist0/u_mbist.mem_no[1].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-     2    0.08                           mprj/u_mbist0/u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
-                  0.14    0.00   13.68 ^ mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[1].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.09    0.20   13.87 ^ mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[1].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_mbist0/clknet_0_u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
-                  0.09    0.00   13.87 ^ mprj/u_mbist0/clkbuf_3_7__f_u_mbist.mem_no[1].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.18   14.05 ^ mprj/u_mbist0/clkbuf_3_7__f_u_mbist.mem_no[1].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    22    0.08                           mprj/u_mbist0/clknet_3_7__leaf_u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
-                  0.10    0.01   14.06 ^ mprj/u_mbist0/_4173_/CLK (sky130_fd_sc_hd__dfrtp_2)
-                         -0.25   13.81   clock uncertainty
-                          0.22   14.04   clock reconvergence pessimism
-                          0.19   14.23   library recovery time
-                                 14.23   data required time
+                  0.05    0.00   11.93 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.16 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00   12.16 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.39 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00   12.40 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.59 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00   12.59 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.75 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00   12.75 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.92 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.92 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   13.04 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   13.04 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.16 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.16 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.27 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.27 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.45 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.45 ^ mprj/u_mbist0/clkbuf_leaf_7_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.22   13.68 ^ mprj/u_mbist0/clkbuf_leaf_7_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.12                           mprj/u_mbist0/clknet_leaf_7_wb_clk_i (net)
+                  0.14    0.00   13.68 ^ mprj/u_mbist0/_4300_/CLK (sky130_fd_sc_hd__dfrtp_4)
+                         -0.25   13.43   clock uncertainty
+                          0.23   13.66   clock reconvergence pessimism
+                          0.20   13.85   library recovery time
+                                 13.85   data required time
 -----------------------------------------------------------------------------
-                                 14.23   data required time
-                                 -7.93   data arrival time
+                                 13.85   data required time
+                                 -7.86   data arrival time
 -----------------------------------------------------------------------------
-                                  6.30   slack (MET)
+                                  5.99   slack (MET)
 
 
 Startpoint: mprj/u_mbist1/_4498_
             (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist1/_4173_
+Endpoint: mprj/u_mbist1/_4300_
           (recovery check against rising-edge clock wbs_clk_i)
 Path Group: **async_default**
 Path Type: max
@@ -79279,216 +92829,210 @@
 -----------------------------------------------------------------------------
                           0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.24    0.53 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.31    0.31 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00    0.32 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.23    0.55 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
      2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.21    0.76 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+                  0.20    0.03    0.58 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.21    0.80 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
      2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02    0.77 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.13    0.90 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.02    0.81 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.12    0.94 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00    0.90 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.17    1.07 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+                  0.06    0.00    0.94 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.17    1.10 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
      2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00    1.07 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    1.22 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.00    1.11 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.16    1.26 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00    1.22 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00    1.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.00    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.50 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    2.07 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    2.07 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00    1.50 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
      1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.60 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.02 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00    2.60 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.46 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05    3.51 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.84 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00    2.02 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.26 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00    2.27 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.25    2.52 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00    2.52 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.20    2.72 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00    2.73 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.17    2.90 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00    2.91 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17    3.08 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.85 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    4.00 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    3.08 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.22 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.02                           mprj/u_mbist1/clknet_1_0_0_wb_clk_i (net)
-                  0.05    0.00    4.00 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    4.13 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    3.22 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.36 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.02                           mprj/u_mbist1/clknet_1_0_1_wb_clk_i (net)
-                  0.05    0.00    4.13 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.25 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    3.36 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    3.48 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.01                           mprj/u_mbist1/clknet_1_0_2_wb_clk_i (net)
-                  0.03    0.00    4.25 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.37    0.36    4.61 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.22                           mprj/u_mbist1/clknet_1_0_3_wb_clk_i (net)
-                  0.37    0.01    4.62 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.22    4.85 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    3.48 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    3.67 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist1/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.67 ^ mprj/u_mbist1/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.27    3.94 ^ mprj/u_mbist1/wire5/X (sky130_fd_sc_hd__buf_4)
+     6    0.08                           mprj/u_mbist1/net2098 (net)
+                  0.21    0.00    3.94 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.19    4.13 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.02                           mprj/u_mbist1/clknet_opt_2_0_wb_clk_i (net)
-                  0.05    0.00    4.85 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.98 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.13 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.26 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.02                           mprj/u_mbist1/clknet_opt_2_1_wb_clk_i (net)
-                  0.04    0.00    4.98 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.11 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.26 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.39 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.02                           mprj/u_mbist1/clknet_opt_2_2_wb_clk_i (net)
-                  0.04    0.00    5.11 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    5.24 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.39 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.52 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_mbist1/clknet_opt_2_3_wb_clk_i (net)
-                  0.05    0.00    5.24 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    5.36 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00    4.52 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    4.64 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.01                           mprj/u_mbist1/clknet_leaf_24_wb_clk_i (net)
-                  0.03    0.00    5.36 ^ mprj/u_mbist1/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.09    0.36    5.72 ^ mprj/u_mbist1/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
+                  0.03    0.00    4.64 ^ mprj/u_mbist1/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.36    5.00 ^ mprj/u_mbist1/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
      1    0.01                           mprj/u_mbist1/u_mbist.mem_no[0].u_cmp.rst_n (net)
-                  0.09    0.00    5.72 ^ mprj/u_mbist1/fanout1728/A (sky130_fd_sc_hd__buf_4)
-                  0.14    0.21    5.92 ^ mprj/u_mbist1/fanout1728/X (sky130_fd_sc_hd__buf_4)
+                  0.09    0.00    5.00 ^ mprj/u_mbist1/fanout1728/A (sky130_fd_sc_hd__buf_4)
+                  0.15    0.21    5.21 ^ mprj/u_mbist1/fanout1728/X (sky130_fd_sc_hd__buf_4)
      4    0.05                           mprj/u_mbist1/net1728 (net)
-                  0.14    0.00    5.93 ^ mprj/u_mbist1/wire1729/A (sky130_fd_sc_hd__buf_4)
-                  0.24    0.28    6.21 ^ mprj/u_mbist1/wire1729/X (sky130_fd_sc_hd__buf_4)
+                  0.15    0.00    5.21 ^ mprj/u_mbist1/wire1729/A (sky130_fd_sc_hd__buf_4)
+                  0.26    0.29    5.50 ^ mprj/u_mbist1/wire1729/X (sky130_fd_sc_hd__buf_4)
      2    0.09                           mprj/u_mbist1/net1729 (net)
-                  0.24    0.02    6.23 ^ mprj/u_mbist1/fanout1727/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.42    6.65 ^ mprj/u_mbist1/fanout1727/X (sky130_fd_sc_hd__buf_2)
+                  0.26    0.03    5.53 ^ mprj/u_mbist1/fanout1727/A (sky130_fd_sc_hd__buf_2)
+                  0.41    0.44    5.97 ^ mprj/u_mbist1/fanout1727/X (sky130_fd_sc_hd__buf_2)
      4    0.08                           mprj/u_mbist1/net1727 (net)
-                  0.38    0.00    6.65 ^ mprj/u_mbist1/fanout1639/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.24    0.34    6.99 ^ mprj/u_mbist1/fanout1639/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.04                           mprj/u_mbist1/net1639 (net)
-                  0.24    0.00    6.99 ^ mprj/u_mbist1/fanout1629/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.25    0.29    7.28 ^ mprj/u_mbist1/fanout1629/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.41    0.00    5.98 ^ mprj/u_mbist1/fanout1639/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.25    0.35    6.32 ^ mprj/u_mbist1/fanout1639/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.05                           mprj/u_mbist1/net1639 (net)
+                  0.25    0.00    6.32 ^ mprj/u_mbist1/fanout1629/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.23    0.28    6.61 ^ mprj/u_mbist1/fanout1629/X (sky130_fd_sc_hd__dlymetal6s2s_1)
      4    0.02                           mprj/u_mbist1/net1629 (net)
-                  0.25    0.00    7.28 ^ mprj/u_mbist1/fanout1614/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.20    7.49 ^ mprj/u_mbist1/fanout1614/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.23    0.00    6.61 ^ mprj/u_mbist1/fanout1614/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.20    6.81 ^ mprj/u_mbist1/fanout1614/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist1/net1614 (net)
-                  0.15    0.00    7.49 ^ mprj/u_mbist1/fanout1604/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.18    0.21    7.69 ^ mprj/u_mbist1/fanout1604/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net1604 (net)
-                  0.18    0.00    7.69 ^ mprj/u_mbist1/fanout1600/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.19    0.22    7.91 ^ mprj/u_mbist1/fanout1600/X (sky130_fd_sc_hd__clkbuf_1)
-     3    0.02                           mprj/u_mbist1/net1600 (net)
-                  0.19    0.00    7.91 ^ mprj/u_mbist1/fanout1599/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.16    8.08 ^ mprj/u_mbist1/fanout1599/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net1599 (net)
-                  0.11    0.00    8.08 ^ mprj/u_mbist1/fanout1596/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.19    0.22    8.30 ^ mprj/u_mbist1/fanout1596/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.02                           mprj/u_mbist1/net1596 (net)
-                  0.19    0.00    8.30 ^ mprj/u_mbist1/_4173_/RESET_B (sky130_fd_sc_hd__dfrtp_2)
-                                  8.30   data arrival time
+                  0.15    0.00    6.81 ^ mprj/u_mbist1/fanout1613/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.20    7.01 ^ mprj/u_mbist1/fanout1613/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net1613 (net)
+                  0.17    0.00    7.01 ^ mprj/u_mbist1/fanout1612/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.24    0.27    7.28 ^ mprj/u_mbist1/fanout1612/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist1/net1612 (net)
+                  0.24    0.00    7.28 ^ mprj/u_mbist1/fanout1611/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.22    0.27    7.55 ^ mprj/u_mbist1/fanout1611/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist1/net1611 (net)
+                  0.22    0.00    7.55 ^ mprj/u_mbist1/fanout1610/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.20    0.25    7.80 ^ mprj/u_mbist1/fanout1610/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist1/net1610 (net)
+                  0.20    0.00    7.80 ^ mprj/u_mbist1/_4300_/RESET_B (sky130_fd_sc_hd__dfrtp_4)
+                                  7.80   data arrival time
 
                          10.00   10.00   clock wbs_clk_i (rise edge)
                           0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.27   10.27 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00   10.27 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.22   10.49 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.29   10.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00   10.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.22   10.51 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
      2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01   10.50 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.20   10.70 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+                  0.20    0.03   10.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.20   10.74 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
      2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02   10.72 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12   10.84 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.02   10.76 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11   10.87 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00   10.84 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.15   10.99 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+                  0.06    0.00   10.87 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.16   11.02 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
      2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00   10.99 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14   11.14 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.00   11.03 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   11.17 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00   11.14 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12   11.25 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00   11.17 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00   11.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.36 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.00   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00   11.36 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.13   11.49 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00   11.49 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.60 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00   11.60 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.71 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00   11.71 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.82 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00   11.82 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.92 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00   11.92 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12   12.04 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00   12.04 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11   12.15 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00   12.15 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   12.28 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00   11.39 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
      1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00   12.28 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   12.41 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.87 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00   12.41 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.80   13.21 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.04   13.24 ^ mprj/u_mbist1/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.29   13.54 ^ mprj/u_mbist1/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_mbist1/clknet_0_wb_clk2_i (net)
-                  0.07    0.00   13.54 ^ mprj/u_mbist1/clkbuf_1_1__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.14    0.20   13.74 ^ mprj/u_mbist1/clkbuf_1_1__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.13                           mprj/u_mbist1/clknet_1_1__leaf_wb_clk2_i (net)
-                  0.14    0.01   13.75 ^ mprj/u_mbist1/u_mbist.mem_no[1].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_8)
-                  0.14    0.26   14.01 ^ mprj/u_mbist1/u_mbist.mem_no[1].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-     2    0.08                           mprj/u_mbist1/u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
-                  0.14    0.00   14.02 ^ mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[1].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.09    0.20   14.21 ^ mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[1].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_mbist1/clknet_0_u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
-                  0.09    0.00   14.21 ^ mprj/u_mbist1/clkbuf_3_7__f_u_mbist.mem_no[1].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.18   14.39 ^ mprj/u_mbist1/clkbuf_3_7__f_u_mbist.mem_no[1].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    22    0.08                           mprj/u_mbist1/clknet_3_7__leaf_u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
-                  0.10    0.01   14.40 ^ mprj/u_mbist1/_4173_/CLK (sky130_fd_sc_hd__dfrtp_2)
-                         -0.25   14.15   clock uncertainty
-                          0.25   14.40   clock reconvergence pessimism
-                          0.19   14.60   library recovery time
-                                 14.60   data required time
+                  0.05    0.00   11.87 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.10 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00   12.10 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.33 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00   12.34 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.53 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00   12.53 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.69 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00   12.70 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.86 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.86 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   12.98 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   12.98 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.10 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.10 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.21 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.21 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.39 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.40 ^ mprj/u_mbist1/clkbuf_leaf_7_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.22   13.62 ^ mprj/u_mbist1/clkbuf_leaf_7_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.12                           mprj/u_mbist1/clknet_leaf_7_wb_clk_i (net)
+                  0.14    0.00   13.62 ^ mprj/u_mbist1/_4300_/CLK (sky130_fd_sc_hd__dfrtp_4)
+                         -0.25   13.37   clock uncertainty
+                          0.22   13.59   clock reconvergence pessimism
+                          0.20   13.79   library recovery time
+                                 13.79   data required time
 -----------------------------------------------------------------------------
-                                 14.60   data required time
-                                 -8.30   data arrival time
+                                 13.79   data required time
+                                 -7.80   data arrival time
 -----------------------------------------------------------------------------
-                                  6.30   slack (MET)
+                                  5.99   slack (MET)
 
 
 Startpoint: mprj/u_mbist0/_4498_
             (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist0/_4139_
+Endpoint: mprj/u_mbist0/_4359_
           (recovery check against rising-edge clock wbs_clk_i)
 Path Group: **async_default**
 Path Type: max
@@ -79497,204 +93041,210 @@
 -----------------------------------------------------------------------------
                           0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.27    0.36    0.36 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     2    0.03                           mprj/u_intercon/net2 (net)
-                  0.27    0.00    0.36 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.13    0.50 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net319 (net)
-                  0.06    0.00    0.50 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
-                  0.15    0.19    0.69 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.44    0.44 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00    0.45 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.20    0.65 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00    0.65 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.23    0.87 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
      2    0.03                           mprj/wbd_clk_mbist0_rp (net)
-                  0.15    0.00    0.69 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    0.86 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.00    0.88 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.17    1.04 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/net198 (net)
-                  0.12    0.00    0.86 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00    1.04 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.00    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.00    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.12    1.55 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.70 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    1.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d03 (net)
-                  0.04    0.00    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00    1.55 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
-                  0.04    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
      1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.23 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.08 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist0/net427 (net)
-                  0.05    0.00    2.23 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.09 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist0_skew (net)
-                  1.11    0.05    3.14 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.48 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00    2.08 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.33 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00    2.33 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.25    2.58 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00    2.58 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.20    2.79 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00    2.79 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.17    2.97 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00    2.97 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17    3.14 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.48 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.63 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    3.14 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.28 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.02                           mprj/u_mbist0/clknet_1_0_0_wb_clk_i (net)
-                  0.05    0.00    3.63 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    3.77 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    3.28 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.42 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.02                           mprj/u_mbist0/clknet_1_0_1_wb_clk_i (net)
-                  0.05    0.00    3.77 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    3.89 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    3.43 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    3.55 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.01                           mprj/u_mbist0/clknet_1_0_2_wb_clk_i (net)
-                  0.03    0.00    3.89 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.37    0.36    4.25 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.22                           mprj/u_mbist0/clknet_1_0_3_wb_clk_i (net)
-                  0.37    0.01    4.26 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.22    4.48 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    3.55 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    3.73 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist0/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.73 ^ mprj/u_mbist0/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.27    4.00 ^ mprj/u_mbist0/wire5/X (sky130_fd_sc_hd__buf_4)
+     6    0.08                           mprj/u_mbist0/net2098 (net)
+                  0.21    0.00    4.00 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.19    4.19 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.02                           mprj/u_mbist0/clknet_opt_2_0_wb_clk_i (net)
-                  0.05    0.00    4.48 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.61 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.19 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.32 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.02                           mprj/u_mbist0/clknet_opt_2_1_wb_clk_i (net)
-                  0.04    0.00    4.61 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.74 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.32 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.45 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.02                           mprj/u_mbist0/clknet_opt_2_2_wb_clk_i (net)
-                  0.04    0.00    4.74 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.87 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.45 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.58 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_mbist0/clknet_opt_2_3_wb_clk_i (net)
-                  0.05    0.00    4.87 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    4.99 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00    4.59 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    4.70 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.01                           mprj/u_mbist0/clknet_leaf_24_wb_clk_i (net)
-                  0.03    0.00    4.99 ^ mprj/u_mbist0/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.09    0.36    5.35 ^ mprj/u_mbist0/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
+                  0.03    0.00    4.70 ^ mprj/u_mbist0/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.36    5.06 ^ mprj/u_mbist0/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
      1    0.01                           mprj/u_mbist0/u_mbist.mem_no[0].u_cmp.rst_n (net)
-                  0.09    0.00    5.35 ^ mprj/u_mbist0/fanout1728/A (sky130_fd_sc_hd__buf_4)
-                  0.14    0.21    5.56 ^ mprj/u_mbist0/fanout1728/X (sky130_fd_sc_hd__buf_4)
+                  0.09    0.00    5.06 ^ mprj/u_mbist0/fanout1728/A (sky130_fd_sc_hd__buf_4)
+                  0.15    0.21    5.27 ^ mprj/u_mbist0/fanout1728/X (sky130_fd_sc_hd__buf_4)
      4    0.05                           mprj/u_mbist0/net1728 (net)
-                  0.14    0.00    5.56 ^ mprj/u_mbist0/wire1729/A (sky130_fd_sc_hd__buf_4)
-                  0.24    0.28    5.84 ^ mprj/u_mbist0/wire1729/X (sky130_fd_sc_hd__buf_4)
+                  0.15    0.00    5.27 ^ mprj/u_mbist0/wire1729/A (sky130_fd_sc_hd__buf_4)
+                  0.26    0.29    5.57 ^ mprj/u_mbist0/wire1729/X (sky130_fd_sc_hd__buf_4)
      2    0.09                           mprj/u_mbist0/net1729 (net)
-                  0.24    0.02    5.86 ^ mprj/u_mbist0/fanout1727/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.42    6.28 ^ mprj/u_mbist0/fanout1727/X (sky130_fd_sc_hd__buf_2)
+                  0.26    0.03    5.60 ^ mprj/u_mbist0/fanout1727/A (sky130_fd_sc_hd__buf_2)
+                  0.41    0.44    6.04 ^ mprj/u_mbist0/fanout1727/X (sky130_fd_sc_hd__buf_2)
      4    0.08                           mprj/u_mbist0/net1727 (net)
-                  0.38    0.00    6.28 ^ mprj/u_mbist0/fanout1639/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.24    0.34    6.62 ^ mprj/u_mbist0/fanout1639/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.04                           mprj/u_mbist0/net1639 (net)
-                  0.24    0.00    6.62 ^ mprj/u_mbist0/fanout1629/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.25    0.29    6.91 ^ mprj/u_mbist0/fanout1629/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.41    0.00    6.04 ^ mprj/u_mbist0/fanout1639/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.25    0.35    6.39 ^ mprj/u_mbist0/fanout1639/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.05                           mprj/u_mbist0/net1639 (net)
+                  0.25    0.00    6.39 ^ mprj/u_mbist0/fanout1629/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.23    0.28    6.67 ^ mprj/u_mbist0/fanout1629/X (sky130_fd_sc_hd__dlymetal6s2s_1)
      4    0.02                           mprj/u_mbist0/net1629 (net)
-                  0.25    0.00    6.91 ^ mprj/u_mbist0/fanout1614/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.20    7.12 ^ mprj/u_mbist0/fanout1614/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.23    0.00    6.67 ^ mprj/u_mbist0/fanout1614/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.20    6.87 ^ mprj/u_mbist0/fanout1614/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/net1614 (net)
-                  0.15    0.00    7.12 ^ mprj/u_mbist0/fanout1604/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.18    0.21    7.32 ^ mprj/u_mbist0/fanout1604/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net1604 (net)
-                  0.18    0.00    7.32 ^ mprj/u_mbist0/fanout1600/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.19    0.22    7.54 ^ mprj/u_mbist0/fanout1600/X (sky130_fd_sc_hd__clkbuf_1)
-     3    0.02                           mprj/u_mbist0/net1600 (net)
-                  0.19    0.00    7.54 ^ mprj/u_mbist0/fanout1599/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.16    7.71 ^ mprj/u_mbist0/fanout1599/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net1599 (net)
-                  0.11    0.00    7.71 ^ mprj/u_mbist0/fanout1596/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.19    0.22    7.93 ^ mprj/u_mbist0/fanout1596/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.02                           mprj/u_mbist0/net1596 (net)
-                  0.19    0.00    7.93 ^ mprj/u_mbist0/_4139_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  7.93   data arrival time
+                  0.15    0.00    6.87 ^ mprj/u_mbist0/fanout1613/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.20    7.07 ^ mprj/u_mbist0/fanout1613/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net1613 (net)
+                  0.17    0.00    7.07 ^ mprj/u_mbist0/fanout1612/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.24    0.27    7.34 ^ mprj/u_mbist0/fanout1612/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist0/net1612 (net)
+                  0.24    0.00    7.34 ^ mprj/u_mbist0/fanout1611/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.22    0.27    7.61 ^ mprj/u_mbist0/fanout1611/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist0/net1611 (net)
+                  0.22    0.00    7.61 ^ mprj/u_mbist0/fanout1610/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.20    0.25    7.86 ^ mprj/u_mbist0/fanout1610/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist0/net1610 (net)
+                  0.20    0.00    7.86 ^ mprj/u_mbist0/_4359_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
+                                  7.86   data arrival time
 
                          10.00   10.00   clock wbs_clk_i (rise edge)
                           0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.27    0.33   10.33 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     2    0.03                           mprj/u_intercon/net2 (net)
-                  0.27    0.00   10.34 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.12   10.46 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net319 (net)
-                  0.06    0.00   10.46 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
-                  0.15    0.18   10.64 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.41   10.41 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00   10.41 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.19   10.60 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00   10.60 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.21   10.81 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
      2    0.03                           mprj/wbd_clk_mbist0_rp (net)
-                  0.15    0.00   10.64 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.15   10.79 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.00   10.81 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   10.97 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/net198 (net)
-                  0.12    0.00   10.79 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12   10.91 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00   10.97 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00   10.91 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.01 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.00   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00   11.02 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.13   11.15 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.00   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00   11.15 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.26 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11   11.44 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00   11.26 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.37 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00   11.37 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00   11.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.58 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00   11.58 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12   11.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d03 (net)
-                  0.04    0.00   11.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11   11.81 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00   11.44 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
-                  0.04    0.00   11.81 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   11.94 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
      1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
-                  0.07    0.00   11.94 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   12.07 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.93 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist0/net427 (net)
-                  0.05    0.00   12.07 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.80   12.87 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist0_skew (net)
-                  1.11    0.04   12.90 ^ mprj/u_mbist0/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.29   13.20 ^ mprj/u_mbist0/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_mbist0/clknet_0_wb_clk2_i (net)
-                  0.07    0.00   13.20 ^ mprj/u_mbist0/clkbuf_1_1__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.14    0.20   13.40 ^ mprj/u_mbist0/clkbuf_1_1__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.13                           mprj/u_mbist0/clknet_1_1__leaf_wb_clk2_i (net)
-                  0.14    0.01   13.41 ^ mprj/u_mbist0/u_mbist.mem_no[1].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_8)
-                  0.14    0.26   13.67 ^ mprj/u_mbist0/u_mbist.mem_no[1].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-     2    0.08                           mprj/u_mbist0/u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
-                  0.14    0.00   13.68 ^ mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[1].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.09    0.20   13.87 ^ mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[1].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_mbist0/clknet_0_u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
-                  0.09    0.00   13.87 ^ mprj/u_mbist0/clkbuf_3_6__f_u_mbist.mem_no[1].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.09    0.17   14.05 ^ mprj/u_mbist0/clkbuf_3_6__f_u_mbist.mem_no[1].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    16    0.07                           mprj/u_mbist0/clknet_3_6__leaf_u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
-                  0.09    0.01   14.05 ^ mprj/u_mbist0/_4139_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   13.80   clock uncertainty
-                          0.22   14.03   clock reconvergence pessimism
-                          0.21   14.23   library recovery time
-                                 14.23   data required time
+                  0.05    0.00   11.93 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.16 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00   12.16 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.39 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00   12.40 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.59 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00   12.59 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.75 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00   12.75 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.92 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.92 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   13.04 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   13.04 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.16 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.16 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.27 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.27 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.45 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.45 ^ mprj/u_mbist0/clkbuf_leaf_7_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.22   13.68 ^ mprj/u_mbist0/clkbuf_leaf_7_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.12                           mprj/u_mbist0/clknet_leaf_7_wb_clk_i (net)
+                  0.14    0.00   13.68 ^ mprj/u_mbist0/_4359_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   13.43   clock uncertainty
+                          0.23   13.66   clock reconvergence pessimism
+                          0.22   13.88   library recovery time
+                                 13.88   data required time
 -----------------------------------------------------------------------------
-                                 14.23   data required time
-                                 -7.93   data arrival time
+                                 13.88   data required time
+                                 -7.86   data arrival time
 -----------------------------------------------------------------------------
-                                  6.30   slack (MET)
+                                  6.01   slack (MET)
 
 
 Startpoint: mprj/u_mbist1/_4498_
             (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist1/_4139_
+Endpoint: mprj/u_mbist1/_4359_
           (recovery check against rising-edge clock wbs_clk_i)
 Path Group: **async_default**
 Path Type: max
@@ -79703,216 +93253,210 @@
 -----------------------------------------------------------------------------
                           0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.24    0.53 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.31    0.31 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00    0.32 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.23    0.55 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
      2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.21    0.76 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+                  0.20    0.03    0.58 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.21    0.80 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
      2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02    0.77 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.13    0.90 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.02    0.81 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.12    0.94 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00    0.90 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.17    1.07 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+                  0.06    0.00    0.94 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.17    1.10 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
      2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00    1.07 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    1.22 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.00    1.11 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.16    1.26 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00    1.22 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00    1.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.00    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.50 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    2.07 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    2.07 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00    1.50 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
      1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.60 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.02 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00    2.60 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.46 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05    3.51 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.84 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00    2.02 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.26 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00    2.27 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.25    2.52 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00    2.52 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.20    2.72 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00    2.73 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.17    2.90 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00    2.91 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17    3.08 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.85 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    4.00 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    3.08 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.22 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.02                           mprj/u_mbist1/clknet_1_0_0_wb_clk_i (net)
-                  0.05    0.00    4.00 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    4.13 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    3.22 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.36 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.02                           mprj/u_mbist1/clknet_1_0_1_wb_clk_i (net)
-                  0.05    0.00    4.13 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.25 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    3.36 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    3.48 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.01                           mprj/u_mbist1/clknet_1_0_2_wb_clk_i (net)
-                  0.03    0.00    4.25 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.37    0.36    4.61 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.22                           mprj/u_mbist1/clknet_1_0_3_wb_clk_i (net)
-                  0.37    0.01    4.62 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.22    4.85 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    3.48 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    3.67 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist1/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.67 ^ mprj/u_mbist1/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.27    3.94 ^ mprj/u_mbist1/wire5/X (sky130_fd_sc_hd__buf_4)
+     6    0.08                           mprj/u_mbist1/net2098 (net)
+                  0.21    0.00    3.94 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.19    4.13 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.02                           mprj/u_mbist1/clknet_opt_2_0_wb_clk_i (net)
-                  0.05    0.00    4.85 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.98 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.13 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.26 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.02                           mprj/u_mbist1/clknet_opt_2_1_wb_clk_i (net)
-                  0.04    0.00    4.98 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.11 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.26 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.39 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.02                           mprj/u_mbist1/clknet_opt_2_2_wb_clk_i (net)
-                  0.04    0.00    5.11 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    5.24 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.39 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.52 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_mbist1/clknet_opt_2_3_wb_clk_i (net)
-                  0.05    0.00    5.24 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    5.36 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00    4.52 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    4.64 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.01                           mprj/u_mbist1/clknet_leaf_24_wb_clk_i (net)
-                  0.03    0.00    5.36 ^ mprj/u_mbist1/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.09    0.36    5.72 ^ mprj/u_mbist1/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
+                  0.03    0.00    4.64 ^ mprj/u_mbist1/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.36    5.00 ^ mprj/u_mbist1/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
      1    0.01                           mprj/u_mbist1/u_mbist.mem_no[0].u_cmp.rst_n (net)
-                  0.09    0.00    5.72 ^ mprj/u_mbist1/fanout1728/A (sky130_fd_sc_hd__buf_4)
-                  0.14    0.21    5.92 ^ mprj/u_mbist1/fanout1728/X (sky130_fd_sc_hd__buf_4)
+                  0.09    0.00    5.00 ^ mprj/u_mbist1/fanout1728/A (sky130_fd_sc_hd__buf_4)
+                  0.15    0.21    5.21 ^ mprj/u_mbist1/fanout1728/X (sky130_fd_sc_hd__buf_4)
      4    0.05                           mprj/u_mbist1/net1728 (net)
-                  0.14    0.00    5.93 ^ mprj/u_mbist1/wire1729/A (sky130_fd_sc_hd__buf_4)
-                  0.24    0.28    6.21 ^ mprj/u_mbist1/wire1729/X (sky130_fd_sc_hd__buf_4)
+                  0.15    0.00    5.21 ^ mprj/u_mbist1/wire1729/A (sky130_fd_sc_hd__buf_4)
+                  0.26    0.29    5.50 ^ mprj/u_mbist1/wire1729/X (sky130_fd_sc_hd__buf_4)
      2    0.09                           mprj/u_mbist1/net1729 (net)
-                  0.24    0.02    6.23 ^ mprj/u_mbist1/fanout1727/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.42    6.65 ^ mprj/u_mbist1/fanout1727/X (sky130_fd_sc_hd__buf_2)
+                  0.26    0.03    5.53 ^ mprj/u_mbist1/fanout1727/A (sky130_fd_sc_hd__buf_2)
+                  0.41    0.44    5.97 ^ mprj/u_mbist1/fanout1727/X (sky130_fd_sc_hd__buf_2)
      4    0.08                           mprj/u_mbist1/net1727 (net)
-                  0.38    0.00    6.65 ^ mprj/u_mbist1/fanout1639/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.24    0.34    6.99 ^ mprj/u_mbist1/fanout1639/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.04                           mprj/u_mbist1/net1639 (net)
-                  0.24    0.00    6.99 ^ mprj/u_mbist1/fanout1629/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.25    0.29    7.28 ^ mprj/u_mbist1/fanout1629/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.41    0.00    5.98 ^ mprj/u_mbist1/fanout1639/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.25    0.35    6.32 ^ mprj/u_mbist1/fanout1639/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.05                           mprj/u_mbist1/net1639 (net)
+                  0.25    0.00    6.32 ^ mprj/u_mbist1/fanout1629/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.23    0.28    6.61 ^ mprj/u_mbist1/fanout1629/X (sky130_fd_sc_hd__dlymetal6s2s_1)
      4    0.02                           mprj/u_mbist1/net1629 (net)
-                  0.25    0.00    7.28 ^ mprj/u_mbist1/fanout1614/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.20    7.49 ^ mprj/u_mbist1/fanout1614/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.23    0.00    6.61 ^ mprj/u_mbist1/fanout1614/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.20    6.81 ^ mprj/u_mbist1/fanout1614/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist1/net1614 (net)
-                  0.15    0.00    7.49 ^ mprj/u_mbist1/fanout1604/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.18    0.21    7.69 ^ mprj/u_mbist1/fanout1604/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net1604 (net)
-                  0.18    0.00    7.69 ^ mprj/u_mbist1/fanout1600/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.19    0.22    7.91 ^ mprj/u_mbist1/fanout1600/X (sky130_fd_sc_hd__clkbuf_1)
-     3    0.02                           mprj/u_mbist1/net1600 (net)
-                  0.19    0.00    7.91 ^ mprj/u_mbist1/fanout1599/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.16    8.08 ^ mprj/u_mbist1/fanout1599/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net1599 (net)
-                  0.11    0.00    8.08 ^ mprj/u_mbist1/fanout1596/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.19    0.22    8.30 ^ mprj/u_mbist1/fanout1596/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.02                           mprj/u_mbist1/net1596 (net)
-                  0.19    0.00    8.30 ^ mprj/u_mbist1/_4139_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  8.30   data arrival time
+                  0.15    0.00    6.81 ^ mprj/u_mbist1/fanout1613/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.20    7.01 ^ mprj/u_mbist1/fanout1613/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net1613 (net)
+                  0.17    0.00    7.01 ^ mprj/u_mbist1/fanout1612/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.24    0.27    7.28 ^ mprj/u_mbist1/fanout1612/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist1/net1612 (net)
+                  0.24    0.00    7.28 ^ mprj/u_mbist1/fanout1611/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.22    0.27    7.55 ^ mprj/u_mbist1/fanout1611/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist1/net1611 (net)
+                  0.22    0.00    7.55 ^ mprj/u_mbist1/fanout1610/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.20    0.25    7.80 ^ mprj/u_mbist1/fanout1610/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist1/net1610 (net)
+                  0.20    0.00    7.80 ^ mprj/u_mbist1/_4359_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
+                                  7.80   data arrival time
 
                          10.00   10.00   clock wbs_clk_i (rise edge)
                           0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.27   10.27 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00   10.27 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.22   10.49 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.29   10.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00   10.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.22   10.51 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
      2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01   10.50 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.20   10.70 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+                  0.20    0.03   10.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.20   10.74 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
      2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02   10.72 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12   10.84 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.02   10.76 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11   10.87 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00   10.84 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.15   10.99 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+                  0.06    0.00   10.87 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.16   11.02 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
      2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00   10.99 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14   11.14 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.00   11.03 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   11.17 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00   11.14 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12   11.25 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00   11.17 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00   11.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.36 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.00   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00   11.36 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.13   11.49 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00   11.49 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.60 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00   11.60 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.71 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00   11.71 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.82 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00   11.82 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.92 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00   11.92 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12   12.04 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00   12.04 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11   12.15 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00   12.15 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   12.28 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00   11.39 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
      1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00   12.28 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   12.41 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.87 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00   12.41 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.80   13.21 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.04   13.24 ^ mprj/u_mbist1/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.29   13.54 ^ mprj/u_mbist1/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_mbist1/clknet_0_wb_clk2_i (net)
-                  0.07    0.00   13.54 ^ mprj/u_mbist1/clkbuf_1_1__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.14    0.20   13.74 ^ mprj/u_mbist1/clkbuf_1_1__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.13                           mprj/u_mbist1/clknet_1_1__leaf_wb_clk2_i (net)
-                  0.14    0.01   13.75 ^ mprj/u_mbist1/u_mbist.mem_no[1].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_8)
-                  0.14    0.26   14.01 ^ mprj/u_mbist1/u_mbist.mem_no[1].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-     2    0.08                           mprj/u_mbist1/u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
-                  0.14    0.00   14.02 ^ mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[1].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.09    0.20   14.21 ^ mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[1].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_mbist1/clknet_0_u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
-                  0.09    0.00   14.22 ^ mprj/u_mbist1/clkbuf_3_6__f_u_mbist.mem_no[1].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.09    0.17   14.39 ^ mprj/u_mbist1/clkbuf_3_6__f_u_mbist.mem_no[1].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    16    0.07                           mprj/u_mbist1/clknet_3_6__leaf_u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
-                  0.09    0.01   14.39 ^ mprj/u_mbist1/_4139_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   14.14   clock uncertainty
-                          0.25   14.39   clock reconvergence pessimism
-                          0.21   14.60   library recovery time
-                                 14.60   data required time
+                  0.05    0.00   11.87 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.10 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00   12.10 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.33 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00   12.34 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.53 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00   12.53 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.69 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00   12.70 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.86 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.86 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   12.98 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   12.98 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.10 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.10 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.21 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.21 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.39 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.40 ^ mprj/u_mbist1/clkbuf_leaf_7_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.22   13.62 ^ mprj/u_mbist1/clkbuf_leaf_7_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.12                           mprj/u_mbist1/clknet_leaf_7_wb_clk_i (net)
+                  0.14    0.00   13.62 ^ mprj/u_mbist1/_4359_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   13.37   clock uncertainty
+                          0.22   13.59   clock reconvergence pessimism
+                          0.22   13.81   library recovery time
+                                 13.81   data required time
 -----------------------------------------------------------------------------
-                                 14.60   data required time
-                                 -8.30   data arrival time
+                                 13.81   data required time
+                                 -7.80   data arrival time
 -----------------------------------------------------------------------------
-                                  6.30   slack (MET)
+                                  6.01   slack (MET)
 
 
 Startpoint: mprj/u_mbist0/_4498_
             (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist0/_4454_
+Endpoint: mprj/u_mbist0/_4362_
           (recovery check against rising-edge clock wbs_clk_i)
 Path Group: **async_default**
 Path Type: max
@@ -79921,207 +93465,210 @@
 -----------------------------------------------------------------------------
                           0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.27    0.36    0.36 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     2    0.03                           mprj/u_intercon/net2 (net)
-                  0.27    0.00    0.36 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.13    0.50 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net319 (net)
-                  0.06    0.00    0.50 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
-                  0.15    0.19    0.69 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.44    0.44 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00    0.45 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.20    0.65 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00    0.65 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.23    0.87 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
      2    0.03                           mprj/wbd_clk_mbist0_rp (net)
-                  0.15    0.00    0.69 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    0.86 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.00    0.88 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.17    1.04 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/net198 (net)
-                  0.12    0.00    0.86 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00    1.04 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.00    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.00    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.12    1.55 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.70 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    1.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d03 (net)
-                  0.04    0.00    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00    1.55 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
-                  0.04    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
      1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.23 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.08 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist0/net427 (net)
-                  0.05    0.00    2.23 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.09 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist0_skew (net)
-                  1.11    0.05    3.14 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.48 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00    2.08 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.33 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00    2.33 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.25    2.58 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00    2.58 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.20    2.79 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00    2.79 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.17    2.97 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00    2.97 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17    3.14 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.48 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.63 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    3.14 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.28 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.02                           mprj/u_mbist0/clknet_1_0_0_wb_clk_i (net)
-                  0.05    0.00    3.63 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    3.77 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    3.28 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.42 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.02                           mprj/u_mbist0/clknet_1_0_1_wb_clk_i (net)
-                  0.05    0.00    3.77 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    3.89 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    3.43 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    3.55 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.01                           mprj/u_mbist0/clknet_1_0_2_wb_clk_i (net)
-                  0.03    0.00    3.89 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.37    0.36    4.25 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.22                           mprj/u_mbist0/clknet_1_0_3_wb_clk_i (net)
-                  0.37    0.01    4.26 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.22    4.48 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    3.55 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    3.73 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist0/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.73 ^ mprj/u_mbist0/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.27    4.00 ^ mprj/u_mbist0/wire5/X (sky130_fd_sc_hd__buf_4)
+     6    0.08                           mprj/u_mbist0/net2098 (net)
+                  0.21    0.00    4.00 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.19    4.19 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.02                           mprj/u_mbist0/clknet_opt_2_0_wb_clk_i (net)
-                  0.05    0.00    4.48 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.61 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.19 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.32 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.02                           mprj/u_mbist0/clknet_opt_2_1_wb_clk_i (net)
-                  0.04    0.00    4.61 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.74 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.32 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.45 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.02                           mprj/u_mbist0/clknet_opt_2_2_wb_clk_i (net)
-                  0.04    0.00    4.74 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.87 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.45 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.58 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_mbist0/clknet_opt_2_3_wb_clk_i (net)
-                  0.05    0.00    4.87 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    4.99 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00    4.59 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    4.70 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.01                           mprj/u_mbist0/clknet_leaf_24_wb_clk_i (net)
-                  0.03    0.00    4.99 ^ mprj/u_mbist0/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.09    0.36    5.35 ^ mprj/u_mbist0/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
+                  0.03    0.00    4.70 ^ mprj/u_mbist0/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.36    5.06 ^ mprj/u_mbist0/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
      1    0.01                           mprj/u_mbist0/u_mbist.mem_no[0].u_cmp.rst_n (net)
-                  0.09    0.00    5.35 ^ mprj/u_mbist0/fanout1728/A (sky130_fd_sc_hd__buf_4)
-                  0.14    0.21    5.56 ^ mprj/u_mbist0/fanout1728/X (sky130_fd_sc_hd__buf_4)
+                  0.09    0.00    5.06 ^ mprj/u_mbist0/fanout1728/A (sky130_fd_sc_hd__buf_4)
+                  0.15    0.21    5.27 ^ mprj/u_mbist0/fanout1728/X (sky130_fd_sc_hd__buf_4)
      4    0.05                           mprj/u_mbist0/net1728 (net)
-                  0.14    0.00    5.56 ^ mprj/u_mbist0/wire1729/A (sky130_fd_sc_hd__buf_4)
-                  0.24    0.28    5.84 ^ mprj/u_mbist0/wire1729/X (sky130_fd_sc_hd__buf_4)
+                  0.15    0.00    5.27 ^ mprj/u_mbist0/wire1729/A (sky130_fd_sc_hd__buf_4)
+                  0.26    0.29    5.57 ^ mprj/u_mbist0/wire1729/X (sky130_fd_sc_hd__buf_4)
      2    0.09                           mprj/u_mbist0/net1729 (net)
-                  0.24    0.02    5.86 ^ mprj/u_mbist0/fanout1727/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.42    6.28 ^ mprj/u_mbist0/fanout1727/X (sky130_fd_sc_hd__buf_2)
+                  0.26    0.03    5.60 ^ mprj/u_mbist0/fanout1727/A (sky130_fd_sc_hd__buf_2)
+                  0.41    0.44    6.04 ^ mprj/u_mbist0/fanout1727/X (sky130_fd_sc_hd__buf_2)
      4    0.08                           mprj/u_mbist0/net1727 (net)
-                  0.38    0.00    6.29 ^ mprj/u_mbist0/fanout1726/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.25    0.35    6.63 ^ mprj/u_mbist0/fanout1726/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.05                           mprj/u_mbist0/net1726 (net)
-                  0.25    0.00    6.64 ^ mprj/u_mbist0/fanout1725/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.32    0.35    6.98 ^ mprj/u_mbist0/fanout1725/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.03                           mprj/u_mbist0/net1725 (net)
-                  0.32    0.00    6.98 ^ mprj/u_mbist0/fanout1697/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.19    7.17 ^ mprj/u_mbist0/fanout1697/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net1697 (net)
-                  0.12    0.00    7.17 ^ mprj/u_mbist0/fanout1696/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.25    0.26    7.42 ^ mprj/u_mbist0/fanout1696/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.02                           mprj/u_mbist0/net1696 (net)
-                  0.25    0.00    7.42 ^ mprj/u_mbist0/fanout1690/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.18    0.23    7.65 ^ mprj/u_mbist0/fanout1690/X (sky130_fd_sc_hd__clkbuf_1)
-     3    0.02                           mprj/u_mbist0/net1690 (net)
-                  0.18    0.00    7.65 ^ mprj/u_mbist0/fanout1689/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.22    0.26    7.91 ^ mprj/u_mbist0/fanout1689/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.02                           mprj/u_mbist0/net1689 (net)
-                  0.22    0.00    7.91 ^ mprj/u_mbist0/fanout1688/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.21    0.26    8.17 ^ mprj/u_mbist0/fanout1688/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.02                           mprj/u_mbist0/net1688 (net)
-                  0.21    0.00    8.17 ^ mprj/u_mbist0/_4454_/RESET_B (sky130_fd_sc_hd__dfrtp_2)
-                                  8.17   data arrival time
+                  0.41    0.00    6.04 ^ mprj/u_mbist0/fanout1639/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.25    0.35    6.39 ^ mprj/u_mbist0/fanout1639/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.05                           mprj/u_mbist0/net1639 (net)
+                  0.25    0.00    6.39 ^ mprj/u_mbist0/fanout1629/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.23    0.28    6.67 ^ mprj/u_mbist0/fanout1629/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist0/net1629 (net)
+                  0.23    0.00    6.67 ^ mprj/u_mbist0/fanout1628/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.20    6.87 ^ mprj/u_mbist0/fanout1628/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net1628 (net)
+                  0.15    0.00    6.87 ^ mprj/u_mbist0/fanout1627/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.20    0.26    7.13 ^ mprj/u_mbist0/fanout1627/X (sky130_fd_sc_hd__clkbuf_2)
+     8    0.04                           mprj/u_mbist0/net1627 (net)
+                  0.20    0.00    7.13 ^ mprj/u_mbist0/fanout1626/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.24    0.28    7.41 ^ mprj/u_mbist0/fanout1626/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist0/net1626 (net)
+                  0.24    0.00    7.41 ^ mprj/u_mbist0/fanout1623/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.23    7.64 ^ mprj/u_mbist0/fanout1623/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.02                           mprj/u_mbist0/net1623 (net)
+                  0.13    0.00    7.64 ^ mprj/u_mbist0/fanout1622/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.20    7.84 ^ mprj/u_mbist0/fanout1622/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.02                           mprj/u_mbist0/net1622 (net)
+                  0.13    0.00    7.84 ^ mprj/u_mbist0/_4362_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
+                                  7.84   data arrival time
 
                          10.00   10.00   clock wbs_clk_i (rise edge)
                           0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.27    0.33   10.33 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     2    0.03                           mprj/u_intercon/net2 (net)
-                  0.27    0.00   10.34 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.12   10.46 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net319 (net)
-                  0.06    0.00   10.46 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
-                  0.15    0.18   10.64 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.41   10.41 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00   10.41 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.19   10.60 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00   10.60 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.21   10.81 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
      2    0.03                           mprj/wbd_clk_mbist0_rp (net)
-                  0.15    0.00   10.64 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.15   10.79 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.00   10.81 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   10.97 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/net198 (net)
-                  0.12    0.00   10.79 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12   10.91 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00   10.97 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00   10.91 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.01 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.00   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00   11.02 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.13   11.15 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.00   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00   11.15 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.26 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11   11.44 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00   11.26 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.37 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00   11.37 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00   11.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.58 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00   11.58 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12   11.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d03 (net)
-                  0.04    0.00   11.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11   11.81 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00   11.44 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
-                  0.04    0.00   11.81 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   11.94 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
      1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
-                  0.07    0.00   11.94 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   12.07 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.93 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist0/net427 (net)
-                  0.05    0.00   12.07 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.80   12.87 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist0_skew (net)
-                  1.11    0.05   12.91 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31   13.23 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00   11.93 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.16 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00   12.16 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.39 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00   12.40 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.59 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00   12.59 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.75 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00   12.75 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.92 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
-                  0.08    0.00   13.23 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   13.36 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00   12.92 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   13.04 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00   13.36 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.12   13.49 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00   13.49 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.11   13.60 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.00   13.04 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.16 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.16 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.27 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00   13.60 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.38   13.98 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
-                  0.45    0.03   14.01 ^ mprj/u_mbist0/clkbuf_leaf_14_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.28   14.28 ^ mprj/u_mbist0/clkbuf_leaf_14_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_mbist0/clknet_leaf_14_wb_clk_i (net)
-                  0.11    0.00   14.29 ^ mprj/u_mbist0/_4454_/CLK (sky130_fd_sc_hd__dfrtp_2)
-                         -0.25   14.04   clock uncertainty
-                          0.25   14.29   clock reconvergence pessimism
-                          0.19   14.48   library recovery time
-                                 14.48   data required time
+                  0.03    0.00   13.27 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.45 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.45 ^ mprj/u_mbist0/clkbuf_leaf_20_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.21   13.66 ^ mprj/u_mbist0/clkbuf_leaf_20_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    24    0.10                           mprj/u_mbist0/clknet_leaf_20_wb_clk_i (net)
+                  0.12    0.00   13.66 ^ mprj/u_mbist0/_4362_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   13.41   clock uncertainty
+                          0.23   13.64   clock reconvergence pessimism
+                          0.23   13.87   library recovery time
+                                 13.87   data required time
 -----------------------------------------------------------------------------
-                                 14.48   data required time
-                                 -8.17   data arrival time
+                                 13.87   data required time
+                                 -7.84   data arrival time
 -----------------------------------------------------------------------------
-                                  6.30   slack (MET)
+                                  6.03   slack (MET)
 
 
 Startpoint: mprj/u_mbist1/_4498_
             (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist1/_4454_
+Endpoint: mprj/u_mbist1/_4362_
           (recovery check against rising-edge clock wbs_clk_i)
 Path Group: **async_default**
 Path Type: max
@@ -80130,219 +93677,210 @@
 -----------------------------------------------------------------------------
                           0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.24    0.53 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.31    0.31 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00    0.32 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.23    0.55 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
      2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.21    0.76 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+                  0.20    0.03    0.58 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.21    0.80 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
      2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02    0.77 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.13    0.90 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.02    0.81 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.12    0.94 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00    0.90 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.17    1.07 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+                  0.06    0.00    0.94 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.17    1.10 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
      2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00    1.07 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    1.22 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.00    1.11 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.16    1.26 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00    1.22 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00    1.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.00    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.50 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    2.07 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    2.07 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00    1.50 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
      1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.60 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.02 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00    2.60 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.46 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05    3.51 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.84 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00    2.02 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.26 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00    2.27 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.25    2.52 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00    2.52 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.20    2.72 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00    2.73 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.17    2.90 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00    2.91 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17    3.08 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.85 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    4.00 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    3.08 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.22 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.02                           mprj/u_mbist1/clknet_1_0_0_wb_clk_i (net)
-                  0.05    0.00    4.00 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    4.13 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    3.22 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.36 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.02                           mprj/u_mbist1/clknet_1_0_1_wb_clk_i (net)
-                  0.05    0.00    4.13 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.25 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    3.36 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    3.48 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.01                           mprj/u_mbist1/clknet_1_0_2_wb_clk_i (net)
-                  0.03    0.00    4.25 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.37    0.36    4.61 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.22                           mprj/u_mbist1/clknet_1_0_3_wb_clk_i (net)
-                  0.37    0.01    4.62 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.22    4.85 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    3.48 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    3.67 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist1/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.67 ^ mprj/u_mbist1/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.27    3.94 ^ mprj/u_mbist1/wire5/X (sky130_fd_sc_hd__buf_4)
+     6    0.08                           mprj/u_mbist1/net2098 (net)
+                  0.21    0.00    3.94 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.19    4.13 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.02                           mprj/u_mbist1/clknet_opt_2_0_wb_clk_i (net)
-                  0.05    0.00    4.85 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.98 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.13 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.26 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.02                           mprj/u_mbist1/clknet_opt_2_1_wb_clk_i (net)
-                  0.04    0.00    4.98 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.11 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.26 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.39 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.02                           mprj/u_mbist1/clknet_opt_2_2_wb_clk_i (net)
-                  0.04    0.00    5.11 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    5.24 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.39 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.52 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_mbist1/clknet_opt_2_3_wb_clk_i (net)
-                  0.05    0.00    5.24 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    5.36 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00    4.52 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    4.64 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.01                           mprj/u_mbist1/clknet_leaf_24_wb_clk_i (net)
-                  0.03    0.00    5.36 ^ mprj/u_mbist1/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.09    0.36    5.72 ^ mprj/u_mbist1/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
+                  0.03    0.00    4.64 ^ mprj/u_mbist1/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.36    5.00 ^ mprj/u_mbist1/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
      1    0.01                           mprj/u_mbist1/u_mbist.mem_no[0].u_cmp.rst_n (net)
-                  0.09    0.00    5.72 ^ mprj/u_mbist1/fanout1728/A (sky130_fd_sc_hd__buf_4)
-                  0.14    0.21    5.92 ^ mprj/u_mbist1/fanout1728/X (sky130_fd_sc_hd__buf_4)
+                  0.09    0.00    5.00 ^ mprj/u_mbist1/fanout1728/A (sky130_fd_sc_hd__buf_4)
+                  0.15    0.21    5.21 ^ mprj/u_mbist1/fanout1728/X (sky130_fd_sc_hd__buf_4)
      4    0.05                           mprj/u_mbist1/net1728 (net)
-                  0.14    0.00    5.93 ^ mprj/u_mbist1/wire1729/A (sky130_fd_sc_hd__buf_4)
-                  0.24    0.28    6.21 ^ mprj/u_mbist1/wire1729/X (sky130_fd_sc_hd__buf_4)
+                  0.15    0.00    5.21 ^ mprj/u_mbist1/wire1729/A (sky130_fd_sc_hd__buf_4)
+                  0.26    0.29    5.50 ^ mprj/u_mbist1/wire1729/X (sky130_fd_sc_hd__buf_4)
      2    0.09                           mprj/u_mbist1/net1729 (net)
-                  0.24    0.02    6.23 ^ mprj/u_mbist1/fanout1727/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.42    6.65 ^ mprj/u_mbist1/fanout1727/X (sky130_fd_sc_hd__buf_2)
+                  0.26    0.03    5.53 ^ mprj/u_mbist1/fanout1727/A (sky130_fd_sc_hd__buf_2)
+                  0.41    0.44    5.97 ^ mprj/u_mbist1/fanout1727/X (sky130_fd_sc_hd__buf_2)
      4    0.08                           mprj/u_mbist1/net1727 (net)
-                  0.38    0.00    6.65 ^ mprj/u_mbist1/fanout1726/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.25    0.35    7.00 ^ mprj/u_mbist1/fanout1726/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.05                           mprj/u_mbist1/net1726 (net)
-                  0.25    0.00    7.01 ^ mprj/u_mbist1/fanout1725/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.32    0.35    7.35 ^ mprj/u_mbist1/fanout1725/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.03                           mprj/u_mbist1/net1725 (net)
-                  0.32    0.00    7.35 ^ mprj/u_mbist1/fanout1697/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.19    7.54 ^ mprj/u_mbist1/fanout1697/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net1697 (net)
-                  0.12    0.00    7.54 ^ mprj/u_mbist1/fanout1696/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.25    0.26    7.79 ^ mprj/u_mbist1/fanout1696/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.02                           mprj/u_mbist1/net1696 (net)
-                  0.25    0.00    7.79 ^ mprj/u_mbist1/fanout1690/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.18    0.23    8.02 ^ mprj/u_mbist1/fanout1690/X (sky130_fd_sc_hd__clkbuf_1)
-     3    0.02                           mprj/u_mbist1/net1690 (net)
-                  0.18    0.00    8.02 ^ mprj/u_mbist1/fanout1689/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.22    0.26    8.28 ^ mprj/u_mbist1/fanout1689/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.02                           mprj/u_mbist1/net1689 (net)
-                  0.22    0.00    8.28 ^ mprj/u_mbist1/fanout1688/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.21    0.26    8.54 ^ mprj/u_mbist1/fanout1688/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.02                           mprj/u_mbist1/net1688 (net)
-                  0.21    0.00    8.54 ^ mprj/u_mbist1/_4454_/RESET_B (sky130_fd_sc_hd__dfrtp_2)
-                                  8.54   data arrival time
+                  0.41    0.00    5.98 ^ mprj/u_mbist1/fanout1639/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.25    0.35    6.32 ^ mprj/u_mbist1/fanout1639/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.05                           mprj/u_mbist1/net1639 (net)
+                  0.25    0.00    6.32 ^ mprj/u_mbist1/fanout1629/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.23    0.28    6.61 ^ mprj/u_mbist1/fanout1629/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist1/net1629 (net)
+                  0.23    0.00    6.61 ^ mprj/u_mbist1/fanout1628/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.20    6.81 ^ mprj/u_mbist1/fanout1628/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net1628 (net)
+                  0.15    0.00    6.81 ^ mprj/u_mbist1/fanout1627/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.20    0.26    7.07 ^ mprj/u_mbist1/fanout1627/X (sky130_fd_sc_hd__clkbuf_2)
+     8    0.04                           mprj/u_mbist1/net1627 (net)
+                  0.20    0.00    7.07 ^ mprj/u_mbist1/fanout1626/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.24    0.28    7.34 ^ mprj/u_mbist1/fanout1626/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist1/net1626 (net)
+                  0.24    0.00    7.34 ^ mprj/u_mbist1/fanout1623/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.23    7.58 ^ mprj/u_mbist1/fanout1623/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.02                           mprj/u_mbist1/net1623 (net)
+                  0.13    0.00    7.58 ^ mprj/u_mbist1/fanout1622/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.20    7.78 ^ mprj/u_mbist1/fanout1622/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.02                           mprj/u_mbist1/net1622 (net)
+                  0.13    0.00    7.78 ^ mprj/u_mbist1/_4362_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
+                                  7.78   data arrival time
 
                          10.00   10.00   clock wbs_clk_i (rise edge)
                           0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.27   10.27 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00   10.27 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.22   10.49 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.29   10.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00   10.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.22   10.51 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
      2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01   10.50 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.20   10.70 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+                  0.20    0.03   10.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.20   10.74 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
      2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02   10.72 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12   10.84 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.02   10.76 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11   10.87 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00   10.84 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.15   10.99 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+                  0.06    0.00   10.87 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.16   11.02 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
      2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00   10.99 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14   11.14 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.00   11.03 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   11.17 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00   11.14 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12   11.25 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00   11.17 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00   11.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.36 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.00   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00   11.36 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.13   11.49 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00   11.49 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.60 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00   11.60 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.71 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00   11.71 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.82 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00   11.82 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.92 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00   11.92 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12   12.04 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00   12.04 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11   12.15 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00   12.15 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   12.28 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00   11.39 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
      1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00   12.28 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   12.41 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.87 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00   12.41 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.80   13.21 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05   13.26 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31   13.57 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00   11.87 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.10 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00   12.10 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.33 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00   12.34 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.53 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00   12.53 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.69 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00   12.70 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.86 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00   13.57 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   13.70 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00   12.86 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   12.98 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00   13.71 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.12   13.83 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00   13.83 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.11   13.94 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.00   12.98 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.10 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.10 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.21 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00   13.94 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.38   14.32 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
-                  0.45    0.03   14.35 ^ mprj/u_mbist1/clkbuf_leaf_14_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.28   14.63 ^ mprj/u_mbist1/clkbuf_leaf_14_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_mbist1/clknet_leaf_14_wb_clk_i (net)
-                  0.11    0.00   14.63 ^ mprj/u_mbist1/_4454_/CLK (sky130_fd_sc_hd__dfrtp_2)
-                         -0.25   14.38   clock uncertainty
-                          0.28   14.66   clock reconvergence pessimism
-                          0.19   14.85   library recovery time
-                                 14.85   data required time
+                  0.03    0.00   13.21 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.39 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.40 ^ mprj/u_mbist1/clkbuf_leaf_20_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.21   13.60 ^ mprj/u_mbist1/clkbuf_leaf_20_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    24    0.10                           mprj/u_mbist1/clknet_leaf_20_wb_clk_i (net)
+                  0.12    0.00   13.60 ^ mprj/u_mbist1/_4362_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   13.35   clock uncertainty
+                          0.22   13.58   clock reconvergence pessimism
+                          0.23   13.81   library recovery time
+                                 13.81   data required time
 -----------------------------------------------------------------------------
-                                 14.85   data required time
-                                 -8.54   data arrival time
+                                 13.81   data required time
+                                 -7.78   data arrival time
 -----------------------------------------------------------------------------
-                                  6.30   slack (MET)
+                                  6.03   slack (MET)
 
 
 Startpoint: mprj/u_mbist0/_4498_
             (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist0/_4525_
+Endpoint: mprj/u_mbist0/_4302_
           (recovery check against rising-edge clock wbs_clk_i)
 Path Group: **async_default**
 Path Type: max
@@ -80351,207 +93889,210 @@
 -----------------------------------------------------------------------------
                           0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.27    0.36    0.36 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     2    0.03                           mprj/u_intercon/net2 (net)
-                  0.27    0.00    0.36 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.13    0.50 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net319 (net)
-                  0.06    0.00    0.50 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
-                  0.15    0.19    0.69 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.44    0.44 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00    0.45 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.20    0.65 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00    0.65 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.23    0.87 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
      2    0.03                           mprj/wbd_clk_mbist0_rp (net)
-                  0.15    0.00    0.69 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    0.86 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.00    0.88 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.17    1.04 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/net198 (net)
-                  0.12    0.00    0.86 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00    1.04 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.00    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.00    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.12    1.55 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.70 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    1.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d03 (net)
-                  0.04    0.00    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00    1.55 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
-                  0.04    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
      1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.23 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.08 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist0/net427 (net)
-                  0.05    0.00    2.23 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.09 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist0_skew (net)
-                  1.11    0.05    3.14 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.48 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00    2.08 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.33 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00    2.33 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.25    2.58 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00    2.58 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.20    2.79 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00    2.79 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.17    2.97 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00    2.97 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17    3.14 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.48 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.63 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    3.14 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.28 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.02                           mprj/u_mbist0/clknet_1_0_0_wb_clk_i (net)
-                  0.05    0.00    3.63 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    3.77 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    3.28 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.42 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.02                           mprj/u_mbist0/clknet_1_0_1_wb_clk_i (net)
-                  0.05    0.00    3.77 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    3.89 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    3.43 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    3.55 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.01                           mprj/u_mbist0/clknet_1_0_2_wb_clk_i (net)
-                  0.03    0.00    3.89 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.37    0.36    4.25 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.22                           mprj/u_mbist0/clknet_1_0_3_wb_clk_i (net)
-                  0.37    0.01    4.26 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.22    4.48 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    3.55 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    3.73 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist0/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.73 ^ mprj/u_mbist0/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.27    4.00 ^ mprj/u_mbist0/wire5/X (sky130_fd_sc_hd__buf_4)
+     6    0.08                           mprj/u_mbist0/net2098 (net)
+                  0.21    0.00    4.00 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.19    4.19 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.02                           mprj/u_mbist0/clknet_opt_2_0_wb_clk_i (net)
-                  0.05    0.00    4.48 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.61 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.19 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.32 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.02                           mprj/u_mbist0/clknet_opt_2_1_wb_clk_i (net)
-                  0.04    0.00    4.61 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.74 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.32 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.45 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.02                           mprj/u_mbist0/clknet_opt_2_2_wb_clk_i (net)
-                  0.04    0.00    4.74 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.87 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.45 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.58 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_mbist0/clknet_opt_2_3_wb_clk_i (net)
-                  0.05    0.00    4.87 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    4.99 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00    4.59 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    4.70 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.01                           mprj/u_mbist0/clknet_leaf_24_wb_clk_i (net)
-                  0.03    0.00    4.99 ^ mprj/u_mbist0/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.09    0.36    5.35 ^ mprj/u_mbist0/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
+                  0.03    0.00    4.70 ^ mprj/u_mbist0/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.36    5.06 ^ mprj/u_mbist0/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
      1    0.01                           mprj/u_mbist0/u_mbist.mem_no[0].u_cmp.rst_n (net)
-                  0.09    0.00    5.35 ^ mprj/u_mbist0/fanout1728/A (sky130_fd_sc_hd__buf_4)
-                  0.14    0.21    5.56 ^ mprj/u_mbist0/fanout1728/X (sky130_fd_sc_hd__buf_4)
+                  0.09    0.00    5.06 ^ mprj/u_mbist0/fanout1728/A (sky130_fd_sc_hd__buf_4)
+                  0.15    0.21    5.27 ^ mprj/u_mbist0/fanout1728/X (sky130_fd_sc_hd__buf_4)
      4    0.05                           mprj/u_mbist0/net1728 (net)
-                  0.14    0.00    5.56 ^ mprj/u_mbist0/wire1729/A (sky130_fd_sc_hd__buf_4)
-                  0.24    0.28    5.84 ^ mprj/u_mbist0/wire1729/X (sky130_fd_sc_hd__buf_4)
+                  0.15    0.00    5.27 ^ mprj/u_mbist0/wire1729/A (sky130_fd_sc_hd__buf_4)
+                  0.26    0.29    5.57 ^ mprj/u_mbist0/wire1729/X (sky130_fd_sc_hd__buf_4)
      2    0.09                           mprj/u_mbist0/net1729 (net)
-                  0.24    0.02    5.86 ^ mprj/u_mbist0/fanout1727/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.42    6.28 ^ mprj/u_mbist0/fanout1727/X (sky130_fd_sc_hd__buf_2)
+                  0.26    0.03    5.60 ^ mprj/u_mbist0/fanout1727/A (sky130_fd_sc_hd__buf_2)
+                  0.41    0.44    6.04 ^ mprj/u_mbist0/fanout1727/X (sky130_fd_sc_hd__buf_2)
      4    0.08                           mprj/u_mbist0/net1727 (net)
-                  0.38    0.00    6.29 ^ mprj/u_mbist0/fanout1726/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.25    0.35    6.63 ^ mprj/u_mbist0/fanout1726/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.05                           mprj/u_mbist0/net1726 (net)
-                  0.25    0.00    6.64 ^ mprj/u_mbist0/fanout1725/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.32    0.35    6.98 ^ mprj/u_mbist0/fanout1725/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.03                           mprj/u_mbist0/net1725 (net)
-                  0.32    0.00    6.98 ^ mprj/u_mbist0/fanout1697/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.19    7.17 ^ mprj/u_mbist0/fanout1697/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net1697 (net)
-                  0.12    0.00    7.17 ^ mprj/u_mbist0/fanout1696/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.25    0.26    7.42 ^ mprj/u_mbist0/fanout1696/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.02                           mprj/u_mbist0/net1696 (net)
-                  0.25    0.00    7.42 ^ mprj/u_mbist0/fanout1690/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.18    0.23    7.65 ^ mprj/u_mbist0/fanout1690/X (sky130_fd_sc_hd__clkbuf_1)
-     3    0.02                           mprj/u_mbist0/net1690 (net)
-                  0.18    0.00    7.65 ^ mprj/u_mbist0/fanout1689/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.22    0.26    7.91 ^ mprj/u_mbist0/fanout1689/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.02                           mprj/u_mbist0/net1689 (net)
-                  0.22    0.00    7.91 ^ mprj/u_mbist0/fanout1688/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.21    0.26    8.17 ^ mprj/u_mbist0/fanout1688/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.02                           mprj/u_mbist0/net1688 (net)
-                  0.21    0.00    8.17 ^ mprj/u_mbist0/_4525_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  8.17   data arrival time
+                  0.41    0.00    6.04 ^ mprj/u_mbist0/fanout1639/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.25    0.35    6.39 ^ mprj/u_mbist0/fanout1639/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.05                           mprj/u_mbist0/net1639 (net)
+                  0.25    0.00    6.39 ^ mprj/u_mbist0/fanout1629/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.23    0.28    6.67 ^ mprj/u_mbist0/fanout1629/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist0/net1629 (net)
+                  0.23    0.00    6.67 ^ mprj/u_mbist0/fanout1614/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.20    6.87 ^ mprj/u_mbist0/fanout1614/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net1614 (net)
+                  0.15    0.00    6.87 ^ mprj/u_mbist0/fanout1613/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.20    7.07 ^ mprj/u_mbist0/fanout1613/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net1613 (net)
+                  0.17    0.00    7.07 ^ mprj/u_mbist0/fanout1612/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.24    0.27    7.34 ^ mprj/u_mbist0/fanout1612/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist0/net1612 (net)
+                  0.24    0.00    7.34 ^ mprj/u_mbist0/fanout1611/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.22    0.27    7.61 ^ mprj/u_mbist0/fanout1611/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist0/net1611 (net)
+                  0.22    0.00    7.61 ^ mprj/u_mbist0/fanout1609/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.22    7.83 ^ mprj/u_mbist0/fanout1609/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.02                           mprj/u_mbist0/net1609 (net)
+                  0.12    0.00    7.83 ^ mprj/u_mbist0/_4302_/RESET_B (sky130_fd_sc_hd__dfrtp_2)
+                                  7.83   data arrival time
 
                          10.00   10.00   clock wbs_clk_i (rise edge)
                           0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.27    0.33   10.33 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     2    0.03                           mprj/u_intercon/net2 (net)
-                  0.27    0.00   10.34 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.12   10.46 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net319 (net)
-                  0.06    0.00   10.46 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
-                  0.15    0.18   10.64 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.41   10.41 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00   10.41 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.19   10.60 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00   10.60 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.21   10.81 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
      2    0.03                           mprj/wbd_clk_mbist0_rp (net)
-                  0.15    0.00   10.64 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.15   10.79 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.00   10.81 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   10.97 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/net198 (net)
-                  0.12    0.00   10.79 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12   10.91 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00   10.97 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00   10.91 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.01 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.00   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00   11.02 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.13   11.15 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.00   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00   11.15 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.26 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11   11.44 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00   11.26 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.37 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00   11.37 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00   11.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.58 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00   11.58 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12   11.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d03 (net)
-                  0.04    0.00   11.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11   11.81 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00   11.44 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
-                  0.04    0.00   11.81 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   11.94 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
      1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
-                  0.07    0.00   11.94 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   12.07 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.93 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist0/net427 (net)
-                  0.05    0.00   12.07 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.80   12.87 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist0_skew (net)
-                  1.11    0.05   12.91 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31   13.23 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00   11.93 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.16 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00   12.16 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.39 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00   12.40 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.59 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00   12.59 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.75 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00   12.75 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.92 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
-                  0.08    0.00   13.23 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   13.36 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00   12.92 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   13.04 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00   13.36 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.12   13.49 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00   13.49 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.11   13.60 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.00   13.04 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.16 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.16 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.27 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00   13.60 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.38   13.98 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
-                  0.45    0.03   14.01 ^ mprj/u_mbist0/clkbuf_leaf_14_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.28   14.28 ^ mprj/u_mbist0/clkbuf_leaf_14_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_mbist0/clknet_leaf_14_wb_clk_i (net)
-                  0.11    0.00   14.29 ^ mprj/u_mbist0/_4525_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   14.04   clock uncertainty
-                          0.25   14.29   clock reconvergence pessimism
-                          0.21   14.50   library recovery time
-                                 14.50   data required time
+                  0.03    0.00   13.27 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.45 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.45 ^ mprj/u_mbist0/clkbuf_leaf_7_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.22   13.68 ^ mprj/u_mbist0/clkbuf_leaf_7_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.12                           mprj/u_mbist0/clknet_leaf_7_wb_clk_i (net)
+                  0.14    0.01   13.68 ^ mprj/u_mbist0/_4302_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                         -0.25   13.43   clock uncertainty
+                          0.23   13.66   clock reconvergence pessimism
+                          0.22   13.88   library recovery time
+                                 13.88   data required time
 -----------------------------------------------------------------------------
-                                 14.50   data required time
-                                 -8.17   data arrival time
+                                 13.88   data required time
+                                 -7.83   data arrival time
 -----------------------------------------------------------------------------
-                                  6.32   slack (MET)
+                                  6.05   slack (MET)
 
 
 Startpoint: mprj/u_mbist1/_4498_
             (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist1/_4525_
+Endpoint: mprj/u_mbist1/_4302_
           (recovery check against rising-edge clock wbs_clk_i)
 Path Group: **async_default**
 Path Type: max
@@ -80560,214 +94101,4009 @@
 -----------------------------------------------------------------------------
                           0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.24    0.53 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.31    0.31 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00    0.32 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.23    0.55 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
      2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.21    0.76 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+                  0.20    0.03    0.58 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.21    0.80 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
      2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02    0.77 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.13    0.90 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.02    0.81 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.12    0.94 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00    0.90 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.17    1.07 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+                  0.06    0.00    0.94 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.17    1.10 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
      2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00    1.07 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    1.22 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.00    1.11 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.16    1.26 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00    1.22 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00    1.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.00    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.50 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    2.07 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    2.07 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00    1.50 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
      1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.60 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.02 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00    2.60 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.46 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05    3.51 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.84 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00    2.02 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.26 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00    2.27 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.25    2.52 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00    2.52 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.20    2.72 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00    2.73 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.17    2.90 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00    2.91 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17    3.08 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.85 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    4.00 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    3.08 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.22 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.02                           mprj/u_mbist1/clknet_1_0_0_wb_clk_i (net)
-                  0.05    0.00    4.00 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    4.13 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    3.22 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.36 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.02                           mprj/u_mbist1/clknet_1_0_1_wb_clk_i (net)
-                  0.05    0.00    4.13 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.25 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    3.36 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    3.48 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.01                           mprj/u_mbist1/clknet_1_0_2_wb_clk_i (net)
-                  0.03    0.00    4.25 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.37    0.36    4.61 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.22                           mprj/u_mbist1/clknet_1_0_3_wb_clk_i (net)
-                  0.37    0.01    4.62 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.22    4.85 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    3.48 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    3.67 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist1/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.67 ^ mprj/u_mbist1/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.27    3.94 ^ mprj/u_mbist1/wire5/X (sky130_fd_sc_hd__buf_4)
+     6    0.08                           mprj/u_mbist1/net2098 (net)
+                  0.21    0.00    3.94 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.19    4.13 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.02                           mprj/u_mbist1/clknet_opt_2_0_wb_clk_i (net)
-                  0.05    0.00    4.85 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.98 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.13 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.26 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.02                           mprj/u_mbist1/clknet_opt_2_1_wb_clk_i (net)
-                  0.04    0.00    4.98 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.11 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.26 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.39 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.02                           mprj/u_mbist1/clknet_opt_2_2_wb_clk_i (net)
-                  0.04    0.00    5.11 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    5.24 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.39 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.52 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_mbist1/clknet_opt_2_3_wb_clk_i (net)
-                  0.05    0.00    5.24 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    5.36 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00    4.52 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    4.64 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.01                           mprj/u_mbist1/clknet_leaf_24_wb_clk_i (net)
-                  0.03    0.00    5.36 ^ mprj/u_mbist1/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.09    0.36    5.72 ^ mprj/u_mbist1/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
+                  0.03    0.00    4.64 ^ mprj/u_mbist1/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.36    5.00 ^ mprj/u_mbist1/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
      1    0.01                           mprj/u_mbist1/u_mbist.mem_no[0].u_cmp.rst_n (net)
-                  0.09    0.00    5.72 ^ mprj/u_mbist1/fanout1728/A (sky130_fd_sc_hd__buf_4)
-                  0.14    0.21    5.92 ^ mprj/u_mbist1/fanout1728/X (sky130_fd_sc_hd__buf_4)
+                  0.09    0.00    5.00 ^ mprj/u_mbist1/fanout1728/A (sky130_fd_sc_hd__buf_4)
+                  0.15    0.21    5.21 ^ mprj/u_mbist1/fanout1728/X (sky130_fd_sc_hd__buf_4)
      4    0.05                           mprj/u_mbist1/net1728 (net)
-                  0.14    0.00    5.93 ^ mprj/u_mbist1/wire1729/A (sky130_fd_sc_hd__buf_4)
-                  0.24    0.28    6.21 ^ mprj/u_mbist1/wire1729/X (sky130_fd_sc_hd__buf_4)
+                  0.15    0.00    5.21 ^ mprj/u_mbist1/wire1729/A (sky130_fd_sc_hd__buf_4)
+                  0.26    0.29    5.50 ^ mprj/u_mbist1/wire1729/X (sky130_fd_sc_hd__buf_4)
      2    0.09                           mprj/u_mbist1/net1729 (net)
-                  0.24    0.02    6.23 ^ mprj/u_mbist1/fanout1727/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.42    6.65 ^ mprj/u_mbist1/fanout1727/X (sky130_fd_sc_hd__buf_2)
+                  0.26    0.03    5.53 ^ mprj/u_mbist1/fanout1727/A (sky130_fd_sc_hd__buf_2)
+                  0.41    0.44    5.97 ^ mprj/u_mbist1/fanout1727/X (sky130_fd_sc_hd__buf_2)
      4    0.08                           mprj/u_mbist1/net1727 (net)
-                  0.38    0.00    6.65 ^ mprj/u_mbist1/fanout1726/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.25    0.35    7.00 ^ mprj/u_mbist1/fanout1726/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.05                           mprj/u_mbist1/net1726 (net)
-                  0.25    0.00    7.01 ^ mprj/u_mbist1/fanout1725/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.32    0.35    7.35 ^ mprj/u_mbist1/fanout1725/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.03                           mprj/u_mbist1/net1725 (net)
-                  0.32    0.00    7.35 ^ mprj/u_mbist1/fanout1697/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.19    7.54 ^ mprj/u_mbist1/fanout1697/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net1697 (net)
-                  0.12    0.00    7.54 ^ mprj/u_mbist1/fanout1696/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.25    0.26    7.79 ^ mprj/u_mbist1/fanout1696/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.02                           mprj/u_mbist1/net1696 (net)
-                  0.25    0.00    7.79 ^ mprj/u_mbist1/fanout1690/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.18    0.23    8.02 ^ mprj/u_mbist1/fanout1690/X (sky130_fd_sc_hd__clkbuf_1)
-     3    0.02                           mprj/u_mbist1/net1690 (net)
-                  0.18    0.00    8.02 ^ mprj/u_mbist1/fanout1689/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.22    0.26    8.28 ^ mprj/u_mbist1/fanout1689/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.02                           mprj/u_mbist1/net1689 (net)
-                  0.22    0.00    8.28 ^ mprj/u_mbist1/fanout1688/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.21    0.26    8.54 ^ mprj/u_mbist1/fanout1688/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.02                           mprj/u_mbist1/net1688 (net)
-                  0.21    0.00    8.54 ^ mprj/u_mbist1/_4525_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  8.54   data arrival time
+                  0.41    0.00    5.98 ^ mprj/u_mbist1/fanout1639/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.25    0.35    6.32 ^ mprj/u_mbist1/fanout1639/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.05                           mprj/u_mbist1/net1639 (net)
+                  0.25    0.00    6.32 ^ mprj/u_mbist1/fanout1629/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.23    0.28    6.61 ^ mprj/u_mbist1/fanout1629/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist1/net1629 (net)
+                  0.23    0.00    6.61 ^ mprj/u_mbist1/fanout1614/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.20    6.81 ^ mprj/u_mbist1/fanout1614/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net1614 (net)
+                  0.15    0.00    6.81 ^ mprj/u_mbist1/fanout1613/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.20    7.01 ^ mprj/u_mbist1/fanout1613/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net1613 (net)
+                  0.17    0.00    7.01 ^ mprj/u_mbist1/fanout1612/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.24    0.27    7.28 ^ mprj/u_mbist1/fanout1612/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist1/net1612 (net)
+                  0.24    0.00    7.28 ^ mprj/u_mbist1/fanout1611/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.22    0.27    7.55 ^ mprj/u_mbist1/fanout1611/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist1/net1611 (net)
+                  0.22    0.00    7.55 ^ mprj/u_mbist1/fanout1609/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.22    7.77 ^ mprj/u_mbist1/fanout1609/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.02                           mprj/u_mbist1/net1609 (net)
+                  0.12    0.00    7.77 ^ mprj/u_mbist1/_4302_/RESET_B (sky130_fd_sc_hd__dfrtp_2)
+                                  7.77   data arrival time
 
                          10.00   10.00   clock wbs_clk_i (rise edge)
                           0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.27   10.27 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00   10.27 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.22   10.49 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.29   10.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00   10.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.22   10.51 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
      2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01   10.50 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.20   10.70 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+                  0.20    0.03   10.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.20   10.74 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
      2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02   10.72 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12   10.84 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.02   10.76 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11   10.87 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00   10.84 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.15   10.99 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+                  0.06    0.00   10.87 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.16   11.02 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
      2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00   10.99 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14   11.14 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.00   11.03 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   11.17 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00   11.14 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12   11.25 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00   11.17 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00   11.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.36 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.00   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00   11.36 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.13   11.49 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00   11.49 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.60 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00   11.60 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.71 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00   11.71 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.82 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00   11.82 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.92 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00   11.92 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12   12.04 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00   12.04 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11   12.15 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00   12.15 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   12.28 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00   11.39 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
      1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00   12.28 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   12.41 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.87 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00   12.41 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.80   13.21 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05   13.26 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31   13.57 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00   11.87 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.10 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00   12.10 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.33 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00   12.34 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.53 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00   12.53 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.69 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00   12.70 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.86 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00   13.57 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   13.70 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00   12.86 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   12.98 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00   13.71 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.12   13.83 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00   13.83 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.11   13.94 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.00   12.98 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.10 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.10 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.21 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00   13.94 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.38   14.32 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
-                  0.45    0.03   14.35 ^ mprj/u_mbist1/clkbuf_leaf_14_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.28   14.63 ^ mprj/u_mbist1/clkbuf_leaf_14_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_mbist1/clknet_leaf_14_wb_clk_i (net)
-                  0.11    0.00   14.63 ^ mprj/u_mbist1/_4525_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   14.38   clock uncertainty
-                          0.28   14.66   clock reconvergence pessimism
-                          0.21   14.86   library recovery time
-                                 14.86   data required time
+                  0.03    0.00   13.21 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.39 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.40 ^ mprj/u_mbist1/clkbuf_leaf_7_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.22   13.62 ^ mprj/u_mbist1/clkbuf_leaf_7_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.12                           mprj/u_mbist1/clknet_leaf_7_wb_clk_i (net)
+                  0.14    0.01   13.62 ^ mprj/u_mbist1/_4302_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                         -0.25   13.37   clock uncertainty
+                          0.22   13.60   clock reconvergence pessimism
+                          0.22   13.82   library recovery time
+                                 13.82   data required time
 -----------------------------------------------------------------------------
-                                 14.86   data required time
-                                 -8.54   data arrival time
+                                 13.82   data required time
+                                 -7.77   data arrival time
 -----------------------------------------------------------------------------
-                                  6.32   slack (MET)
+                                  6.05   slack (MET)
+
+
+Startpoint: mprj/u_mbist0/_4498_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist0/_4390_
+          (recovery check against rising-edge clock wbs_clk_i)
+Path Group: **async_default**
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.44    0.44 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00    0.45 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.20    0.65 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00    0.65 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.23    0.87 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00    0.88 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.17    1.04 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00    1.04 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.12    1.55 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00    1.55 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.08 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00    2.08 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.33 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00    2.33 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.25    2.58 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00    2.58 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.20    2.79 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00    2.79 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.17    2.97 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00    2.97 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17    3.14 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00    3.14 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.28 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_0_0_wb_clk_i (net)
+                  0.05    0.00    3.28 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.42 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_0_1_wb_clk_i (net)
+                  0.05    0.00    3.43 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    3.55 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_0_2_wb_clk_i (net)
+                  0.03    0.00    3.55 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    3.73 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist0/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.73 ^ mprj/u_mbist0/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.27    4.00 ^ mprj/u_mbist0/wire5/X (sky130_fd_sc_hd__buf_4)
+     6    0.08                           mprj/u_mbist0/net2098 (net)
+                  0.21    0.00    4.00 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.19    4.19 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist0/clknet_opt_2_0_wb_clk_i (net)
+                  0.04    0.00    4.19 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.32 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist0/clknet_opt_2_1_wb_clk_i (net)
+                  0.04    0.00    4.32 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.45 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist0/clknet_opt_2_2_wb_clk_i (net)
+                  0.04    0.00    4.45 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.58 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist0/clknet_opt_2_3_wb_clk_i (net)
+                  0.05    0.00    4.59 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    4.70 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_mbist0/clknet_leaf_24_wb_clk_i (net)
+                  0.03    0.00    4.70 ^ mprj/u_mbist0/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.36    5.06 ^ mprj/u_mbist0/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.01                           mprj/u_mbist0/u_mbist.mem_no[0].u_cmp.rst_n (net)
+                  0.09    0.00    5.06 ^ mprj/u_mbist0/fanout1728/A (sky130_fd_sc_hd__buf_4)
+                  0.15    0.21    5.27 ^ mprj/u_mbist0/fanout1728/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_mbist0/net1728 (net)
+                  0.15    0.00    5.27 ^ mprj/u_mbist0/wire1729/A (sky130_fd_sc_hd__buf_4)
+                  0.26    0.29    5.57 ^ mprj/u_mbist0/wire1729/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_mbist0/net1729 (net)
+                  0.26    0.03    5.60 ^ mprj/u_mbist0/fanout1727/A (sky130_fd_sc_hd__buf_2)
+                  0.41    0.44    6.04 ^ mprj/u_mbist0/fanout1727/X (sky130_fd_sc_hd__buf_2)
+     4    0.08                           mprj/u_mbist0/net1727 (net)
+                  0.41    0.00    6.04 ^ mprj/u_mbist0/fanout1639/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.25    0.35    6.39 ^ mprj/u_mbist0/fanout1639/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.05                           mprj/u_mbist0/net1639 (net)
+                  0.25    0.00    6.39 ^ mprj/u_mbist0/fanout1629/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.23    0.28    6.67 ^ mprj/u_mbist0/fanout1629/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist0/net1629 (net)
+                  0.23    0.00    6.67 ^ mprj/u_mbist0/fanout1628/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.20    6.87 ^ mprj/u_mbist0/fanout1628/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net1628 (net)
+                  0.15    0.00    6.87 ^ mprj/u_mbist0/fanout1627/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.20    0.26    7.13 ^ mprj/u_mbist0/fanout1627/X (sky130_fd_sc_hd__clkbuf_2)
+     8    0.04                           mprj/u_mbist0/net1627 (net)
+                  0.20    0.00    7.13 ^ mprj/u_mbist0/fanout1626/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.24    0.28    7.41 ^ mprj/u_mbist0/fanout1626/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist0/net1626 (net)
+                  0.24    0.00    7.41 ^ mprj/u_mbist0/fanout1623/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.23    7.64 ^ mprj/u_mbist0/fanout1623/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.02                           mprj/u_mbist0/net1623 (net)
+                  0.13    0.00    7.64 ^ mprj/u_mbist0/fanout1622/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.20    7.84 ^ mprj/u_mbist0/fanout1622/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.02                           mprj/u_mbist0/net1622 (net)
+                  0.13    0.00    7.84 ^ mprj/u_mbist0/_4390_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
+                                  7.84   data arrival time
+
+                         10.00   10.00   clock wbs_clk_i (rise edge)
+                          0.00   10.00   clock source latency
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.41   10.41 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00   10.41 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.19   10.60 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00   10.60 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.21   10.81 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00   10.81 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   10.97 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00   10.97 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11   11.44 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00   11.44 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.93 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00   11.93 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.16 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00   12.16 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.39 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00   12.40 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.59 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00   12.59 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.75 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00   12.75 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.92 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.92 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   13.04 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   13.04 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.16 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.16 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.27 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.27 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.45 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.45 ^ mprj/u_mbist0/clkbuf_leaf_7_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.22   13.68 ^ mprj/u_mbist0/clkbuf_leaf_7_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.12                           mprj/u_mbist0/clknet_leaf_7_wb_clk_i (net)
+                  0.14    0.00   13.68 ^ mprj/u_mbist0/_4390_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   13.43   clock uncertainty
+                          0.23   13.66   clock reconvergence pessimism
+                          0.24   13.89   library recovery time
+                                 13.89   data required time
+-----------------------------------------------------------------------------
+                                 13.89   data required time
+                                 -7.84   data arrival time
+-----------------------------------------------------------------------------
+                                  6.05   slack (MET)
+
+
+Startpoint: mprj/u_mbist1/_4498_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist1/_4390_
+          (recovery check against rising-edge clock wbs_clk_i)
+Path Group: **async_default**
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.31    0.31 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00    0.32 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.23    0.55 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03    0.58 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.21    0.80 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02    0.81 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.12    0.94 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00    0.94 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.17    1.10 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00    1.11 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.16    1.26 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00    1.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.50 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.50 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.02 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00    2.02 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.26 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00    2.27 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.25    2.52 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00    2.52 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.20    2.72 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00    2.73 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.17    2.90 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00    2.91 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17    3.08 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00    3.08 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.22 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_0_0_wb_clk_i (net)
+                  0.05    0.00    3.22 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.36 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_0_1_wb_clk_i (net)
+                  0.05    0.00    3.36 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    3.48 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_0_2_wb_clk_i (net)
+                  0.03    0.00    3.48 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    3.67 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist1/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.67 ^ mprj/u_mbist1/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.27    3.94 ^ mprj/u_mbist1/wire5/X (sky130_fd_sc_hd__buf_4)
+     6    0.08                           mprj/u_mbist1/net2098 (net)
+                  0.21    0.00    3.94 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.19    4.13 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist1/clknet_opt_2_0_wb_clk_i (net)
+                  0.04    0.00    4.13 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.26 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist1/clknet_opt_2_1_wb_clk_i (net)
+                  0.04    0.00    4.26 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.39 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist1/clknet_opt_2_2_wb_clk_i (net)
+                  0.04    0.00    4.39 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.52 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist1/clknet_opt_2_3_wb_clk_i (net)
+                  0.05    0.00    4.52 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    4.64 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_mbist1/clknet_leaf_24_wb_clk_i (net)
+                  0.03    0.00    4.64 ^ mprj/u_mbist1/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.36    5.00 ^ mprj/u_mbist1/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.01                           mprj/u_mbist1/u_mbist.mem_no[0].u_cmp.rst_n (net)
+                  0.09    0.00    5.00 ^ mprj/u_mbist1/fanout1728/A (sky130_fd_sc_hd__buf_4)
+                  0.15    0.21    5.21 ^ mprj/u_mbist1/fanout1728/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_mbist1/net1728 (net)
+                  0.15    0.00    5.21 ^ mprj/u_mbist1/wire1729/A (sky130_fd_sc_hd__buf_4)
+                  0.26    0.29    5.50 ^ mprj/u_mbist1/wire1729/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_mbist1/net1729 (net)
+                  0.26    0.03    5.53 ^ mprj/u_mbist1/fanout1727/A (sky130_fd_sc_hd__buf_2)
+                  0.41    0.44    5.97 ^ mprj/u_mbist1/fanout1727/X (sky130_fd_sc_hd__buf_2)
+     4    0.08                           mprj/u_mbist1/net1727 (net)
+                  0.41    0.00    5.98 ^ mprj/u_mbist1/fanout1639/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.25    0.35    6.32 ^ mprj/u_mbist1/fanout1639/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.05                           mprj/u_mbist1/net1639 (net)
+                  0.25    0.00    6.32 ^ mprj/u_mbist1/fanout1629/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.23    0.28    6.61 ^ mprj/u_mbist1/fanout1629/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist1/net1629 (net)
+                  0.23    0.00    6.61 ^ mprj/u_mbist1/fanout1628/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.20    6.81 ^ mprj/u_mbist1/fanout1628/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net1628 (net)
+                  0.15    0.00    6.81 ^ mprj/u_mbist1/fanout1627/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.20    0.26    7.07 ^ mprj/u_mbist1/fanout1627/X (sky130_fd_sc_hd__clkbuf_2)
+     8    0.04                           mprj/u_mbist1/net1627 (net)
+                  0.20    0.00    7.07 ^ mprj/u_mbist1/fanout1626/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.24    0.28    7.34 ^ mprj/u_mbist1/fanout1626/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist1/net1626 (net)
+                  0.24    0.00    7.34 ^ mprj/u_mbist1/fanout1623/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.23    7.58 ^ mprj/u_mbist1/fanout1623/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.02                           mprj/u_mbist1/net1623 (net)
+                  0.13    0.00    7.58 ^ mprj/u_mbist1/fanout1622/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.20    7.78 ^ mprj/u_mbist1/fanout1622/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.02                           mprj/u_mbist1/net1622 (net)
+                  0.13    0.00    7.78 ^ mprj/u_mbist1/_4390_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
+                                  7.78   data arrival time
+
+                         10.00   10.00   clock wbs_clk_i (rise edge)
+                          0.00   10.00   clock source latency
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.29   10.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00   10.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.22   10.51 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03   10.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.20   10.74 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02   10.76 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11   10.87 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00   10.87 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.16   11.02 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00   11.03 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   11.17 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00   11.17 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.39 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.87 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00   11.87 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.10 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00   12.10 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.33 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00   12.34 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.53 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00   12.53 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.69 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00   12.70 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.86 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.86 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   12.98 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   12.98 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.10 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.10 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.21 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.21 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.39 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.40 ^ mprj/u_mbist1/clkbuf_leaf_7_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.22   13.62 ^ mprj/u_mbist1/clkbuf_leaf_7_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.12                           mprj/u_mbist1/clknet_leaf_7_wb_clk_i (net)
+                  0.14    0.00   13.62 ^ mprj/u_mbist1/_4390_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   13.37   clock uncertainty
+                          0.22   13.59   clock reconvergence pessimism
+                          0.24   13.83   library recovery time
+                                 13.83   data required time
+-----------------------------------------------------------------------------
+                                 13.83   data required time
+                                 -7.78   data arrival time
+-----------------------------------------------------------------------------
+                                  6.05   slack (MET)
+
+
+Startpoint: mprj/u_mbist0/_4498_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist0/_4391_
+          (recovery check against rising-edge clock wbs_clk_i)
+Path Group: **async_default**
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.44    0.44 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00    0.45 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.20    0.65 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00    0.65 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.23    0.87 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00    0.88 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.17    1.04 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00    1.04 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.12    1.55 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00    1.55 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.08 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00    2.08 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.33 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00    2.33 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.25    2.58 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00    2.58 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.20    2.79 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00    2.79 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.17    2.97 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00    2.97 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17    3.14 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00    3.14 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.28 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_0_0_wb_clk_i (net)
+                  0.05    0.00    3.28 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.42 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_0_1_wb_clk_i (net)
+                  0.05    0.00    3.43 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    3.55 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_0_2_wb_clk_i (net)
+                  0.03    0.00    3.55 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    3.73 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist0/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.73 ^ mprj/u_mbist0/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.27    4.00 ^ mprj/u_mbist0/wire5/X (sky130_fd_sc_hd__buf_4)
+     6    0.08                           mprj/u_mbist0/net2098 (net)
+                  0.21    0.00    4.00 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.19    4.19 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist0/clknet_opt_2_0_wb_clk_i (net)
+                  0.04    0.00    4.19 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.32 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist0/clknet_opt_2_1_wb_clk_i (net)
+                  0.04    0.00    4.32 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.45 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist0/clknet_opt_2_2_wb_clk_i (net)
+                  0.04    0.00    4.45 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.58 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist0/clknet_opt_2_3_wb_clk_i (net)
+                  0.05    0.00    4.59 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    4.70 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_mbist0/clknet_leaf_24_wb_clk_i (net)
+                  0.03    0.00    4.70 ^ mprj/u_mbist0/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.36    5.06 ^ mprj/u_mbist0/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.01                           mprj/u_mbist0/u_mbist.mem_no[0].u_cmp.rst_n (net)
+                  0.09    0.00    5.06 ^ mprj/u_mbist0/fanout1728/A (sky130_fd_sc_hd__buf_4)
+                  0.15    0.21    5.27 ^ mprj/u_mbist0/fanout1728/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_mbist0/net1728 (net)
+                  0.15    0.00    5.27 ^ mprj/u_mbist0/wire1729/A (sky130_fd_sc_hd__buf_4)
+                  0.26    0.29    5.57 ^ mprj/u_mbist0/wire1729/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_mbist0/net1729 (net)
+                  0.26    0.03    5.60 ^ mprj/u_mbist0/fanout1727/A (sky130_fd_sc_hd__buf_2)
+                  0.41    0.44    6.04 ^ mprj/u_mbist0/fanout1727/X (sky130_fd_sc_hd__buf_2)
+     4    0.08                           mprj/u_mbist0/net1727 (net)
+                  0.41    0.00    6.04 ^ mprj/u_mbist0/fanout1639/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.25    0.35    6.39 ^ mprj/u_mbist0/fanout1639/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.05                           mprj/u_mbist0/net1639 (net)
+                  0.25    0.00    6.39 ^ mprj/u_mbist0/fanout1629/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.23    0.28    6.67 ^ mprj/u_mbist0/fanout1629/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist0/net1629 (net)
+                  0.23    0.00    6.67 ^ mprj/u_mbist0/fanout1614/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.20    6.87 ^ mprj/u_mbist0/fanout1614/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net1614 (net)
+                  0.15    0.00    6.87 ^ mprj/u_mbist0/fanout1613/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.20    7.07 ^ mprj/u_mbist0/fanout1613/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net1613 (net)
+                  0.17    0.00    7.07 ^ mprj/u_mbist0/fanout1612/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.24    0.27    7.34 ^ mprj/u_mbist0/fanout1612/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist0/net1612 (net)
+                  0.24    0.00    7.34 ^ mprj/u_mbist0/fanout1611/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.22    0.27    7.61 ^ mprj/u_mbist0/fanout1611/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist0/net1611 (net)
+                  0.22    0.00    7.61 ^ mprj/u_mbist0/fanout1609/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.22    7.83 ^ mprj/u_mbist0/fanout1609/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.02                           mprj/u_mbist0/net1609 (net)
+                  0.12    0.00    7.83 ^ mprj/u_mbist0/_4391_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
+                                  7.83   data arrival time
+
+                         10.00   10.00   clock wbs_clk_i (rise edge)
+                          0.00   10.00   clock source latency
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.41   10.41 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00   10.41 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.19   10.60 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00   10.60 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.21   10.81 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00   10.81 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   10.97 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00   10.97 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11   11.44 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00   11.44 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.93 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00   11.93 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.16 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00   12.16 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.39 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00   12.40 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.59 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00   12.59 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.75 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00   12.75 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.92 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.92 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   13.04 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   13.04 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.16 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.16 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.27 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.27 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.45 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.45 ^ mprj/u_mbist0/clkbuf_leaf_7_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.22   13.68 ^ mprj/u_mbist0/clkbuf_leaf_7_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.12                           mprj/u_mbist0/clknet_leaf_7_wb_clk_i (net)
+                  0.14    0.01   13.68 ^ mprj/u_mbist0/_4391_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   13.43   clock uncertainty
+                          0.23   13.66   clock reconvergence pessimism
+                          0.24   13.90   library recovery time
+                                 13.90   data required time
+-----------------------------------------------------------------------------
+                                 13.90   data required time
+                                 -7.83   data arrival time
+-----------------------------------------------------------------------------
+                                  6.06   slack (MET)
+
+
+Startpoint: mprj/u_mbist1/_4498_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist1/_4391_
+          (recovery check against rising-edge clock wbs_clk_i)
+Path Group: **async_default**
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.31    0.31 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00    0.32 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.23    0.55 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03    0.58 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.21    0.80 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02    0.81 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.12    0.94 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00    0.94 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.17    1.10 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00    1.11 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.16    1.26 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00    1.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.50 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.50 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.02 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00    2.02 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.26 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00    2.27 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.25    2.52 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00    2.52 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.20    2.72 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00    2.73 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.17    2.90 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00    2.91 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17    3.08 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00    3.08 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.22 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_0_0_wb_clk_i (net)
+                  0.05    0.00    3.22 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.36 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_0_1_wb_clk_i (net)
+                  0.05    0.00    3.36 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    3.48 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_0_2_wb_clk_i (net)
+                  0.03    0.00    3.48 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    3.67 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist1/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.67 ^ mprj/u_mbist1/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.27    3.94 ^ mprj/u_mbist1/wire5/X (sky130_fd_sc_hd__buf_4)
+     6    0.08                           mprj/u_mbist1/net2098 (net)
+                  0.21    0.00    3.94 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.19    4.13 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist1/clknet_opt_2_0_wb_clk_i (net)
+                  0.04    0.00    4.13 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.26 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist1/clknet_opt_2_1_wb_clk_i (net)
+                  0.04    0.00    4.26 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.39 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist1/clknet_opt_2_2_wb_clk_i (net)
+                  0.04    0.00    4.39 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.52 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist1/clknet_opt_2_3_wb_clk_i (net)
+                  0.05    0.00    4.52 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    4.64 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_mbist1/clknet_leaf_24_wb_clk_i (net)
+                  0.03    0.00    4.64 ^ mprj/u_mbist1/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.36    5.00 ^ mprj/u_mbist1/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.01                           mprj/u_mbist1/u_mbist.mem_no[0].u_cmp.rst_n (net)
+                  0.09    0.00    5.00 ^ mprj/u_mbist1/fanout1728/A (sky130_fd_sc_hd__buf_4)
+                  0.15    0.21    5.21 ^ mprj/u_mbist1/fanout1728/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_mbist1/net1728 (net)
+                  0.15    0.00    5.21 ^ mprj/u_mbist1/wire1729/A (sky130_fd_sc_hd__buf_4)
+                  0.26    0.29    5.50 ^ mprj/u_mbist1/wire1729/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_mbist1/net1729 (net)
+                  0.26    0.03    5.53 ^ mprj/u_mbist1/fanout1727/A (sky130_fd_sc_hd__buf_2)
+                  0.41    0.44    5.97 ^ mprj/u_mbist1/fanout1727/X (sky130_fd_sc_hd__buf_2)
+     4    0.08                           mprj/u_mbist1/net1727 (net)
+                  0.41    0.00    5.98 ^ mprj/u_mbist1/fanout1639/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.25    0.35    6.32 ^ mprj/u_mbist1/fanout1639/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.05                           mprj/u_mbist1/net1639 (net)
+                  0.25    0.00    6.32 ^ mprj/u_mbist1/fanout1629/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.23    0.28    6.61 ^ mprj/u_mbist1/fanout1629/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist1/net1629 (net)
+                  0.23    0.00    6.61 ^ mprj/u_mbist1/fanout1614/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.20    6.81 ^ mprj/u_mbist1/fanout1614/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net1614 (net)
+                  0.15    0.00    6.81 ^ mprj/u_mbist1/fanout1613/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.20    7.01 ^ mprj/u_mbist1/fanout1613/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net1613 (net)
+                  0.17    0.00    7.01 ^ mprj/u_mbist1/fanout1612/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.24    0.27    7.28 ^ mprj/u_mbist1/fanout1612/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist1/net1612 (net)
+                  0.24    0.00    7.28 ^ mprj/u_mbist1/fanout1611/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.22    0.27    7.55 ^ mprj/u_mbist1/fanout1611/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist1/net1611 (net)
+                  0.22    0.00    7.55 ^ mprj/u_mbist1/fanout1609/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.22    7.77 ^ mprj/u_mbist1/fanout1609/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.02                           mprj/u_mbist1/net1609 (net)
+                  0.12    0.00    7.77 ^ mprj/u_mbist1/_4391_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
+                                  7.77   data arrival time
+
+                         10.00   10.00   clock wbs_clk_i (rise edge)
+                          0.00   10.00   clock source latency
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.29   10.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00   10.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.22   10.51 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03   10.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.20   10.74 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02   10.76 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11   10.87 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00   10.87 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.16   11.02 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00   11.03 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   11.17 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00   11.17 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.39 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.87 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00   11.87 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.10 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00   12.10 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.33 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00   12.34 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.53 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00   12.53 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.69 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00   12.70 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.86 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.86 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   12.98 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   12.98 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.10 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.10 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.21 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.21 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.39 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.40 ^ mprj/u_mbist1/clkbuf_leaf_7_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.22   13.62 ^ mprj/u_mbist1/clkbuf_leaf_7_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.12                           mprj/u_mbist1/clknet_leaf_7_wb_clk_i (net)
+                  0.14    0.01   13.62 ^ mprj/u_mbist1/_4391_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   13.37   clock uncertainty
+                          0.22   13.60   clock reconvergence pessimism
+                          0.24   13.83   library recovery time
+                                 13.83   data required time
+-----------------------------------------------------------------------------
+                                 13.83   data required time
+                                 -7.77   data arrival time
+-----------------------------------------------------------------------------
+                                  6.06   slack (MET)
+
+
+Startpoint: mprj/u_mbist0/_4498_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist0/_4521_
+          (recovery check against rising-edge clock wbs_clk_i)
+Path Group: **async_default**
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.44    0.44 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00    0.45 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.20    0.65 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00    0.65 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.23    0.87 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00    0.88 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.17    1.04 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00    1.04 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.12    1.55 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00    1.55 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.08 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00    2.08 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.33 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00    2.33 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.25    2.58 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00    2.58 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.20    2.79 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00    2.79 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.17    2.97 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00    2.97 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17    3.14 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00    3.14 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.28 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_0_0_wb_clk_i (net)
+                  0.05    0.00    3.28 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.42 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_0_1_wb_clk_i (net)
+                  0.05    0.00    3.43 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    3.55 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_0_2_wb_clk_i (net)
+                  0.03    0.00    3.55 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    3.73 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist0/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.73 ^ mprj/u_mbist0/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.27    4.00 ^ mprj/u_mbist0/wire5/X (sky130_fd_sc_hd__buf_4)
+     6    0.08                           mprj/u_mbist0/net2098 (net)
+                  0.21    0.00    4.00 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.19    4.19 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist0/clknet_opt_2_0_wb_clk_i (net)
+                  0.04    0.00    4.19 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.32 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist0/clknet_opt_2_1_wb_clk_i (net)
+                  0.04    0.00    4.32 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.45 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist0/clknet_opt_2_2_wb_clk_i (net)
+                  0.04    0.00    4.45 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.58 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist0/clknet_opt_2_3_wb_clk_i (net)
+                  0.05    0.00    4.59 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    4.70 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_mbist0/clknet_leaf_24_wb_clk_i (net)
+                  0.03    0.00    4.70 ^ mprj/u_mbist0/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.36    5.06 ^ mprj/u_mbist0/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.01                           mprj/u_mbist0/u_mbist.mem_no[0].u_cmp.rst_n (net)
+                  0.09    0.00    5.06 ^ mprj/u_mbist0/fanout1728/A (sky130_fd_sc_hd__buf_4)
+                  0.15    0.21    5.27 ^ mprj/u_mbist0/fanout1728/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_mbist0/net1728 (net)
+                  0.15    0.00    5.27 ^ mprj/u_mbist0/wire1729/A (sky130_fd_sc_hd__buf_4)
+                  0.26    0.29    5.57 ^ mprj/u_mbist0/wire1729/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_mbist0/net1729 (net)
+                  0.26    0.03    5.60 ^ mprj/u_mbist0/fanout1727/A (sky130_fd_sc_hd__buf_2)
+                  0.41    0.44    6.04 ^ mprj/u_mbist0/fanout1727/X (sky130_fd_sc_hd__buf_2)
+     4    0.08                           mprj/u_mbist0/net1727 (net)
+                  0.41    0.00    6.04 ^ mprj/u_mbist0/fanout1726/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.23    0.34    6.38 ^ mprj/u_mbist0/fanout1726/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.04                           mprj/u_mbist0/net1726 (net)
+                  0.23    0.00    6.38 ^ mprj/u_mbist0/fanout1676/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.29    0.32    6.70 ^ mprj/u_mbist0/fanout1676/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.03                           mprj/u_mbist0/net1676 (net)
+                  0.29    0.00    6.70 ^ mprj/u_mbist0/fanout1658/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.20    6.90 ^ mprj/u_mbist0/fanout1658/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net1658 (net)
+                  0.14    0.00    6.90 ^ mprj/u_mbist0/fanout1657/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.20    7.10 ^ mprj/u_mbist0/fanout1657/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net1657 (net)
+                  0.17    0.00    7.11 ^ mprj/u_mbist0/fanout1650/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.15    0.23    7.34 ^ mprj/u_mbist0/fanout1650/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.03                           mprj/u_mbist0/net1650 (net)
+                  0.15    0.00    7.34 ^ mprj/u_mbist0/fanout1648/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.22    0.25    7.59 ^ mprj/u_mbist0/fanout1648/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist0/net1648 (net)
+                  0.22    0.00    7.59 ^ mprj/u_mbist0/fanout1646/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.22    7.81 ^ mprj/u_mbist0/fanout1646/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.02                           mprj/u_mbist0/net1646 (net)
+                  0.12    0.00    7.81 ^ mprj/u_mbist0/_4521_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
+                                  7.81   data arrival time
+
+                         10.00   10.00   clock wbs_clk_i (rise edge)
+                          0.00   10.00   clock source latency
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.41   10.41 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00   10.41 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.19   10.60 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00   10.60 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.21   10.81 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00   10.81 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   10.97 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00   10.97 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11   11.44 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00   11.44 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.93 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00   11.93 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.16 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00   12.16 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.39 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00   12.40 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.59 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00   12.59 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.75 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00   12.75 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.92 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.92 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   13.04 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   13.04 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.16 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.16 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.27 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.27 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.45 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.45 ^ mprj/u_mbist0/clkbuf_leaf_9_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.22   13.67 ^ mprj/u_mbist0/clkbuf_leaf_9_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.12                           mprj/u_mbist0/clknet_leaf_9_wb_clk_i (net)
+                  0.14    0.01   13.68 ^ mprj/u_mbist0/_4521_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   13.43   clock uncertainty
+                          0.23   13.66   clock reconvergence pessimism
+                          0.24   13.90   library recovery time
+                                 13.90   data required time
+-----------------------------------------------------------------------------
+                                 13.90   data required time
+                                 -7.81   data arrival time
+-----------------------------------------------------------------------------
+                                  6.09   slack (MET)
+
+
+Startpoint: mprj/u_mbist1/_4498_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist1/_4521_
+          (recovery check against rising-edge clock wbs_clk_i)
+Path Group: **async_default**
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.31    0.31 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00    0.32 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.23    0.55 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03    0.58 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.21    0.80 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02    0.81 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.12    0.94 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00    0.94 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.17    1.10 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00    1.11 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.16    1.26 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00    1.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.50 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.50 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.02 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00    2.02 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.26 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00    2.27 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.25    2.52 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00    2.52 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.20    2.72 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00    2.73 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.17    2.90 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00    2.91 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17    3.08 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00    3.08 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.22 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_0_0_wb_clk_i (net)
+                  0.05    0.00    3.22 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.36 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_0_1_wb_clk_i (net)
+                  0.05    0.00    3.36 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    3.48 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_0_2_wb_clk_i (net)
+                  0.03    0.00    3.48 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    3.67 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist1/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.67 ^ mprj/u_mbist1/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.27    3.94 ^ mprj/u_mbist1/wire5/X (sky130_fd_sc_hd__buf_4)
+     6    0.08                           mprj/u_mbist1/net2098 (net)
+                  0.21    0.00    3.94 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.19    4.13 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist1/clknet_opt_2_0_wb_clk_i (net)
+                  0.04    0.00    4.13 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.26 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist1/clknet_opt_2_1_wb_clk_i (net)
+                  0.04    0.00    4.26 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.39 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist1/clknet_opt_2_2_wb_clk_i (net)
+                  0.04    0.00    4.39 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.52 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist1/clknet_opt_2_3_wb_clk_i (net)
+                  0.05    0.00    4.52 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    4.64 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_mbist1/clknet_leaf_24_wb_clk_i (net)
+                  0.03    0.00    4.64 ^ mprj/u_mbist1/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.36    5.00 ^ mprj/u_mbist1/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.01                           mprj/u_mbist1/u_mbist.mem_no[0].u_cmp.rst_n (net)
+                  0.09    0.00    5.00 ^ mprj/u_mbist1/fanout1728/A (sky130_fd_sc_hd__buf_4)
+                  0.15    0.21    5.21 ^ mprj/u_mbist1/fanout1728/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_mbist1/net1728 (net)
+                  0.15    0.00    5.21 ^ mprj/u_mbist1/wire1729/A (sky130_fd_sc_hd__buf_4)
+                  0.26    0.29    5.50 ^ mprj/u_mbist1/wire1729/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_mbist1/net1729 (net)
+                  0.26    0.03    5.53 ^ mprj/u_mbist1/fanout1727/A (sky130_fd_sc_hd__buf_2)
+                  0.41    0.44    5.97 ^ mprj/u_mbist1/fanout1727/X (sky130_fd_sc_hd__buf_2)
+     4    0.08                           mprj/u_mbist1/net1727 (net)
+                  0.41    0.00    5.98 ^ mprj/u_mbist1/fanout1726/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.23    0.34    6.32 ^ mprj/u_mbist1/fanout1726/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.04                           mprj/u_mbist1/net1726 (net)
+                  0.23    0.00    6.32 ^ mprj/u_mbist1/fanout1676/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.29    0.32    6.64 ^ mprj/u_mbist1/fanout1676/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.03                           mprj/u_mbist1/net1676 (net)
+                  0.29    0.00    6.64 ^ mprj/u_mbist1/fanout1658/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.20    6.84 ^ mprj/u_mbist1/fanout1658/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net1658 (net)
+                  0.14    0.00    6.84 ^ mprj/u_mbist1/fanout1657/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.20    7.04 ^ mprj/u_mbist1/fanout1657/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net1657 (net)
+                  0.17    0.00    7.04 ^ mprj/u_mbist1/fanout1650/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.15    0.23    7.27 ^ mprj/u_mbist1/fanout1650/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.03                           mprj/u_mbist1/net1650 (net)
+                  0.15    0.00    7.27 ^ mprj/u_mbist1/fanout1648/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.22    0.25    7.53 ^ mprj/u_mbist1/fanout1648/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist1/net1648 (net)
+                  0.22    0.00    7.53 ^ mprj/u_mbist1/fanout1646/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.22    7.75 ^ mprj/u_mbist1/fanout1646/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.02                           mprj/u_mbist1/net1646 (net)
+                  0.12    0.00    7.75 ^ mprj/u_mbist1/_4521_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
+                                  7.75   data arrival time
+
+                         10.00   10.00   clock wbs_clk_i (rise edge)
+                          0.00   10.00   clock source latency
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.29   10.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00   10.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.22   10.51 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03   10.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.20   10.74 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02   10.76 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11   10.87 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00   10.87 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.16   11.02 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00   11.03 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   11.17 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00   11.17 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.39 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.87 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00   11.87 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.10 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00   12.10 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.33 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00   12.34 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.53 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00   12.53 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.69 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00   12.70 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.86 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.86 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   12.98 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   12.98 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.10 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.10 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.21 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.21 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.39 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.39 ^ mprj/u_mbist1/clkbuf_leaf_9_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.22   13.61 ^ mprj/u_mbist1/clkbuf_leaf_9_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.12                           mprj/u_mbist1/clknet_leaf_9_wb_clk_i (net)
+                  0.14    0.01   13.62 ^ mprj/u_mbist1/_4521_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   13.37   clock uncertainty
+                          0.22   13.59   clock reconvergence pessimism
+                          0.24   13.83   library recovery time
+                                 13.83   data required time
+-----------------------------------------------------------------------------
+                                 13.83   data required time
+                                 -7.75   data arrival time
+-----------------------------------------------------------------------------
+                                  6.09   slack (MET)
+
+
+Startpoint: mprj/u_mbist0/_4498_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist0/_4487_
+          (recovery check against rising-edge clock wbs_clk_i)
+Path Group: **async_default**
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.44    0.44 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00    0.45 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.20    0.65 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00    0.65 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.23    0.87 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00    0.88 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.17    1.04 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00    1.04 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.12    1.55 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00    1.55 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.08 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00    2.08 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.33 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00    2.33 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.25    2.58 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00    2.58 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.20    2.79 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00    2.79 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.17    2.97 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00    2.97 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17    3.14 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00    3.14 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.28 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_0_0_wb_clk_i (net)
+                  0.05    0.00    3.28 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.42 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_0_1_wb_clk_i (net)
+                  0.05    0.00    3.43 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    3.55 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_0_2_wb_clk_i (net)
+                  0.03    0.00    3.55 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    3.73 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist0/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.73 ^ mprj/u_mbist0/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.27    4.00 ^ mprj/u_mbist0/wire5/X (sky130_fd_sc_hd__buf_4)
+     6    0.08                           mprj/u_mbist0/net2098 (net)
+                  0.21    0.00    4.00 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.19    4.19 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist0/clknet_opt_2_0_wb_clk_i (net)
+                  0.04    0.00    4.19 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.32 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist0/clknet_opt_2_1_wb_clk_i (net)
+                  0.04    0.00    4.32 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.45 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist0/clknet_opt_2_2_wb_clk_i (net)
+                  0.04    0.00    4.45 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.58 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist0/clknet_opt_2_3_wb_clk_i (net)
+                  0.05    0.00    4.59 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    4.70 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_mbist0/clknet_leaf_24_wb_clk_i (net)
+                  0.03    0.00    4.70 ^ mprj/u_mbist0/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.36    5.06 ^ mprj/u_mbist0/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.01                           mprj/u_mbist0/u_mbist.mem_no[0].u_cmp.rst_n (net)
+                  0.09    0.00    5.06 ^ mprj/u_mbist0/fanout1728/A (sky130_fd_sc_hd__buf_4)
+                  0.15    0.21    5.27 ^ mprj/u_mbist0/fanout1728/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_mbist0/net1728 (net)
+                  0.15    0.00    5.27 ^ mprj/u_mbist0/wire1729/A (sky130_fd_sc_hd__buf_4)
+                  0.26    0.29    5.57 ^ mprj/u_mbist0/wire1729/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_mbist0/net1729 (net)
+                  0.26    0.03    5.60 ^ mprj/u_mbist0/fanout1727/A (sky130_fd_sc_hd__buf_2)
+                  0.41    0.44    6.04 ^ mprj/u_mbist0/fanout1727/X (sky130_fd_sc_hd__buf_2)
+     4    0.08                           mprj/u_mbist0/net1727 (net)
+                  0.41    0.00    6.04 ^ mprj/u_mbist0/fanout1726/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.23    0.34    6.38 ^ mprj/u_mbist0/fanout1726/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.04                           mprj/u_mbist0/net1726 (net)
+                  0.23    0.00    6.38 ^ mprj/u_mbist0/fanout1676/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.29    0.32    6.70 ^ mprj/u_mbist0/fanout1676/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.03                           mprj/u_mbist0/net1676 (net)
+                  0.29    0.00    6.70 ^ mprj/u_mbist0/fanout1658/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.20    6.90 ^ mprj/u_mbist0/fanout1658/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net1658 (net)
+                  0.14    0.00    6.90 ^ mprj/u_mbist0/fanout1657/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.20    7.10 ^ mprj/u_mbist0/fanout1657/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net1657 (net)
+                  0.17    0.00    7.11 ^ mprj/u_mbist0/fanout1650/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.15    0.23    7.34 ^ mprj/u_mbist0/fanout1650/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.03                           mprj/u_mbist0/net1650 (net)
+                  0.15    0.00    7.34 ^ mprj/u_mbist0/fanout1648/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.22    0.25    7.59 ^ mprj/u_mbist0/fanout1648/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist0/net1648 (net)
+                  0.22    0.00    7.59 ^ mprj/u_mbist0/fanout1646/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.22    7.81 ^ mprj/u_mbist0/fanout1646/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.02                           mprj/u_mbist0/net1646 (net)
+                  0.12    0.00    7.81 ^ mprj/u_mbist0/_4487_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
+                                  7.81   data arrival time
+
+                         10.00   10.00   clock wbs_clk_i (rise edge)
+                          0.00   10.00   clock source latency
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.41   10.41 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00   10.41 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.19   10.60 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00   10.60 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.21   10.81 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00   10.81 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   10.97 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00   10.97 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11   11.44 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00   11.44 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.93 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00   11.93 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.16 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00   12.16 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.39 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00   12.40 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.59 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00   12.59 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.75 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00   12.75 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.92 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.92 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   13.04 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   13.04 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.16 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.16 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.27 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.27 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.45 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.45 ^ mprj/u_mbist0/clkbuf_leaf_9_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.22   13.67 ^ mprj/u_mbist0/clkbuf_leaf_9_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.12                           mprj/u_mbist0/clknet_leaf_9_wb_clk_i (net)
+                  0.14    0.01   13.68 ^ mprj/u_mbist0/_4487_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   13.43   clock uncertainty
+                          0.23   13.66   clock reconvergence pessimism
+                          0.24   13.90   library recovery time
+                                 13.90   data required time
+-----------------------------------------------------------------------------
+                                 13.90   data required time
+                                 -7.81   data arrival time
+-----------------------------------------------------------------------------
+                                  6.09   slack (MET)
+
+
+Startpoint: mprj/u_mbist1/_4498_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist1/_4487_
+          (recovery check against rising-edge clock wbs_clk_i)
+Path Group: **async_default**
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.31    0.31 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00    0.32 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.23    0.55 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03    0.58 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.21    0.80 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02    0.81 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.12    0.94 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00    0.94 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.17    1.10 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00    1.11 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.16    1.26 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00    1.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.50 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.50 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.02 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00    2.02 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.26 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00    2.27 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.25    2.52 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00    2.52 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.20    2.72 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00    2.73 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.17    2.90 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00    2.91 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17    3.08 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00    3.08 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.22 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_0_0_wb_clk_i (net)
+                  0.05    0.00    3.22 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.36 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_0_1_wb_clk_i (net)
+                  0.05    0.00    3.36 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    3.48 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_0_2_wb_clk_i (net)
+                  0.03    0.00    3.48 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    3.67 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist1/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.67 ^ mprj/u_mbist1/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.27    3.94 ^ mprj/u_mbist1/wire5/X (sky130_fd_sc_hd__buf_4)
+     6    0.08                           mprj/u_mbist1/net2098 (net)
+                  0.21    0.00    3.94 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.19    4.13 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist1/clknet_opt_2_0_wb_clk_i (net)
+                  0.04    0.00    4.13 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.26 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist1/clknet_opt_2_1_wb_clk_i (net)
+                  0.04    0.00    4.26 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.39 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist1/clknet_opt_2_2_wb_clk_i (net)
+                  0.04    0.00    4.39 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.52 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist1/clknet_opt_2_3_wb_clk_i (net)
+                  0.05    0.00    4.52 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    4.64 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_mbist1/clknet_leaf_24_wb_clk_i (net)
+                  0.03    0.00    4.64 ^ mprj/u_mbist1/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.36    5.00 ^ mprj/u_mbist1/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.01                           mprj/u_mbist1/u_mbist.mem_no[0].u_cmp.rst_n (net)
+                  0.09    0.00    5.00 ^ mprj/u_mbist1/fanout1728/A (sky130_fd_sc_hd__buf_4)
+                  0.15    0.21    5.21 ^ mprj/u_mbist1/fanout1728/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_mbist1/net1728 (net)
+                  0.15    0.00    5.21 ^ mprj/u_mbist1/wire1729/A (sky130_fd_sc_hd__buf_4)
+                  0.26    0.29    5.50 ^ mprj/u_mbist1/wire1729/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_mbist1/net1729 (net)
+                  0.26    0.03    5.53 ^ mprj/u_mbist1/fanout1727/A (sky130_fd_sc_hd__buf_2)
+                  0.41    0.44    5.97 ^ mprj/u_mbist1/fanout1727/X (sky130_fd_sc_hd__buf_2)
+     4    0.08                           mprj/u_mbist1/net1727 (net)
+                  0.41    0.00    5.98 ^ mprj/u_mbist1/fanout1726/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.23    0.34    6.32 ^ mprj/u_mbist1/fanout1726/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.04                           mprj/u_mbist1/net1726 (net)
+                  0.23    0.00    6.32 ^ mprj/u_mbist1/fanout1676/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.29    0.32    6.64 ^ mprj/u_mbist1/fanout1676/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.03                           mprj/u_mbist1/net1676 (net)
+                  0.29    0.00    6.64 ^ mprj/u_mbist1/fanout1658/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.20    6.84 ^ mprj/u_mbist1/fanout1658/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net1658 (net)
+                  0.14    0.00    6.84 ^ mprj/u_mbist1/fanout1657/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.20    7.04 ^ mprj/u_mbist1/fanout1657/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net1657 (net)
+                  0.17    0.00    7.04 ^ mprj/u_mbist1/fanout1650/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.15    0.23    7.27 ^ mprj/u_mbist1/fanout1650/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.03                           mprj/u_mbist1/net1650 (net)
+                  0.15    0.00    7.27 ^ mprj/u_mbist1/fanout1648/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.22    0.25    7.53 ^ mprj/u_mbist1/fanout1648/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist1/net1648 (net)
+                  0.22    0.00    7.53 ^ mprj/u_mbist1/fanout1646/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.22    7.75 ^ mprj/u_mbist1/fanout1646/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.02                           mprj/u_mbist1/net1646 (net)
+                  0.12    0.00    7.75 ^ mprj/u_mbist1/_4487_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
+                                  7.75   data arrival time
+
+                         10.00   10.00   clock wbs_clk_i (rise edge)
+                          0.00   10.00   clock source latency
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.29   10.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00   10.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.22   10.51 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03   10.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.20   10.74 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02   10.76 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11   10.87 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00   10.87 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.16   11.02 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00   11.03 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   11.17 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00   11.17 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.39 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.87 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00   11.87 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.10 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00   12.10 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.33 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00   12.34 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.53 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00   12.53 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.69 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00   12.70 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.86 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.86 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   12.98 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   12.98 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.10 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.10 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.21 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.21 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.39 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.39 ^ mprj/u_mbist1/clkbuf_leaf_9_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.22   13.61 ^ mprj/u_mbist1/clkbuf_leaf_9_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.12                           mprj/u_mbist1/clknet_leaf_9_wb_clk_i (net)
+                  0.14    0.01   13.62 ^ mprj/u_mbist1/_4487_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   13.37   clock uncertainty
+                          0.22   13.59   clock reconvergence pessimism
+                          0.24   13.83   library recovery time
+                                 13.83   data required time
+-----------------------------------------------------------------------------
+                                 13.83   data required time
+                                 -7.75   data arrival time
+-----------------------------------------------------------------------------
+                                  6.09   slack (MET)
+
+
+Startpoint: mprj/u_mbist0/_4498_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist0/_4492_
+          (recovery check against rising-edge clock wbs_clk_i)
+Path Group: **async_default**
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.44    0.44 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00    0.45 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.20    0.65 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00    0.65 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.23    0.87 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00    0.88 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.17    1.04 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00    1.04 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.12    1.55 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00    1.55 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.08 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00    2.08 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.33 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00    2.33 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.25    2.58 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00    2.58 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.20    2.79 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00    2.79 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.17    2.97 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00    2.97 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17    3.14 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00    3.14 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.28 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_0_0_wb_clk_i (net)
+                  0.05    0.00    3.28 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.42 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_0_1_wb_clk_i (net)
+                  0.05    0.00    3.43 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    3.55 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_0_2_wb_clk_i (net)
+                  0.03    0.00    3.55 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    3.73 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist0/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.73 ^ mprj/u_mbist0/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.27    4.00 ^ mprj/u_mbist0/wire5/X (sky130_fd_sc_hd__buf_4)
+     6    0.08                           mprj/u_mbist0/net2098 (net)
+                  0.21    0.00    4.00 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.19    4.19 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist0/clknet_opt_2_0_wb_clk_i (net)
+                  0.04    0.00    4.19 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.32 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist0/clknet_opt_2_1_wb_clk_i (net)
+                  0.04    0.00    4.32 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.45 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist0/clknet_opt_2_2_wb_clk_i (net)
+                  0.04    0.00    4.45 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.58 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist0/clknet_opt_2_3_wb_clk_i (net)
+                  0.05    0.00    4.59 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    4.70 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_mbist0/clknet_leaf_24_wb_clk_i (net)
+                  0.03    0.00    4.70 ^ mprj/u_mbist0/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.36    5.06 ^ mprj/u_mbist0/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.01                           mprj/u_mbist0/u_mbist.mem_no[0].u_cmp.rst_n (net)
+                  0.09    0.00    5.06 ^ mprj/u_mbist0/fanout1728/A (sky130_fd_sc_hd__buf_4)
+                  0.15    0.21    5.27 ^ mprj/u_mbist0/fanout1728/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_mbist0/net1728 (net)
+                  0.15    0.00    5.27 ^ mprj/u_mbist0/wire1729/A (sky130_fd_sc_hd__buf_4)
+                  0.26    0.29    5.57 ^ mprj/u_mbist0/wire1729/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_mbist0/net1729 (net)
+                  0.26    0.03    5.60 ^ mprj/u_mbist0/fanout1727/A (sky130_fd_sc_hd__buf_2)
+                  0.41    0.44    6.04 ^ mprj/u_mbist0/fanout1727/X (sky130_fd_sc_hd__buf_2)
+     4    0.08                           mprj/u_mbist0/net1727 (net)
+                  0.41    0.00    6.04 ^ mprj/u_mbist0/fanout1726/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.23    0.34    6.38 ^ mprj/u_mbist0/fanout1726/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.04                           mprj/u_mbist0/net1726 (net)
+                  0.23    0.00    6.38 ^ mprj/u_mbist0/fanout1676/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.29    0.32    6.70 ^ mprj/u_mbist0/fanout1676/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.03                           mprj/u_mbist0/net1676 (net)
+                  0.29    0.00    6.70 ^ mprj/u_mbist0/fanout1658/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.20    6.90 ^ mprj/u_mbist0/fanout1658/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net1658 (net)
+                  0.14    0.00    6.90 ^ mprj/u_mbist0/fanout1657/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.20    7.10 ^ mprj/u_mbist0/fanout1657/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net1657 (net)
+                  0.17    0.00    7.11 ^ mprj/u_mbist0/fanout1650/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.15    0.23    7.34 ^ mprj/u_mbist0/fanout1650/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.03                           mprj/u_mbist0/net1650 (net)
+                  0.15    0.00    7.34 ^ mprj/u_mbist0/fanout1648/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.22    0.25    7.59 ^ mprj/u_mbist0/fanout1648/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist0/net1648 (net)
+                  0.22    0.00    7.59 ^ mprj/u_mbist0/fanout1646/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.22    7.81 ^ mprj/u_mbist0/fanout1646/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.02                           mprj/u_mbist0/net1646 (net)
+                  0.12    0.00    7.81 ^ mprj/u_mbist0/_4492_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
+                                  7.81   data arrival time
+
+                         10.00   10.00   clock wbs_clk_i (rise edge)
+                          0.00   10.00   clock source latency
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.41   10.41 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00   10.41 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.19   10.60 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00   10.60 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.21   10.81 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00   10.81 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   10.97 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00   10.97 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11   11.44 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00   11.44 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.93 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00   11.93 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.16 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00   12.16 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.39 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00   12.40 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.59 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00   12.59 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.75 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00   12.75 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.92 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.92 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   13.04 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   13.04 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.16 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.16 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.27 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.27 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.45 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.45 ^ mprj/u_mbist0/clkbuf_leaf_9_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.22   13.67 ^ mprj/u_mbist0/clkbuf_leaf_9_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.12                           mprj/u_mbist0/clknet_leaf_9_wb_clk_i (net)
+                  0.14    0.01   13.68 ^ mprj/u_mbist0/_4492_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   13.43   clock uncertainty
+                          0.23   13.66   clock reconvergence pessimism
+                          0.24   13.90   library recovery time
+                                 13.90   data required time
+-----------------------------------------------------------------------------
+                                 13.90   data required time
+                                 -7.81   data arrival time
+-----------------------------------------------------------------------------
+                                  6.09   slack (MET)
+
+
+Startpoint: mprj/u_mbist1/_4498_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist1/_4492_
+          (recovery check against rising-edge clock wbs_clk_i)
+Path Group: **async_default**
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.31    0.31 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00    0.32 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.23    0.55 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03    0.58 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.21    0.80 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02    0.81 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.12    0.94 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00    0.94 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.17    1.10 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00    1.11 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.16    1.26 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00    1.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.50 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.50 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.02 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00    2.02 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.26 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00    2.27 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.25    2.52 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00    2.52 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.20    2.72 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00    2.73 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.17    2.90 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00    2.91 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17    3.08 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00    3.08 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.22 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_0_0_wb_clk_i (net)
+                  0.05    0.00    3.22 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.36 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_0_1_wb_clk_i (net)
+                  0.05    0.00    3.36 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    3.48 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_0_2_wb_clk_i (net)
+                  0.03    0.00    3.48 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    3.67 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist1/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.67 ^ mprj/u_mbist1/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.27    3.94 ^ mprj/u_mbist1/wire5/X (sky130_fd_sc_hd__buf_4)
+     6    0.08                           mprj/u_mbist1/net2098 (net)
+                  0.21    0.00    3.94 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.19    4.13 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist1/clknet_opt_2_0_wb_clk_i (net)
+                  0.04    0.00    4.13 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.26 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist1/clknet_opt_2_1_wb_clk_i (net)
+                  0.04    0.00    4.26 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.39 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist1/clknet_opt_2_2_wb_clk_i (net)
+                  0.04    0.00    4.39 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.52 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist1/clknet_opt_2_3_wb_clk_i (net)
+                  0.05    0.00    4.52 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    4.64 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_mbist1/clknet_leaf_24_wb_clk_i (net)
+                  0.03    0.00    4.64 ^ mprj/u_mbist1/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.36    5.00 ^ mprj/u_mbist1/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.01                           mprj/u_mbist1/u_mbist.mem_no[0].u_cmp.rst_n (net)
+                  0.09    0.00    5.00 ^ mprj/u_mbist1/fanout1728/A (sky130_fd_sc_hd__buf_4)
+                  0.15    0.21    5.21 ^ mprj/u_mbist1/fanout1728/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_mbist1/net1728 (net)
+                  0.15    0.00    5.21 ^ mprj/u_mbist1/wire1729/A (sky130_fd_sc_hd__buf_4)
+                  0.26    0.29    5.50 ^ mprj/u_mbist1/wire1729/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_mbist1/net1729 (net)
+                  0.26    0.03    5.53 ^ mprj/u_mbist1/fanout1727/A (sky130_fd_sc_hd__buf_2)
+                  0.41    0.44    5.97 ^ mprj/u_mbist1/fanout1727/X (sky130_fd_sc_hd__buf_2)
+     4    0.08                           mprj/u_mbist1/net1727 (net)
+                  0.41    0.00    5.98 ^ mprj/u_mbist1/fanout1726/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.23    0.34    6.32 ^ mprj/u_mbist1/fanout1726/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.04                           mprj/u_mbist1/net1726 (net)
+                  0.23    0.00    6.32 ^ mprj/u_mbist1/fanout1676/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.29    0.32    6.64 ^ mprj/u_mbist1/fanout1676/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.03                           mprj/u_mbist1/net1676 (net)
+                  0.29    0.00    6.64 ^ mprj/u_mbist1/fanout1658/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.20    6.84 ^ mprj/u_mbist1/fanout1658/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net1658 (net)
+                  0.14    0.00    6.84 ^ mprj/u_mbist1/fanout1657/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.20    7.04 ^ mprj/u_mbist1/fanout1657/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net1657 (net)
+                  0.17    0.00    7.04 ^ mprj/u_mbist1/fanout1650/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.15    0.23    7.27 ^ mprj/u_mbist1/fanout1650/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.03                           mprj/u_mbist1/net1650 (net)
+                  0.15    0.00    7.27 ^ mprj/u_mbist1/fanout1648/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.22    0.25    7.53 ^ mprj/u_mbist1/fanout1648/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist1/net1648 (net)
+                  0.22    0.00    7.53 ^ mprj/u_mbist1/fanout1646/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.22    7.75 ^ mprj/u_mbist1/fanout1646/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.02                           mprj/u_mbist1/net1646 (net)
+                  0.12    0.00    7.75 ^ mprj/u_mbist1/_4492_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
+                                  7.75   data arrival time
+
+                         10.00   10.00   clock wbs_clk_i (rise edge)
+                          0.00   10.00   clock source latency
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.29   10.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00   10.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.22   10.51 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03   10.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.20   10.74 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02   10.76 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11   10.87 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00   10.87 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.16   11.02 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00   11.03 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   11.17 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00   11.17 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.39 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.87 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00   11.87 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.10 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00   12.10 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.33 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00   12.34 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.53 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00   12.53 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.69 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00   12.70 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.86 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.86 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   12.98 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   12.98 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.10 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.10 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.21 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.21 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.39 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.39 ^ mprj/u_mbist1/clkbuf_leaf_9_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.22   13.61 ^ mprj/u_mbist1/clkbuf_leaf_9_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.12                           mprj/u_mbist1/clknet_leaf_9_wb_clk_i (net)
+                  0.14    0.01   13.62 ^ mprj/u_mbist1/_4492_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   13.37   clock uncertainty
+                          0.22   13.59   clock reconvergence pessimism
+                          0.24   13.83   library recovery time
+                                 13.83   data required time
+-----------------------------------------------------------------------------
+                                 13.83   data required time
+                                 -7.75   data arrival time
+-----------------------------------------------------------------------------
+                                  6.09   slack (MET)
+
+
+Startpoint: mprj/u_mbist0/_4498_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist0/_4441_
+          (recovery check against rising-edge clock wbs_clk_i)
+Path Group: **async_default**
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.44    0.44 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00    0.45 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.20    0.65 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00    0.65 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.23    0.87 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00    0.88 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.17    1.04 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00    1.04 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.12    1.55 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00    1.55 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.08 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00    2.08 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.33 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00    2.33 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.25    2.58 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00    2.58 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.20    2.79 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00    2.79 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.17    2.97 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00    2.97 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17    3.14 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00    3.14 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.28 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_0_0_wb_clk_i (net)
+                  0.05    0.00    3.28 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.42 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_0_1_wb_clk_i (net)
+                  0.05    0.00    3.43 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    3.55 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_0_2_wb_clk_i (net)
+                  0.03    0.00    3.55 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    3.73 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist0/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.73 ^ mprj/u_mbist0/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.27    4.00 ^ mprj/u_mbist0/wire5/X (sky130_fd_sc_hd__buf_4)
+     6    0.08                           mprj/u_mbist0/net2098 (net)
+                  0.21    0.00    4.00 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.19    4.19 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist0/clknet_opt_2_0_wb_clk_i (net)
+                  0.04    0.00    4.19 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.32 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist0/clknet_opt_2_1_wb_clk_i (net)
+                  0.04    0.00    4.32 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.45 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist0/clknet_opt_2_2_wb_clk_i (net)
+                  0.04    0.00    4.45 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.58 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist0/clknet_opt_2_3_wb_clk_i (net)
+                  0.05    0.00    4.59 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    4.70 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_mbist0/clknet_leaf_24_wb_clk_i (net)
+                  0.03    0.00    4.70 ^ mprj/u_mbist0/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.36    5.06 ^ mprj/u_mbist0/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.01                           mprj/u_mbist0/u_mbist.mem_no[0].u_cmp.rst_n (net)
+                  0.09    0.00    5.06 ^ mprj/u_mbist0/fanout1728/A (sky130_fd_sc_hd__buf_4)
+                  0.15    0.21    5.27 ^ mprj/u_mbist0/fanout1728/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_mbist0/net1728 (net)
+                  0.15    0.00    5.27 ^ mprj/u_mbist0/wire1729/A (sky130_fd_sc_hd__buf_4)
+                  0.26    0.29    5.57 ^ mprj/u_mbist0/wire1729/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_mbist0/net1729 (net)
+                  0.26    0.03    5.60 ^ mprj/u_mbist0/fanout1727/A (sky130_fd_sc_hd__buf_2)
+                  0.41    0.44    6.04 ^ mprj/u_mbist0/fanout1727/X (sky130_fd_sc_hd__buf_2)
+     4    0.08                           mprj/u_mbist0/net1727 (net)
+                  0.41    0.00    6.04 ^ mprj/u_mbist0/fanout1639/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.25    0.35    6.39 ^ mprj/u_mbist0/fanout1639/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.05                           mprj/u_mbist0/net1639 (net)
+                  0.25    0.00    6.39 ^ mprj/u_mbist0/fanout1629/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.23    0.28    6.67 ^ mprj/u_mbist0/fanout1629/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist0/net1629 (net)
+                  0.23    0.00    6.67 ^ mprj/u_mbist0/fanout1614/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.20    6.87 ^ mprj/u_mbist0/fanout1614/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net1614 (net)
+                  0.15    0.00    6.87 ^ mprj/u_mbist0/fanout1613/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.20    7.07 ^ mprj/u_mbist0/fanout1613/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net1613 (net)
+                  0.17    0.00    7.07 ^ mprj/u_mbist0/fanout1608/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.23    0.26    7.33 ^ mprj/u_mbist0/fanout1608/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist0/net1608 (net)
+                  0.23    0.00    7.33 ^ mprj/u_mbist0/fanout1607/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.18    0.24    7.57 ^ mprj/u_mbist0/fanout1607/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist0/net1607 (net)
+                  0.18    0.00    7.57 ^ mprj/u_mbist0/fanout1605/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.21    7.78 ^ mprj/u_mbist0/fanout1605/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.02                           mprj/u_mbist0/net1605 (net)
+                  0.12    0.00    7.78 ^ mprj/u_mbist0/_4441_/RESET_B (sky130_fd_sc_hd__dfrtp_4)
+                                  7.78   data arrival time
+
+                         10.00   10.00   clock wbs_clk_i (rise edge)
+                          0.00   10.00   clock source latency
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.41   10.41 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00   10.41 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.19   10.60 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00   10.60 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.21   10.81 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00   10.81 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   10.97 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00   10.97 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11   11.44 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00   11.44 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.93 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00   11.93 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.16 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00   12.16 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.39 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00   12.40 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.59 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00   12.59 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.75 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00   12.75 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.92 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.92 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   13.04 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   13.04 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.16 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.16 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.27 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.27 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.45 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.45 ^ mprj/u_mbist0/clkbuf_leaf_7_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.22   13.68 ^ mprj/u_mbist0/clkbuf_leaf_7_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.12                           mprj/u_mbist0/clknet_leaf_7_wb_clk_i (net)
+                  0.14    0.01   13.68 ^ mprj/u_mbist0/_4441_/CLK (sky130_fd_sc_hd__dfrtp_4)
+                         -0.25   13.43   clock uncertainty
+                          0.23   13.66   clock reconvergence pessimism
+                          0.22   13.88   library recovery time
+                                 13.88   data required time
+-----------------------------------------------------------------------------
+                                 13.88   data required time
+                                 -7.78   data arrival time
+-----------------------------------------------------------------------------
+                                  6.10   slack (MET)
+
+
+Startpoint: mprj/u_mbist1/_4498_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist1/_4441_
+          (recovery check against rising-edge clock wbs_clk_i)
+Path Group: **async_default**
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.31    0.31 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00    0.32 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.23    0.55 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03    0.58 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.21    0.80 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02    0.81 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.12    0.94 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00    0.94 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.17    1.10 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00    1.11 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.16    1.26 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00    1.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.50 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.50 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.02 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00    2.02 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.26 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00    2.27 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.25    2.52 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00    2.52 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.20    2.72 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00    2.73 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.17    2.90 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00    2.91 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17    3.08 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00    3.08 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.22 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_0_0_wb_clk_i (net)
+                  0.05    0.00    3.22 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.36 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_0_1_wb_clk_i (net)
+                  0.05    0.00    3.36 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    3.48 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_0_2_wb_clk_i (net)
+                  0.03    0.00    3.48 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    3.67 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist1/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.67 ^ mprj/u_mbist1/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.27    3.94 ^ mprj/u_mbist1/wire5/X (sky130_fd_sc_hd__buf_4)
+     6    0.08                           mprj/u_mbist1/net2098 (net)
+                  0.21    0.00    3.94 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.19    4.13 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist1/clknet_opt_2_0_wb_clk_i (net)
+                  0.04    0.00    4.13 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.26 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist1/clknet_opt_2_1_wb_clk_i (net)
+                  0.04    0.00    4.26 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.39 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist1/clknet_opt_2_2_wb_clk_i (net)
+                  0.04    0.00    4.39 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.52 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist1/clknet_opt_2_3_wb_clk_i (net)
+                  0.05    0.00    4.52 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    4.64 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_mbist1/clknet_leaf_24_wb_clk_i (net)
+                  0.03    0.00    4.64 ^ mprj/u_mbist1/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.36    5.00 ^ mprj/u_mbist1/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.01                           mprj/u_mbist1/u_mbist.mem_no[0].u_cmp.rst_n (net)
+                  0.09    0.00    5.00 ^ mprj/u_mbist1/fanout1728/A (sky130_fd_sc_hd__buf_4)
+                  0.15    0.21    5.21 ^ mprj/u_mbist1/fanout1728/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_mbist1/net1728 (net)
+                  0.15    0.00    5.21 ^ mprj/u_mbist1/wire1729/A (sky130_fd_sc_hd__buf_4)
+                  0.26    0.29    5.50 ^ mprj/u_mbist1/wire1729/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_mbist1/net1729 (net)
+                  0.26    0.03    5.53 ^ mprj/u_mbist1/fanout1727/A (sky130_fd_sc_hd__buf_2)
+                  0.41    0.44    5.97 ^ mprj/u_mbist1/fanout1727/X (sky130_fd_sc_hd__buf_2)
+     4    0.08                           mprj/u_mbist1/net1727 (net)
+                  0.41    0.00    5.98 ^ mprj/u_mbist1/fanout1639/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.25    0.35    6.32 ^ mprj/u_mbist1/fanout1639/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.05                           mprj/u_mbist1/net1639 (net)
+                  0.25    0.00    6.32 ^ mprj/u_mbist1/fanout1629/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.23    0.28    6.61 ^ mprj/u_mbist1/fanout1629/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist1/net1629 (net)
+                  0.23    0.00    6.61 ^ mprj/u_mbist1/fanout1614/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.20    6.81 ^ mprj/u_mbist1/fanout1614/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net1614 (net)
+                  0.15    0.00    6.81 ^ mprj/u_mbist1/fanout1613/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.20    7.01 ^ mprj/u_mbist1/fanout1613/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net1613 (net)
+                  0.17    0.00    7.01 ^ mprj/u_mbist1/fanout1608/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.23    0.26    7.27 ^ mprj/u_mbist1/fanout1608/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist1/net1608 (net)
+                  0.23    0.00    7.27 ^ mprj/u_mbist1/fanout1607/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.18    0.24    7.51 ^ mprj/u_mbist1/fanout1607/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist1/net1607 (net)
+                  0.18    0.00    7.51 ^ mprj/u_mbist1/fanout1605/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.21    7.72 ^ mprj/u_mbist1/fanout1605/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.02                           mprj/u_mbist1/net1605 (net)
+                  0.12    0.00    7.72 ^ mprj/u_mbist1/_4441_/RESET_B (sky130_fd_sc_hd__dfrtp_4)
+                                  7.72   data arrival time
+
+                         10.00   10.00   clock wbs_clk_i (rise edge)
+                          0.00   10.00   clock source latency
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.29   10.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00   10.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.22   10.51 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03   10.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.20   10.74 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02   10.76 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11   10.87 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00   10.87 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.16   11.02 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00   11.03 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   11.17 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00   11.17 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.39 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.87 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00   11.87 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.10 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00   12.10 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.33 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00   12.34 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.53 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00   12.53 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.69 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00   12.70 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.86 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.86 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   12.98 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   12.98 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.10 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.10 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.21 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.21 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.39 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.40 ^ mprj/u_mbist1/clkbuf_leaf_7_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.22   13.62 ^ mprj/u_mbist1/clkbuf_leaf_7_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.12                           mprj/u_mbist1/clknet_leaf_7_wb_clk_i (net)
+                  0.14    0.01   13.62 ^ mprj/u_mbist1/_4441_/CLK (sky130_fd_sc_hd__dfrtp_4)
+                         -0.25   13.37   clock uncertainty
+                          0.22   13.60   clock reconvergence pessimism
+                          0.22   13.82   library recovery time
+                                 13.82   data required time
+-----------------------------------------------------------------------------
+                                 13.82   data required time
+                                 -7.72   data arrival time
+-----------------------------------------------------------------------------
+                                  6.10   slack (MET)
+
+
+Startpoint: mprj/u_mbist0/_4498_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist0/_4415_
+          (recovery check against rising-edge clock wbs_clk_i)
+Path Group: **async_default**
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.44    0.44 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00    0.45 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.20    0.65 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00    0.65 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.23    0.87 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00    0.88 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.17    1.04 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00    1.04 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.12    1.55 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00    1.55 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.08 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00    2.08 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.33 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00    2.33 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.25    2.58 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00    2.58 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.20    2.79 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00    2.79 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.17    2.97 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00    2.97 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17    3.14 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00    3.14 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.28 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_0_0_wb_clk_i (net)
+                  0.05    0.00    3.28 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.42 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_0_1_wb_clk_i (net)
+                  0.05    0.00    3.43 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    3.55 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_0_2_wb_clk_i (net)
+                  0.03    0.00    3.55 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    3.73 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist0/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.73 ^ mprj/u_mbist0/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.27    4.00 ^ mprj/u_mbist0/wire5/X (sky130_fd_sc_hd__buf_4)
+     6    0.08                           mprj/u_mbist0/net2098 (net)
+                  0.21    0.00    4.00 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.19    4.19 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist0/clknet_opt_2_0_wb_clk_i (net)
+                  0.04    0.00    4.19 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.32 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist0/clknet_opt_2_1_wb_clk_i (net)
+                  0.04    0.00    4.32 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.45 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist0/clknet_opt_2_2_wb_clk_i (net)
+                  0.04    0.00    4.45 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.58 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist0/clknet_opt_2_3_wb_clk_i (net)
+                  0.05    0.00    4.59 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    4.70 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_mbist0/clknet_leaf_24_wb_clk_i (net)
+                  0.03    0.00    4.70 ^ mprj/u_mbist0/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.36    5.06 ^ mprj/u_mbist0/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.01                           mprj/u_mbist0/u_mbist.mem_no[0].u_cmp.rst_n (net)
+                  0.09    0.00    5.06 ^ mprj/u_mbist0/fanout1728/A (sky130_fd_sc_hd__buf_4)
+                  0.15    0.21    5.27 ^ mprj/u_mbist0/fanout1728/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_mbist0/net1728 (net)
+                  0.15    0.00    5.27 ^ mprj/u_mbist0/wire1729/A (sky130_fd_sc_hd__buf_4)
+                  0.26    0.29    5.57 ^ mprj/u_mbist0/wire1729/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_mbist0/net1729 (net)
+                  0.26    0.03    5.60 ^ mprj/u_mbist0/fanout1727/A (sky130_fd_sc_hd__buf_2)
+                  0.41    0.44    6.04 ^ mprj/u_mbist0/fanout1727/X (sky130_fd_sc_hd__buf_2)
+     4    0.08                           mprj/u_mbist0/net1727 (net)
+                  0.41    0.00    6.04 ^ mprj/u_mbist0/fanout1639/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.25    0.35    6.39 ^ mprj/u_mbist0/fanout1639/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.05                           mprj/u_mbist0/net1639 (net)
+                  0.25    0.00    6.39 ^ mprj/u_mbist0/fanout1629/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.23    0.28    6.67 ^ mprj/u_mbist0/fanout1629/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist0/net1629 (net)
+                  0.23    0.00    6.67 ^ mprj/u_mbist0/fanout1614/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.20    6.87 ^ mprj/u_mbist0/fanout1614/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net1614 (net)
+                  0.15    0.00    6.87 ^ mprj/u_mbist0/fanout1613/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.20    7.07 ^ mprj/u_mbist0/fanout1613/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net1613 (net)
+                  0.17    0.00    7.07 ^ mprj/u_mbist0/fanout1608/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.23    0.26    7.33 ^ mprj/u_mbist0/fanout1608/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist0/net1608 (net)
+                  0.23    0.00    7.33 ^ mprj/u_mbist0/fanout1607/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.18    0.24    7.57 ^ mprj/u_mbist0/fanout1607/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist0/net1607 (net)
+                  0.18    0.00    7.57 ^ mprj/u_mbist0/fanout1605/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.21    7.78 ^ mprj/u_mbist0/fanout1605/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.02                           mprj/u_mbist0/net1605 (net)
+                  0.12    0.00    7.78 ^ mprj/u_mbist0/_4415_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
+                                  7.78   data arrival time
+
+                         10.00   10.00   clock wbs_clk_i (rise edge)
+                          0.00   10.00   clock source latency
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.41   10.41 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00   10.41 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.19   10.60 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00   10.60 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.21   10.81 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00   10.81 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   10.97 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00   10.97 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11   11.44 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00   11.44 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.93 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00   11.93 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.16 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00   12.16 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.39 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00   12.40 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.59 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00   12.59 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.75 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00   12.75 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.92 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.92 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   13.04 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   13.04 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.16 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.16 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.27 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.27 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.45 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.45 ^ mprj/u_mbist0/clkbuf_leaf_7_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.22   13.68 ^ mprj/u_mbist0/clkbuf_leaf_7_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.12                           mprj/u_mbist0/clknet_leaf_7_wb_clk_i (net)
+                  0.14    0.01   13.68 ^ mprj/u_mbist0/_4415_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   13.43   clock uncertainty
+                          0.23   13.66   clock reconvergence pessimism
+                          0.24   13.90   library recovery time
+                                 13.90   data required time
+-----------------------------------------------------------------------------
+                                 13.90   data required time
+                                 -7.78   data arrival time
+-----------------------------------------------------------------------------
+                                  6.12   slack (MET)
+
+
+Startpoint: mprj/u_mbist1/_4498_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist1/_4415_
+          (recovery check against rising-edge clock wbs_clk_i)
+Path Group: **async_default**
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.31    0.31 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00    0.32 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.23    0.55 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03    0.58 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.21    0.80 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02    0.81 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.12    0.94 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00    0.94 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.17    1.10 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00    1.11 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.16    1.26 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00    1.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.50 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.50 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.02 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00    2.02 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.26 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00    2.27 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.25    2.52 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00    2.52 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.20    2.72 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00    2.73 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.17    2.90 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00    2.91 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17    3.08 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00    3.08 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.22 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_0_0_wb_clk_i (net)
+                  0.05    0.00    3.22 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.36 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_0_1_wb_clk_i (net)
+                  0.05    0.00    3.36 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    3.48 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_0_2_wb_clk_i (net)
+                  0.03    0.00    3.48 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    3.67 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist1/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.67 ^ mprj/u_mbist1/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.27    3.94 ^ mprj/u_mbist1/wire5/X (sky130_fd_sc_hd__buf_4)
+     6    0.08                           mprj/u_mbist1/net2098 (net)
+                  0.21    0.00    3.94 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.19    4.13 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist1/clknet_opt_2_0_wb_clk_i (net)
+                  0.04    0.00    4.13 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.26 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist1/clknet_opt_2_1_wb_clk_i (net)
+                  0.04    0.00    4.26 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.39 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist1/clknet_opt_2_2_wb_clk_i (net)
+                  0.04    0.00    4.39 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.52 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist1/clknet_opt_2_3_wb_clk_i (net)
+                  0.05    0.00    4.52 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    4.64 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_mbist1/clknet_leaf_24_wb_clk_i (net)
+                  0.03    0.00    4.64 ^ mprj/u_mbist1/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.36    5.00 ^ mprj/u_mbist1/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.01                           mprj/u_mbist1/u_mbist.mem_no[0].u_cmp.rst_n (net)
+                  0.09    0.00    5.00 ^ mprj/u_mbist1/fanout1728/A (sky130_fd_sc_hd__buf_4)
+                  0.15    0.21    5.21 ^ mprj/u_mbist1/fanout1728/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_mbist1/net1728 (net)
+                  0.15    0.00    5.21 ^ mprj/u_mbist1/wire1729/A (sky130_fd_sc_hd__buf_4)
+                  0.26    0.29    5.50 ^ mprj/u_mbist1/wire1729/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_mbist1/net1729 (net)
+                  0.26    0.03    5.53 ^ mprj/u_mbist1/fanout1727/A (sky130_fd_sc_hd__buf_2)
+                  0.41    0.44    5.97 ^ mprj/u_mbist1/fanout1727/X (sky130_fd_sc_hd__buf_2)
+     4    0.08                           mprj/u_mbist1/net1727 (net)
+                  0.41    0.00    5.98 ^ mprj/u_mbist1/fanout1639/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.25    0.35    6.32 ^ mprj/u_mbist1/fanout1639/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.05                           mprj/u_mbist1/net1639 (net)
+                  0.25    0.00    6.32 ^ mprj/u_mbist1/fanout1629/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.23    0.28    6.61 ^ mprj/u_mbist1/fanout1629/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist1/net1629 (net)
+                  0.23    0.00    6.61 ^ mprj/u_mbist1/fanout1614/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.20    6.81 ^ mprj/u_mbist1/fanout1614/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net1614 (net)
+                  0.15    0.00    6.81 ^ mprj/u_mbist1/fanout1613/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.20    7.01 ^ mprj/u_mbist1/fanout1613/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net1613 (net)
+                  0.17    0.00    7.01 ^ mprj/u_mbist1/fanout1608/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.23    0.26    7.27 ^ mprj/u_mbist1/fanout1608/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist1/net1608 (net)
+                  0.23    0.00    7.27 ^ mprj/u_mbist1/fanout1607/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.18    0.24    7.51 ^ mprj/u_mbist1/fanout1607/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist1/net1607 (net)
+                  0.18    0.00    7.51 ^ mprj/u_mbist1/fanout1605/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.21    7.72 ^ mprj/u_mbist1/fanout1605/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.02                           mprj/u_mbist1/net1605 (net)
+                  0.12    0.00    7.72 ^ mprj/u_mbist1/_4415_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
+                                  7.72   data arrival time
+
+                         10.00   10.00   clock wbs_clk_i (rise edge)
+                          0.00   10.00   clock source latency
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.29   10.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00   10.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.22   10.51 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03   10.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.20   10.74 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02   10.76 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11   10.87 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00   10.87 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.16   11.02 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00   11.03 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   11.17 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00   11.17 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.39 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.87 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00   11.87 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.10 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00   12.10 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.33 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00   12.34 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.53 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00   12.53 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.69 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00   12.70 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.86 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.86 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   12.98 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   12.98 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.10 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.10 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.21 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.21 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.39 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.40 ^ mprj/u_mbist1/clkbuf_leaf_7_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.22   13.62 ^ mprj/u_mbist1/clkbuf_leaf_7_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.12                           mprj/u_mbist1/clknet_leaf_7_wb_clk_i (net)
+                  0.14    0.01   13.62 ^ mprj/u_mbist1/_4415_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   13.37   clock uncertainty
+                          0.22   13.60   clock reconvergence pessimism
+                          0.24   13.84   library recovery time
+                                 13.84   data required time
+-----------------------------------------------------------------------------
+                                 13.84   data required time
+                                 -7.72   data arrival time
+-----------------------------------------------------------------------------
+                                  6.12   slack (MET)
+
+
+Startpoint: mprj/u_mbist0/_4498_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist0/_4386_
+          (recovery check against rising-edge clock wbs_clk_i)
+Path Group: **async_default**
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.44    0.44 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00    0.45 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.20    0.65 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00    0.65 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.23    0.87 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00    0.88 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.17    1.04 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00    1.04 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.12    1.55 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00    1.55 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.08 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00    2.08 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.33 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00    2.33 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.25    2.58 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00    2.58 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.20    2.79 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00    2.79 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.17    2.97 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00    2.97 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17    3.14 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00    3.14 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.28 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_0_0_wb_clk_i (net)
+                  0.05    0.00    3.28 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.42 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_0_1_wb_clk_i (net)
+                  0.05    0.00    3.43 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    3.55 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_0_2_wb_clk_i (net)
+                  0.03    0.00    3.55 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    3.73 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist0/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.73 ^ mprj/u_mbist0/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.27    4.00 ^ mprj/u_mbist0/wire5/X (sky130_fd_sc_hd__buf_4)
+     6    0.08                           mprj/u_mbist0/net2098 (net)
+                  0.21    0.00    4.00 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.19    4.19 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist0/clknet_opt_2_0_wb_clk_i (net)
+                  0.04    0.00    4.19 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.32 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist0/clknet_opt_2_1_wb_clk_i (net)
+                  0.04    0.00    4.32 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.45 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist0/clknet_opt_2_2_wb_clk_i (net)
+                  0.04    0.00    4.45 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.58 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist0/clknet_opt_2_3_wb_clk_i (net)
+                  0.05    0.00    4.59 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    4.70 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_mbist0/clknet_leaf_24_wb_clk_i (net)
+                  0.03    0.00    4.70 ^ mprj/u_mbist0/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.36    5.06 ^ mprj/u_mbist0/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.01                           mprj/u_mbist0/u_mbist.mem_no[0].u_cmp.rst_n (net)
+                  0.09    0.00    5.06 ^ mprj/u_mbist0/fanout1728/A (sky130_fd_sc_hd__buf_4)
+                  0.15    0.21    5.27 ^ mprj/u_mbist0/fanout1728/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_mbist0/net1728 (net)
+                  0.15    0.00    5.27 ^ mprj/u_mbist0/wire1729/A (sky130_fd_sc_hd__buf_4)
+                  0.26    0.29    5.57 ^ mprj/u_mbist0/wire1729/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_mbist0/net1729 (net)
+                  0.26    0.03    5.60 ^ mprj/u_mbist0/fanout1727/A (sky130_fd_sc_hd__buf_2)
+                  0.41    0.44    6.04 ^ mprj/u_mbist0/fanout1727/X (sky130_fd_sc_hd__buf_2)
+     4    0.08                           mprj/u_mbist0/net1727 (net)
+                  0.41    0.00    6.04 ^ mprj/u_mbist0/fanout1639/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.25    0.35    6.39 ^ mprj/u_mbist0/fanout1639/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.05                           mprj/u_mbist0/net1639 (net)
+                  0.25    0.00    6.39 ^ mprj/u_mbist0/fanout1629/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.23    0.28    6.67 ^ mprj/u_mbist0/fanout1629/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist0/net1629 (net)
+                  0.23    0.00    6.67 ^ mprj/u_mbist0/fanout1628/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.20    6.87 ^ mprj/u_mbist0/fanout1628/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net1628 (net)
+                  0.15    0.00    6.87 ^ mprj/u_mbist0/fanout1627/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.20    0.26    7.13 ^ mprj/u_mbist0/fanout1627/X (sky130_fd_sc_hd__clkbuf_2)
+     8    0.04                           mprj/u_mbist0/net1627 (net)
+                  0.20    0.00    7.13 ^ mprj/u_mbist0/fanout1626/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.24    0.28    7.41 ^ mprj/u_mbist0/fanout1626/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist0/net1626 (net)
+                  0.24    0.00    7.41 ^ mprj/u_mbist0/fanout1624/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.20    0.25    7.66 ^ mprj/u_mbist0/fanout1624/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist0/net1624 (net)
+                  0.20    0.00    7.66 ^ mprj/u_mbist0/_4386_/RESET_B (sky130_fd_sc_hd__dfrtp_2)
+                                  7.66   data arrival time
+
+                         10.00   10.00   clock wbs_clk_i (rise edge)
+                          0.00   10.00   clock source latency
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.41   10.41 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00   10.41 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.19   10.60 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00   10.60 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.21   10.81 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00   10.81 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   10.97 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00   10.97 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11   11.44 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00   11.44 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.93 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00   11.93 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.16 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00   12.16 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.39 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00   12.40 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.59 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00   12.59 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.75 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00   12.75 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.92 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.92 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   13.04 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   13.04 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.16 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.16 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.27 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.27 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.45 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.45 ^ mprj/u_mbist0/clkbuf_leaf_9_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.22   13.67 ^ mprj/u_mbist0/clkbuf_leaf_9_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.12                           mprj/u_mbist0/clknet_leaf_9_wb_clk_i (net)
+                  0.14    0.01   13.68 ^ mprj/u_mbist0/_4386_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                         -0.25   13.43   clock uncertainty
+                          0.23   13.66   clock reconvergence pessimism
+                          0.20   13.86   library recovery time
+                                 13.86   data required time
+-----------------------------------------------------------------------------
+                                 13.86   data required time
+                                 -7.66   data arrival time
+-----------------------------------------------------------------------------
+                                  6.20   slack (MET)
+
+
+Startpoint: mprj/u_mbist1/_4498_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist1/_4386_
+          (recovery check against rising-edge clock wbs_clk_i)
+Path Group: **async_default**
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.31    0.31 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00    0.32 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.23    0.55 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03    0.58 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.21    0.80 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02    0.81 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.12    0.94 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00    0.94 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.17    1.10 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00    1.11 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.16    1.26 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00    1.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.50 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.50 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.02 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00    2.02 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.26 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00    2.27 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.25    2.52 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00    2.52 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.20    2.72 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00    2.73 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.17    2.90 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00    2.91 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17    3.08 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00    3.08 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.22 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_0_0_wb_clk_i (net)
+                  0.05    0.00    3.22 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.36 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_0_1_wb_clk_i (net)
+                  0.05    0.00    3.36 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    3.48 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_0_2_wb_clk_i (net)
+                  0.03    0.00    3.48 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    3.67 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist1/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.67 ^ mprj/u_mbist1/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.27    3.94 ^ mprj/u_mbist1/wire5/X (sky130_fd_sc_hd__buf_4)
+     6    0.08                           mprj/u_mbist1/net2098 (net)
+                  0.21    0.00    3.94 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.19    4.13 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist1/clknet_opt_2_0_wb_clk_i (net)
+                  0.04    0.00    4.13 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.26 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist1/clknet_opt_2_1_wb_clk_i (net)
+                  0.04    0.00    4.26 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.39 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist1/clknet_opt_2_2_wb_clk_i (net)
+                  0.04    0.00    4.39 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.52 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist1/clknet_opt_2_3_wb_clk_i (net)
+                  0.05    0.00    4.52 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    4.64 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_mbist1/clknet_leaf_24_wb_clk_i (net)
+                  0.03    0.00    4.64 ^ mprj/u_mbist1/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.36    5.00 ^ mprj/u_mbist1/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.01                           mprj/u_mbist1/u_mbist.mem_no[0].u_cmp.rst_n (net)
+                  0.09    0.00    5.00 ^ mprj/u_mbist1/fanout1728/A (sky130_fd_sc_hd__buf_4)
+                  0.15    0.21    5.21 ^ mprj/u_mbist1/fanout1728/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_mbist1/net1728 (net)
+                  0.15    0.00    5.21 ^ mprj/u_mbist1/wire1729/A (sky130_fd_sc_hd__buf_4)
+                  0.26    0.29    5.50 ^ mprj/u_mbist1/wire1729/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_mbist1/net1729 (net)
+                  0.26    0.03    5.53 ^ mprj/u_mbist1/fanout1727/A (sky130_fd_sc_hd__buf_2)
+                  0.41    0.44    5.97 ^ mprj/u_mbist1/fanout1727/X (sky130_fd_sc_hd__buf_2)
+     4    0.08                           mprj/u_mbist1/net1727 (net)
+                  0.41    0.00    5.98 ^ mprj/u_mbist1/fanout1639/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.25    0.35    6.32 ^ mprj/u_mbist1/fanout1639/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.05                           mprj/u_mbist1/net1639 (net)
+                  0.25    0.00    6.32 ^ mprj/u_mbist1/fanout1629/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.23    0.28    6.61 ^ mprj/u_mbist1/fanout1629/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist1/net1629 (net)
+                  0.23    0.00    6.61 ^ mprj/u_mbist1/fanout1628/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.20    6.81 ^ mprj/u_mbist1/fanout1628/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net1628 (net)
+                  0.15    0.00    6.81 ^ mprj/u_mbist1/fanout1627/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.20    0.26    7.07 ^ mprj/u_mbist1/fanout1627/X (sky130_fd_sc_hd__clkbuf_2)
+     8    0.04                           mprj/u_mbist1/net1627 (net)
+                  0.20    0.00    7.07 ^ mprj/u_mbist1/fanout1626/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.24    0.28    7.34 ^ mprj/u_mbist1/fanout1626/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist1/net1626 (net)
+                  0.24    0.00    7.34 ^ mprj/u_mbist1/fanout1624/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.20    0.25    7.60 ^ mprj/u_mbist1/fanout1624/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist1/net1624 (net)
+                  0.20    0.00    7.60 ^ mprj/u_mbist1/_4386_/RESET_B (sky130_fd_sc_hd__dfrtp_2)
+                                  7.60   data arrival time
+
+                         10.00   10.00   clock wbs_clk_i (rise edge)
+                          0.00   10.00   clock source latency
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.29   10.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00   10.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.22   10.51 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03   10.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.20   10.74 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02   10.76 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11   10.87 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00   10.87 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.16   11.02 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00   11.03 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   11.17 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00   11.17 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.39 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.87 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00   11.87 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.10 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00   12.10 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.33 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00   12.34 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.53 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00   12.53 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.69 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00   12.70 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.86 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.86 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   12.98 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   12.98 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.10 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.10 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.21 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.21 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.39 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.39 ^ mprj/u_mbist1/clkbuf_leaf_9_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.22   13.61 ^ mprj/u_mbist1/clkbuf_leaf_9_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.12                           mprj/u_mbist1/clknet_leaf_9_wb_clk_i (net)
+                  0.14    0.01   13.62 ^ mprj/u_mbist1/_4386_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                         -0.25   13.37   clock uncertainty
+                          0.22   13.59   clock reconvergence pessimism
+                          0.20   13.80   library recovery time
+                                 13.80   data required time
+-----------------------------------------------------------------------------
+                                 13.80   data required time
+                                 -7.60   data arrival time
+-----------------------------------------------------------------------------
+                                  6.20   slack (MET)
+
+
+Startpoint: mprj/u_mbist0/_4498_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist0/_4070_
+          (recovery check against rising-edge clock wbs_clk_i)
+Path Group: **async_default**
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.44    0.44 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00    0.45 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.20    0.65 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00    0.65 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.23    0.87 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00    0.88 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.17    1.04 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00    1.04 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.12    1.55 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00    1.55 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.08 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00    2.08 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.33 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00    2.33 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.25    2.58 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00    2.58 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.20    2.79 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00    2.79 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.17    2.97 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00    2.97 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17    3.14 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00    3.14 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.28 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_0_0_wb_clk_i (net)
+                  0.05    0.00    3.28 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.42 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_0_1_wb_clk_i (net)
+                  0.05    0.00    3.43 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    3.55 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_0_2_wb_clk_i (net)
+                  0.03    0.00    3.55 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    3.73 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist0/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.73 ^ mprj/u_mbist0/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.27    4.00 ^ mprj/u_mbist0/wire5/X (sky130_fd_sc_hd__buf_4)
+     6    0.08                           mprj/u_mbist0/net2098 (net)
+                  0.21    0.00    4.00 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.19    4.19 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist0/clknet_opt_2_0_wb_clk_i (net)
+                  0.04    0.00    4.19 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.32 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist0/clknet_opt_2_1_wb_clk_i (net)
+                  0.04    0.00    4.32 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.45 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist0/clknet_opt_2_2_wb_clk_i (net)
+                  0.04    0.00    4.45 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.58 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist0/clknet_opt_2_3_wb_clk_i (net)
+                  0.05    0.00    4.59 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    4.70 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_mbist0/clknet_leaf_24_wb_clk_i (net)
+                  0.03    0.00    4.70 ^ mprj/u_mbist0/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.36    5.06 ^ mprj/u_mbist0/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.01                           mprj/u_mbist0/u_mbist.mem_no[0].u_cmp.rst_n (net)
+                  0.09    0.00    5.06 ^ mprj/u_mbist0/fanout1728/A (sky130_fd_sc_hd__buf_4)
+                  0.15    0.21    5.27 ^ mprj/u_mbist0/fanout1728/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_mbist0/net1728 (net)
+                  0.15    0.00    5.27 ^ mprj/u_mbist0/wire1729/A (sky130_fd_sc_hd__buf_4)
+                  0.26    0.29    5.57 ^ mprj/u_mbist0/wire1729/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_mbist0/net1729 (net)
+                  0.26    0.03    5.60 ^ mprj/u_mbist0/fanout1727/A (sky130_fd_sc_hd__buf_2)
+                  0.41    0.44    6.04 ^ mprj/u_mbist0/fanout1727/X (sky130_fd_sc_hd__buf_2)
+     4    0.08                           mprj/u_mbist0/net1727 (net)
+                  0.41    0.00    6.04 ^ mprj/u_mbist0/fanout1639/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.25    0.35    6.39 ^ mprj/u_mbist0/fanout1639/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.05                           mprj/u_mbist0/net1639 (net)
+                  0.25    0.00    6.39 ^ mprj/u_mbist0/fanout1629/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.23    0.28    6.67 ^ mprj/u_mbist0/fanout1629/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist0/net1629 (net)
+                  0.23    0.00    6.67 ^ mprj/u_mbist0/fanout1628/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.20    6.87 ^ mprj/u_mbist0/fanout1628/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net1628 (net)
+                  0.15    0.00    6.87 ^ mprj/u_mbist0/fanout1627/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.20    0.26    7.13 ^ mprj/u_mbist0/fanout1627/X (sky130_fd_sc_hd__clkbuf_2)
+     8    0.04                           mprj/u_mbist0/net1627 (net)
+                  0.20    0.00    7.13 ^ mprj/u_mbist0/fanout1626/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.24    0.28    7.41 ^ mprj/u_mbist0/fanout1626/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist0/net1626 (net)
+                  0.24    0.00    7.41 ^ mprj/u_mbist0/fanout1624/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.20    0.25    7.66 ^ mprj/u_mbist0/fanout1624/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist0/net1624 (net)
+                  0.20    0.00    7.66 ^ mprj/u_mbist0/_4070_/RESET_B (sky130_fd_sc_hd__dfrtp_2)
+                                  7.66   data arrival time
+
+                         10.00   10.00   clock wbs_clk_i (rise edge)
+                          0.00   10.00   clock source latency
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.41   10.41 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00   10.41 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.19   10.60 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00   10.60 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.21   10.81 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00   10.81 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   10.97 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00   10.97 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11   11.44 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00   11.44 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.93 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00   11.93 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.16 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00   12.16 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.39 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00   12.40 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.59 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00   12.59 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.75 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00   12.75 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.92 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.92 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   13.04 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   13.04 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.16 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.16 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.27 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.27 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.45 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.45 ^ mprj/u_mbist0/clkbuf_leaf_9_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.22   13.67 ^ mprj/u_mbist0/clkbuf_leaf_9_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.12                           mprj/u_mbist0/clknet_leaf_9_wb_clk_i (net)
+                  0.14    0.01   13.68 ^ mprj/u_mbist0/_4070_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                         -0.25   13.43   clock uncertainty
+                          0.23   13.66   clock reconvergence pessimism
+                          0.20   13.86   library recovery time
+                                 13.86   data required time
+-----------------------------------------------------------------------------
+                                 13.86   data required time
+                                 -7.66   data arrival time
+-----------------------------------------------------------------------------
+                                  6.20   slack (MET)
+
+
+Startpoint: mprj/u_mbist1/_4498_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist1/_4070_
+          (recovery check against rising-edge clock wbs_clk_i)
+Path Group: **async_default**
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.31    0.31 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00    0.32 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.23    0.55 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03    0.58 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.21    0.80 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02    0.81 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.12    0.94 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00    0.94 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.17    1.10 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00    1.11 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.16    1.26 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00    1.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.50 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.50 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.02 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00    2.02 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.26 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00    2.27 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.25    2.52 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00    2.52 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.20    2.72 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00    2.73 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.17    2.90 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00    2.91 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17    3.08 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00    3.08 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.22 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_0_0_wb_clk_i (net)
+                  0.05    0.00    3.22 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.36 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_0_1_wb_clk_i (net)
+                  0.05    0.00    3.36 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    3.48 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_0_2_wb_clk_i (net)
+                  0.03    0.00    3.48 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    3.67 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist1/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.67 ^ mprj/u_mbist1/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.27    3.94 ^ mprj/u_mbist1/wire5/X (sky130_fd_sc_hd__buf_4)
+     6    0.08                           mprj/u_mbist1/net2098 (net)
+                  0.21    0.00    3.94 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.19    4.13 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist1/clknet_opt_2_0_wb_clk_i (net)
+                  0.04    0.00    4.13 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.26 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist1/clknet_opt_2_1_wb_clk_i (net)
+                  0.04    0.00    4.26 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.39 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist1/clknet_opt_2_2_wb_clk_i (net)
+                  0.04    0.00    4.39 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.52 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist1/clknet_opt_2_3_wb_clk_i (net)
+                  0.05    0.00    4.52 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    4.64 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_mbist1/clknet_leaf_24_wb_clk_i (net)
+                  0.03    0.00    4.64 ^ mprj/u_mbist1/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.36    5.00 ^ mprj/u_mbist1/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.01                           mprj/u_mbist1/u_mbist.mem_no[0].u_cmp.rst_n (net)
+                  0.09    0.00    5.00 ^ mprj/u_mbist1/fanout1728/A (sky130_fd_sc_hd__buf_4)
+                  0.15    0.21    5.21 ^ mprj/u_mbist1/fanout1728/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_mbist1/net1728 (net)
+                  0.15    0.00    5.21 ^ mprj/u_mbist1/wire1729/A (sky130_fd_sc_hd__buf_4)
+                  0.26    0.29    5.50 ^ mprj/u_mbist1/wire1729/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_mbist1/net1729 (net)
+                  0.26    0.03    5.53 ^ mprj/u_mbist1/fanout1727/A (sky130_fd_sc_hd__buf_2)
+                  0.41    0.44    5.97 ^ mprj/u_mbist1/fanout1727/X (sky130_fd_sc_hd__buf_2)
+     4    0.08                           mprj/u_mbist1/net1727 (net)
+                  0.41    0.00    5.98 ^ mprj/u_mbist1/fanout1639/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.25    0.35    6.32 ^ mprj/u_mbist1/fanout1639/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.05                           mprj/u_mbist1/net1639 (net)
+                  0.25    0.00    6.32 ^ mprj/u_mbist1/fanout1629/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.23    0.28    6.61 ^ mprj/u_mbist1/fanout1629/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist1/net1629 (net)
+                  0.23    0.00    6.61 ^ mprj/u_mbist1/fanout1628/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.20    6.81 ^ mprj/u_mbist1/fanout1628/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net1628 (net)
+                  0.15    0.00    6.81 ^ mprj/u_mbist1/fanout1627/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.20    0.26    7.07 ^ mprj/u_mbist1/fanout1627/X (sky130_fd_sc_hd__clkbuf_2)
+     8    0.04                           mprj/u_mbist1/net1627 (net)
+                  0.20    0.00    7.07 ^ mprj/u_mbist1/fanout1626/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.24    0.28    7.34 ^ mprj/u_mbist1/fanout1626/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist1/net1626 (net)
+                  0.24    0.00    7.34 ^ mprj/u_mbist1/fanout1624/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.20    0.25    7.60 ^ mprj/u_mbist1/fanout1624/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist1/net1624 (net)
+                  0.20    0.00    7.60 ^ mprj/u_mbist1/_4070_/RESET_B (sky130_fd_sc_hd__dfrtp_2)
+                                  7.60   data arrival time
+
+                         10.00   10.00   clock wbs_clk_i (rise edge)
+                          0.00   10.00   clock source latency
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.29   10.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00   10.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.22   10.51 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03   10.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.20   10.74 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02   10.76 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11   10.87 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00   10.87 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.16   11.02 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00   11.03 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   11.17 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00   11.17 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.39 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.87 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00   11.87 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.10 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00   12.10 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.33 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00   12.34 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.53 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00   12.53 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.69 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00   12.70 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.86 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.86 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   12.98 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   12.98 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.10 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.10 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.21 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.21 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.39 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.39 ^ mprj/u_mbist1/clkbuf_leaf_9_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.22   13.61 ^ mprj/u_mbist1/clkbuf_leaf_9_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.12                           mprj/u_mbist1/clknet_leaf_9_wb_clk_i (net)
+                  0.14    0.01   13.62 ^ mprj/u_mbist1/_4070_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                         -0.25   13.37   clock uncertainty
+                          0.22   13.59   clock reconvergence pessimism
+                          0.20   13.80   library recovery time
+                                 13.80   data required time
+-----------------------------------------------------------------------------
+                                 13.80   data required time
+                                 -7.60   data arrival time
+-----------------------------------------------------------------------------
+                                  6.20   slack (MET)
 
 
 Startpoint: mprj/u_mbist0/_4498_
@@ -80781,202 +98117,208 @@
 -----------------------------------------------------------------------------
                           0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.27    0.36    0.36 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     2    0.03                           mprj/u_intercon/net2 (net)
-                  0.27    0.00    0.36 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.13    0.50 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net319 (net)
-                  0.06    0.00    0.50 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
-                  0.15    0.19    0.69 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.44    0.44 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00    0.45 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.20    0.65 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00    0.65 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.23    0.87 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
      2    0.03                           mprj/wbd_clk_mbist0_rp (net)
-                  0.15    0.00    0.69 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    0.86 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.00    0.88 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.17    1.04 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/net198 (net)
-                  0.12    0.00    0.86 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00    1.04 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.00    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.00    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.12    1.55 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.70 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    1.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d03 (net)
-                  0.04    0.00    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00    1.55 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
-                  0.04    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
      1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.23 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.08 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist0/net427 (net)
-                  0.05    0.00    2.23 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.09 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist0_skew (net)
-                  1.11    0.05    3.14 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.48 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00    2.08 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.33 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00    2.33 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.25    2.58 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00    2.58 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.20    2.79 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00    2.79 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.17    2.97 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00    2.97 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17    3.14 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.48 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.63 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    3.14 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.28 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.02                           mprj/u_mbist0/clknet_1_0_0_wb_clk_i (net)
-                  0.05    0.00    3.63 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    3.77 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    3.28 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.42 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.02                           mprj/u_mbist0/clknet_1_0_1_wb_clk_i (net)
-                  0.05    0.00    3.77 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    3.89 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    3.43 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    3.55 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.01                           mprj/u_mbist0/clknet_1_0_2_wb_clk_i (net)
-                  0.03    0.00    3.89 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.37    0.36    4.25 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.22                           mprj/u_mbist0/clknet_1_0_3_wb_clk_i (net)
-                  0.37    0.01    4.26 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.22    4.48 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    3.55 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    3.73 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist0/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.73 ^ mprj/u_mbist0/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.27    4.00 ^ mprj/u_mbist0/wire5/X (sky130_fd_sc_hd__buf_4)
+     6    0.08                           mprj/u_mbist0/net2098 (net)
+                  0.21    0.00    4.00 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.19    4.19 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.02                           mprj/u_mbist0/clknet_opt_2_0_wb_clk_i (net)
-                  0.05    0.00    4.48 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.61 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.19 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.32 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.02                           mprj/u_mbist0/clknet_opt_2_1_wb_clk_i (net)
-                  0.04    0.00    4.61 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.74 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.32 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.45 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.02                           mprj/u_mbist0/clknet_opt_2_2_wb_clk_i (net)
-                  0.04    0.00    4.74 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.87 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.45 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.58 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_mbist0/clknet_opt_2_3_wb_clk_i (net)
-                  0.05    0.00    4.87 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    4.99 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00    4.59 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    4.70 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.01                           mprj/u_mbist0/clknet_leaf_24_wb_clk_i (net)
-                  0.03    0.00    4.99 ^ mprj/u_mbist0/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.09    0.36    5.35 ^ mprj/u_mbist0/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
+                  0.03    0.00    4.70 ^ mprj/u_mbist0/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.36    5.06 ^ mprj/u_mbist0/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
      1    0.01                           mprj/u_mbist0/u_mbist.mem_no[0].u_cmp.rst_n (net)
-                  0.09    0.00    5.35 ^ mprj/u_mbist0/fanout1728/A (sky130_fd_sc_hd__buf_4)
-                  0.14    0.21    5.56 ^ mprj/u_mbist0/fanout1728/X (sky130_fd_sc_hd__buf_4)
+                  0.09    0.00    5.06 ^ mprj/u_mbist0/fanout1728/A (sky130_fd_sc_hd__buf_4)
+                  0.15    0.21    5.27 ^ mprj/u_mbist0/fanout1728/X (sky130_fd_sc_hd__buf_4)
      4    0.05                           mprj/u_mbist0/net1728 (net)
-                  0.14    0.00    5.56 ^ mprj/u_mbist0/wire1729/A (sky130_fd_sc_hd__buf_4)
-                  0.24    0.28    5.84 ^ mprj/u_mbist0/wire1729/X (sky130_fd_sc_hd__buf_4)
+                  0.15    0.00    5.27 ^ mprj/u_mbist0/wire1729/A (sky130_fd_sc_hd__buf_4)
+                  0.26    0.29    5.57 ^ mprj/u_mbist0/wire1729/X (sky130_fd_sc_hd__buf_4)
      2    0.09                           mprj/u_mbist0/net1729 (net)
-                  0.24    0.02    5.86 ^ mprj/u_mbist0/fanout1727/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.42    6.28 ^ mprj/u_mbist0/fanout1727/X (sky130_fd_sc_hd__buf_2)
+                  0.26    0.03    5.60 ^ mprj/u_mbist0/fanout1727/A (sky130_fd_sc_hd__buf_2)
+                  0.41    0.44    6.04 ^ mprj/u_mbist0/fanout1727/X (sky130_fd_sc_hd__buf_2)
      4    0.08                           mprj/u_mbist0/net1727 (net)
-                  0.38    0.00    6.29 ^ mprj/u_mbist0/fanout1726/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.25    0.35    6.63 ^ mprj/u_mbist0/fanout1726/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.05                           mprj/u_mbist0/net1726 (net)
-                  0.25    0.00    6.63 ^ mprj/u_mbist0/fanout1676/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.29    0.33    6.96 ^ mprj/u_mbist0/fanout1676/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.41    0.00    6.04 ^ mprj/u_mbist0/fanout1726/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.23    0.34    6.38 ^ mprj/u_mbist0/fanout1726/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.04                           mprj/u_mbist0/net1726 (net)
+                  0.23    0.00    6.38 ^ mprj/u_mbist0/fanout1676/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.29    0.32    6.70 ^ mprj/u_mbist0/fanout1676/X (sky130_fd_sc_hd__dlymetal6s2s_1)
      4    0.03                           mprj/u_mbist0/net1676 (net)
-                  0.29    0.00    6.96 ^ mprj/u_mbist0/fanout1658/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.21    7.17 ^ mprj/u_mbist0/fanout1658/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.29    0.00    6.70 ^ mprj/u_mbist0/fanout1658/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.20    6.90 ^ mprj/u_mbist0/fanout1658/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/net1658 (net)
-                  0.15    0.00    7.17 ^ mprj/u_mbist0/fanout1657/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.17    0.20    7.38 ^ mprj/u_mbist0/fanout1657/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.00    6.90 ^ mprj/u_mbist0/fanout1657/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.20    7.10 ^ mprj/u_mbist0/fanout1657/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/net1657 (net)
-                  0.17    0.00    7.38 ^ mprj/u_mbist0/fanout1656/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.20    0.24    7.62 ^ mprj/u_mbist0/fanout1656/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.17    0.00    7.11 ^ mprj/u_mbist0/fanout1656/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.20    0.24    7.35 ^ mprj/u_mbist0/fanout1656/X (sky130_fd_sc_hd__dlymetal6s2s_1)
      4    0.02                           mprj/u_mbist0/net1656 (net)
-                  0.20    0.00    7.62 ^ mprj/u_mbist0/fanout1655/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.20    0.25    7.87 ^ mprj/u_mbist0/fanout1655/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.20    0.00    7.35 ^ mprj/u_mbist0/fanout1655/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.20    0.25    7.60 ^ mprj/u_mbist0/fanout1655/X (sky130_fd_sc_hd__dlymetal6s2s_1)
      4    0.02                           mprj/u_mbist0/net1655 (net)
-                  0.20    0.00    7.87 ^ mprj/u_mbist0/fanout1653/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.22    0.27    8.14 ^ mprj/u_mbist0/fanout1653/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.20    0.00    7.60 ^ mprj/u_mbist0/fanout1653/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.23    0.27    7.87 ^ mprj/u_mbist0/fanout1653/X (sky130_fd_sc_hd__dlymetal6s2s_1)
      4    0.02                           mprj/u_mbist0/net1653 (net)
-                  0.22    0.00    8.14 ^ mprj/u_mbist0/_4486_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  8.14   data arrival time
+                  0.23    0.00    7.87 ^ mprj/u_mbist0/_4486_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
+                                  7.87   data arrival time
 
                          10.00   10.00   clock wbs_clk_i (rise edge)
                           0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.27    0.33   10.33 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     2    0.03                           mprj/u_intercon/net2 (net)
-                  0.27    0.00   10.34 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.12   10.46 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net319 (net)
-                  0.06    0.00   10.46 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
-                  0.15    0.18   10.64 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.41   10.41 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00   10.41 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.19   10.60 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00   10.60 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.21   10.81 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
      2    0.03                           mprj/wbd_clk_mbist0_rp (net)
-                  0.15    0.00   10.64 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.15   10.79 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.00   10.81 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   10.97 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/net198 (net)
-                  0.12    0.00   10.79 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12   10.91 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00   10.97 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00   10.91 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.01 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.00   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00   11.02 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.13   11.15 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.00   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00   11.15 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.26 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11   11.44 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00   11.26 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.37 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00   11.37 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00   11.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.58 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00   11.58 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12   11.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d03 (net)
-                  0.04    0.00   11.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11   11.81 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00   11.44 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
-                  0.04    0.00   11.81 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   11.94 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
      1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
-                  0.07    0.00   11.94 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   12.07 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.93 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist0/net427 (net)
-                  0.05    0.00   12.07 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.80   12.87 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist0_skew (net)
-                  1.11    0.05   12.91 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31   13.23 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00   11.93 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.16 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00   12.16 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.39 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00   12.40 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.59 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00   12.59 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.75 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00   12.75 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.92 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
-                  0.08    0.00   13.23 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   13.36 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00   12.92 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   13.04 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00   13.36 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.12   13.49 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00   13.49 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.11   13.60 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.00   13.04 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.16 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.16 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.27 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00   13.60 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.38   13.98 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
-                  0.44    0.03   14.00 ^ mprj/u_mbist0/clkbuf_leaf_10_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.27   14.28 ^ mprj/u_mbist0/clkbuf_leaf_10_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00   13.27 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.45 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.45 ^ mprj/u_mbist0/wire7/A (sky130_fd_sc_hd__buf_8)
+                  0.20    0.22   13.67 ^ mprj/u_mbist0/wire7/X (sky130_fd_sc_hd__buf_8)
+    18    0.12                           mprj/u_mbist0/net2100 (net)
+                  0.20    0.01   13.69 ^ mprj/u_mbist0/clkbuf_leaf_10_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.22   13.91 ^ mprj/u_mbist0/clkbuf_leaf_10_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
     32    0.09                           mprj/u_mbist0/clknet_leaf_10_wb_clk_i (net)
-                  0.11    0.00   14.28 ^ mprj/u_mbist0/_4486_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   14.03   clock uncertainty
-                          0.25   14.28   clock reconvergence pessimism
-                          0.20   14.48   library recovery time
-                                 14.48   data required time
+                  0.10    0.00   13.91 ^ mprj/u_mbist0/_4486_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   13.66   clock uncertainty
+                          0.23   13.89   clock reconvergence pessimism
+                          0.20   14.09   library recovery time
+                                 14.09   data required time
 -----------------------------------------------------------------------------
-                                 14.48   data required time
-                                 -8.14   data arrival time
+                                 14.09   data required time
+                                 -7.87   data arrival time
 -----------------------------------------------------------------------------
-                                  6.35   slack (MET)
+                                  6.22   slack (MET)
 
 
 Startpoint: mprj/u_mbist1/_4498_
@@ -80990,219 +98332,213 @@
 -----------------------------------------------------------------------------
                           0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.24    0.53 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.31    0.31 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00    0.32 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.23    0.55 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
      2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.21    0.76 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+                  0.20    0.03    0.58 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.21    0.80 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
      2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02    0.77 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.13    0.90 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.02    0.81 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.12    0.94 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00    0.90 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.17    1.07 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+                  0.06    0.00    0.94 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.17    1.10 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
      2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00    1.07 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    1.22 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.00    1.11 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.16    1.26 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00    1.22 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00    1.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.00    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.50 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    2.07 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    2.07 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00    1.50 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
      1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.60 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.02 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00    2.60 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.46 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05    3.51 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.84 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00    2.02 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.26 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00    2.27 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.25    2.52 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00    2.52 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.20    2.72 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00    2.73 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.17    2.90 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00    2.91 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17    3.08 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.85 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    4.00 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    3.08 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.22 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.02                           mprj/u_mbist1/clknet_1_0_0_wb_clk_i (net)
-                  0.05    0.00    4.00 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    4.13 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    3.22 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.36 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.02                           mprj/u_mbist1/clknet_1_0_1_wb_clk_i (net)
-                  0.05    0.00    4.13 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.25 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    3.36 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    3.48 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.01                           mprj/u_mbist1/clknet_1_0_2_wb_clk_i (net)
-                  0.03    0.00    4.25 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.37    0.36    4.61 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.22                           mprj/u_mbist1/clknet_1_0_3_wb_clk_i (net)
-                  0.37    0.01    4.62 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.22    4.85 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    3.48 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    3.67 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist1/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.67 ^ mprj/u_mbist1/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.27    3.94 ^ mprj/u_mbist1/wire5/X (sky130_fd_sc_hd__buf_4)
+     6    0.08                           mprj/u_mbist1/net2098 (net)
+                  0.21    0.00    3.94 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.19    4.13 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.02                           mprj/u_mbist1/clknet_opt_2_0_wb_clk_i (net)
-                  0.05    0.00    4.85 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.98 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.13 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.26 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.02                           mprj/u_mbist1/clknet_opt_2_1_wb_clk_i (net)
-                  0.04    0.00    4.98 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.11 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.26 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.39 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.02                           mprj/u_mbist1/clknet_opt_2_2_wb_clk_i (net)
-                  0.04    0.00    5.11 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    5.24 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.39 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.52 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_mbist1/clknet_opt_2_3_wb_clk_i (net)
-                  0.05    0.00    5.24 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    5.36 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00    4.52 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    4.64 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.01                           mprj/u_mbist1/clknet_leaf_24_wb_clk_i (net)
-                  0.03    0.00    5.36 ^ mprj/u_mbist1/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.09    0.36    5.72 ^ mprj/u_mbist1/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
+                  0.03    0.00    4.64 ^ mprj/u_mbist1/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.36    5.00 ^ mprj/u_mbist1/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
      1    0.01                           mprj/u_mbist1/u_mbist.mem_no[0].u_cmp.rst_n (net)
-                  0.09    0.00    5.72 ^ mprj/u_mbist1/fanout1728/A (sky130_fd_sc_hd__buf_4)
-                  0.14    0.21    5.92 ^ mprj/u_mbist1/fanout1728/X (sky130_fd_sc_hd__buf_4)
+                  0.09    0.00    5.00 ^ mprj/u_mbist1/fanout1728/A (sky130_fd_sc_hd__buf_4)
+                  0.15    0.21    5.21 ^ mprj/u_mbist1/fanout1728/X (sky130_fd_sc_hd__buf_4)
      4    0.05                           mprj/u_mbist1/net1728 (net)
-                  0.14    0.00    5.93 ^ mprj/u_mbist1/wire1729/A (sky130_fd_sc_hd__buf_4)
-                  0.24    0.28    6.21 ^ mprj/u_mbist1/wire1729/X (sky130_fd_sc_hd__buf_4)
+                  0.15    0.00    5.21 ^ mprj/u_mbist1/wire1729/A (sky130_fd_sc_hd__buf_4)
+                  0.26    0.29    5.50 ^ mprj/u_mbist1/wire1729/X (sky130_fd_sc_hd__buf_4)
      2    0.09                           mprj/u_mbist1/net1729 (net)
-                  0.24    0.02    6.23 ^ mprj/u_mbist1/fanout1727/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.42    6.65 ^ mprj/u_mbist1/fanout1727/X (sky130_fd_sc_hd__buf_2)
+                  0.26    0.03    5.53 ^ mprj/u_mbist1/fanout1727/A (sky130_fd_sc_hd__buf_2)
+                  0.41    0.44    5.97 ^ mprj/u_mbist1/fanout1727/X (sky130_fd_sc_hd__buf_2)
      4    0.08                           mprj/u_mbist1/net1727 (net)
-                  0.38    0.00    6.65 ^ mprj/u_mbist1/fanout1726/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.25    0.35    7.00 ^ mprj/u_mbist1/fanout1726/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.05                           mprj/u_mbist1/net1726 (net)
-                  0.25    0.00    7.00 ^ mprj/u_mbist1/fanout1676/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.29    0.33    7.33 ^ mprj/u_mbist1/fanout1676/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.41    0.00    5.98 ^ mprj/u_mbist1/fanout1726/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.23    0.34    6.32 ^ mprj/u_mbist1/fanout1726/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.04                           mprj/u_mbist1/net1726 (net)
+                  0.23    0.00    6.32 ^ mprj/u_mbist1/fanout1676/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.29    0.32    6.64 ^ mprj/u_mbist1/fanout1676/X (sky130_fd_sc_hd__dlymetal6s2s_1)
      4    0.03                           mprj/u_mbist1/net1676 (net)
-                  0.29    0.00    7.33 ^ mprj/u_mbist1/fanout1658/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.21    7.54 ^ mprj/u_mbist1/fanout1658/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.29    0.00    6.64 ^ mprj/u_mbist1/fanout1658/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.20    6.84 ^ mprj/u_mbist1/fanout1658/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist1/net1658 (net)
-                  0.15    0.00    7.54 ^ mprj/u_mbist1/fanout1657/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.17    0.20    7.74 ^ mprj/u_mbist1/fanout1657/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.00    6.84 ^ mprj/u_mbist1/fanout1657/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.20    7.04 ^ mprj/u_mbist1/fanout1657/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist1/net1657 (net)
-                  0.17    0.00    7.74 ^ mprj/u_mbist1/fanout1656/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.20    0.24    7.99 ^ mprj/u_mbist1/fanout1656/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.17    0.00    7.04 ^ mprj/u_mbist1/fanout1656/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.20    0.24    7.29 ^ mprj/u_mbist1/fanout1656/X (sky130_fd_sc_hd__dlymetal6s2s_1)
      4    0.02                           mprj/u_mbist1/net1656 (net)
-                  0.20    0.00    7.99 ^ mprj/u_mbist1/fanout1655/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.20    0.25    8.24 ^ mprj/u_mbist1/fanout1655/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.20    0.00    7.29 ^ mprj/u_mbist1/fanout1655/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.20    0.25    7.54 ^ mprj/u_mbist1/fanout1655/X (sky130_fd_sc_hd__dlymetal6s2s_1)
      4    0.02                           mprj/u_mbist1/net1655 (net)
-                  0.20    0.00    8.24 ^ mprj/u_mbist1/fanout1653/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.22    0.27    8.51 ^ mprj/u_mbist1/fanout1653/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.20    0.00    7.54 ^ mprj/u_mbist1/fanout1653/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.23    0.27    7.81 ^ mprj/u_mbist1/fanout1653/X (sky130_fd_sc_hd__dlymetal6s2s_1)
      4    0.02                           mprj/u_mbist1/net1653 (net)
-                  0.22    0.00    8.51 ^ mprj/u_mbist1/_4486_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  8.51   data arrival time
+                  0.23    0.00    7.81 ^ mprj/u_mbist1/_4486_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
+                                  7.81   data arrival time
 
                          10.00   10.00   clock wbs_clk_i (rise edge)
                           0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.27   10.27 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00   10.27 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.22   10.49 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.29   10.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00   10.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.22   10.51 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
      2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01   10.50 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.20   10.70 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+                  0.20    0.03   10.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.20   10.74 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
      2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02   10.72 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12   10.84 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.02   10.76 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11   10.87 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00   10.84 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.15   10.99 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+                  0.06    0.00   10.87 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.16   11.02 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
      2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00   10.99 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14   11.14 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.00   11.03 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   11.17 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00   11.14 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12   11.25 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00   11.17 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00   11.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.36 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.00   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00   11.36 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.13   11.49 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00   11.49 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.60 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00   11.60 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.71 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00   11.71 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.82 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00   11.82 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.92 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00   11.92 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12   12.04 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00   12.04 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11   12.15 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00   12.15 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   12.28 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00   11.39 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
      1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00   12.28 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   12.41 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.87 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00   12.41 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.80   13.21 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05   13.26 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31   13.57 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00   11.87 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.10 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00   12.10 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.33 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00   12.34 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.53 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00   12.53 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.69 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00   12.70 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.86 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00   13.57 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   13.70 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00   12.86 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   12.98 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00   13.71 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.12   13.83 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00   13.83 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.11   13.94 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.00   12.98 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.10 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.10 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.21 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00   13.94 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.38   14.32 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
-                  0.44    0.03   14.35 ^ mprj/u_mbist1/clkbuf_leaf_10_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.27   14.62 ^ mprj/u_mbist1/clkbuf_leaf_10_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00   13.21 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.39 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.39 ^ mprj/u_mbist1/wire7/A (sky130_fd_sc_hd__buf_8)
+                  0.20    0.22   13.61 ^ mprj/u_mbist1/wire7/X (sky130_fd_sc_hd__buf_8)
+    18    0.12                           mprj/u_mbist1/net2100 (net)
+                  0.20    0.01   13.63 ^ mprj/u_mbist1/clkbuf_leaf_10_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.22   13.85 ^ mprj/u_mbist1/clkbuf_leaf_10_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
     32    0.09                           mprj/u_mbist1/clknet_leaf_10_wb_clk_i (net)
-                  0.11    0.00   14.62 ^ mprj/u_mbist1/_4486_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   14.37   clock uncertainty
-                          0.28   14.65   clock reconvergence pessimism
-                          0.20   14.85   library recovery time
-                                 14.85   data required time
+                  0.10    0.00   13.85 ^ mprj/u_mbist1/_4486_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   13.60   clock uncertainty
+                          0.22   13.82   clock reconvergence pessimism
+                          0.20   14.03   library recovery time
+                                 14.03   data required time
 -----------------------------------------------------------------------------
-                                 14.85   data required time
-                                 -8.51   data arrival time
+                                 14.03   data required time
+                                 -7.81   data arrival time
 -----------------------------------------------------------------------------
-                                  6.35   slack (MET)
+                                  6.22   slack (MET)
 
 
 Startpoint: mprj/u_mbist0/_4498_
             (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist0/_4557_
+Endpoint: mprj/u_mbist0/_4316_
           (recovery check against rising-edge clock wbs_clk_i)
 Path Group: **async_default**
 Path Type: max
@@ -81211,207 +98547,207 @@
 -----------------------------------------------------------------------------
                           0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.27    0.36    0.36 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     2    0.03                           mprj/u_intercon/net2 (net)
-                  0.27    0.00    0.36 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.13    0.50 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net319 (net)
-                  0.06    0.00    0.50 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
-                  0.15    0.19    0.69 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.44    0.44 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00    0.45 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.20    0.65 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00    0.65 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.23    0.87 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
      2    0.03                           mprj/wbd_clk_mbist0_rp (net)
-                  0.15    0.00    0.69 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    0.86 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.00    0.88 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.17    1.04 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/net198 (net)
-                  0.12    0.00    0.86 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00    1.04 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.00    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.00    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.12    1.55 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.70 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    1.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d03 (net)
-                  0.04    0.00    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00    1.55 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
-                  0.04    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
      1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.23 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.08 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist0/net427 (net)
-                  0.05    0.00    2.23 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.09 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist0_skew (net)
-                  1.11    0.05    3.14 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.48 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00    2.08 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.33 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00    2.33 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.25    2.58 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00    2.58 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.20    2.79 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00    2.79 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.17    2.97 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00    2.97 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17    3.14 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.48 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.63 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    3.14 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.28 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.02                           mprj/u_mbist0/clknet_1_0_0_wb_clk_i (net)
-                  0.05    0.00    3.63 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    3.77 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    3.28 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.42 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.02                           mprj/u_mbist0/clknet_1_0_1_wb_clk_i (net)
-                  0.05    0.00    3.77 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    3.89 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    3.43 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    3.55 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.01                           mprj/u_mbist0/clknet_1_0_2_wb_clk_i (net)
-                  0.03    0.00    3.89 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.37    0.36    4.25 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.22                           mprj/u_mbist0/clknet_1_0_3_wb_clk_i (net)
-                  0.37    0.01    4.26 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.22    4.48 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    3.55 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    3.73 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist0/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.73 ^ mprj/u_mbist0/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.27    4.00 ^ mprj/u_mbist0/wire5/X (sky130_fd_sc_hd__buf_4)
+     6    0.08                           mprj/u_mbist0/net2098 (net)
+                  0.21    0.00    4.00 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.19    4.19 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.02                           mprj/u_mbist0/clknet_opt_2_0_wb_clk_i (net)
-                  0.05    0.00    4.48 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.61 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.19 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.32 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.02                           mprj/u_mbist0/clknet_opt_2_1_wb_clk_i (net)
-                  0.04    0.00    4.61 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.74 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.32 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.45 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.02                           mprj/u_mbist0/clknet_opt_2_2_wb_clk_i (net)
-                  0.04    0.00    4.74 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.87 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.45 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.58 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_mbist0/clknet_opt_2_3_wb_clk_i (net)
-                  0.05    0.00    4.87 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    4.99 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00    4.59 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    4.70 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.01                           mprj/u_mbist0/clknet_leaf_24_wb_clk_i (net)
-                  0.03    0.00    4.99 ^ mprj/u_mbist0/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.09    0.36    5.35 ^ mprj/u_mbist0/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
+                  0.03    0.00    4.70 ^ mprj/u_mbist0/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.36    5.06 ^ mprj/u_mbist0/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
      1    0.01                           mprj/u_mbist0/u_mbist.mem_no[0].u_cmp.rst_n (net)
-                  0.09    0.00    5.35 ^ mprj/u_mbist0/fanout1728/A (sky130_fd_sc_hd__buf_4)
-                  0.14    0.21    5.56 ^ mprj/u_mbist0/fanout1728/X (sky130_fd_sc_hd__buf_4)
+                  0.09    0.00    5.06 ^ mprj/u_mbist0/fanout1728/A (sky130_fd_sc_hd__buf_4)
+                  0.15    0.21    5.27 ^ mprj/u_mbist0/fanout1728/X (sky130_fd_sc_hd__buf_4)
      4    0.05                           mprj/u_mbist0/net1728 (net)
-                  0.14    0.00    5.56 ^ mprj/u_mbist0/wire1729/A (sky130_fd_sc_hd__buf_4)
-                  0.24    0.28    5.84 ^ mprj/u_mbist0/wire1729/X (sky130_fd_sc_hd__buf_4)
+                  0.15    0.00    5.27 ^ mprj/u_mbist0/wire1729/A (sky130_fd_sc_hd__buf_4)
+                  0.26    0.29    5.57 ^ mprj/u_mbist0/wire1729/X (sky130_fd_sc_hd__buf_4)
      2    0.09                           mprj/u_mbist0/net1729 (net)
-                  0.24    0.02    5.86 ^ mprj/u_mbist0/fanout1727/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.42    6.28 ^ mprj/u_mbist0/fanout1727/X (sky130_fd_sc_hd__buf_2)
+                  0.26    0.03    5.60 ^ mprj/u_mbist0/fanout1727/A (sky130_fd_sc_hd__buf_2)
+                  0.41    0.44    6.04 ^ mprj/u_mbist0/fanout1727/X (sky130_fd_sc_hd__buf_2)
      4    0.08                           mprj/u_mbist0/net1727 (net)
-                  0.38    0.00    6.29 ^ mprj/u_mbist0/fanout1726/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.25    0.35    6.63 ^ mprj/u_mbist0/fanout1726/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.05                           mprj/u_mbist0/net1726 (net)
-                  0.25    0.00    6.63 ^ mprj/u_mbist0/fanout1676/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.29    0.33    6.96 ^ mprj/u_mbist0/fanout1676/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.03                           mprj/u_mbist0/net1676 (net)
-                  0.29    0.00    6.96 ^ mprj/u_mbist0/fanout1658/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.21    7.17 ^ mprj/u_mbist0/fanout1658/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net1658 (net)
-                  0.15    0.00    7.17 ^ mprj/u_mbist0/fanout1657/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.17    0.20    7.38 ^ mprj/u_mbist0/fanout1657/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net1657 (net)
-                  0.17    0.00    7.38 ^ mprj/u_mbist0/fanout1656/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.20    0.24    7.62 ^ mprj/u_mbist0/fanout1656/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.02                           mprj/u_mbist0/net1656 (net)
-                  0.20    0.00    7.62 ^ mprj/u_mbist0/fanout1655/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.20    0.25    7.87 ^ mprj/u_mbist0/fanout1655/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.02                           mprj/u_mbist0/net1655 (net)
-                  0.20    0.00    7.87 ^ mprj/u_mbist0/fanout1653/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.22    0.27    8.14 ^ mprj/u_mbist0/fanout1653/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.02                           mprj/u_mbist0/net1653 (net)
-                  0.22    0.00    8.14 ^ mprj/u_mbist0/_4557_/RESET_B (sky130_fd_sc_hd__dfrtp_4)
-                                  8.14   data arrival time
+                  0.41    0.00    6.04 ^ mprj/u_mbist0/fanout1639/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.25    0.35    6.39 ^ mprj/u_mbist0/fanout1639/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.05                           mprj/u_mbist0/net1639 (net)
+                  0.25    0.00    6.39 ^ mprj/u_mbist0/fanout1629/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.23    0.28    6.67 ^ mprj/u_mbist0/fanout1629/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist0/net1629 (net)
+                  0.23    0.00    6.67 ^ mprj/u_mbist0/fanout1628/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.20    6.87 ^ mprj/u_mbist0/fanout1628/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net1628 (net)
+                  0.15    0.00    6.87 ^ mprj/u_mbist0/fanout1621/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.23    0.26    7.13 ^ mprj/u_mbist0/fanout1621/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist0/net1621 (net)
+                  0.23    0.00    7.13 ^ mprj/u_mbist0/fanout1617/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.23    0.28    7.41 ^ mprj/u_mbist0/fanout1617/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist0/net1617 (net)
+                  0.23    0.00    7.41 ^ mprj/u_mbist0/fanout1615/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.23    7.64 ^ mprj/u_mbist0/fanout1615/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.02                           mprj/u_mbist0/net1615 (net)
+                  0.12    0.00    7.64 ^ mprj/u_mbist0/_4316_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
+                                  7.64   data arrival time
 
                          10.00   10.00   clock wbs_clk_i (rise edge)
                           0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.27    0.33   10.33 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     2    0.03                           mprj/u_intercon/net2 (net)
-                  0.27    0.00   10.34 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.12   10.46 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net319 (net)
-                  0.06    0.00   10.46 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
-                  0.15    0.18   10.64 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.41   10.41 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00   10.41 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.19   10.60 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00   10.60 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.21   10.81 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
      2    0.03                           mprj/wbd_clk_mbist0_rp (net)
-                  0.15    0.00   10.64 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.15   10.79 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.00   10.81 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   10.97 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/net198 (net)
-                  0.12    0.00   10.79 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12   10.91 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00   10.97 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00   10.91 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.01 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.00   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00   11.02 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.13   11.15 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.00   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00   11.15 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.26 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11   11.44 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00   11.26 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.37 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00   11.37 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00   11.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.58 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00   11.58 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12   11.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d03 (net)
-                  0.04    0.00   11.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11   11.81 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00   11.44 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
-                  0.04    0.00   11.81 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   11.94 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
      1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
-                  0.07    0.00   11.94 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   12.07 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.93 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist0/net427 (net)
-                  0.05    0.00   12.07 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.80   12.87 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist0_skew (net)
-                  1.11    0.05   12.91 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31   13.23 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00   11.93 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.16 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00   12.16 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.39 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00   12.40 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.59 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00   12.59 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.75 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00   12.75 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.92 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
-                  0.08    0.00   13.23 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   13.36 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00   12.92 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   13.04 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00   13.36 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.12   13.49 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00   13.49 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.11   13.60 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.00   13.04 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.16 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.16 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.27 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00   13.60 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.38   13.98 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
-                  0.45    0.03   14.01 ^ mprj/u_mbist0/clkbuf_leaf_11_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.12    0.29   14.29 ^ mprj/u_mbist0/clkbuf_leaf_11_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.10                           mprj/u_mbist0/clknet_leaf_11_wb_clk_i (net)
-                  0.12    0.00   14.30 ^ mprj/u_mbist0/_4557_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                         -0.25   14.05   clock uncertainty
-                          0.25   14.30   clock reconvergence pessimism
-                          0.18   14.48   library recovery time
-                                 14.48   data required time
+                  0.03    0.00   13.27 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.45 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.45 ^ mprj/u_mbist0/clkbuf_leaf_20_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.21   13.66 ^ mprj/u_mbist0/clkbuf_leaf_20_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    24    0.10                           mprj/u_mbist0/clknet_leaf_20_wb_clk_i (net)
+                  0.12    0.00   13.66 ^ mprj/u_mbist0/_4316_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   13.41   clock uncertainty
+                          0.23   13.64   clock reconvergence pessimism
+                          0.23   13.87   library recovery time
+                                 13.87   data required time
 -----------------------------------------------------------------------------
-                                 14.48   data required time
-                                 -8.14   data arrival time
+                                 13.87   data required time
+                                 -7.64   data arrival time
 -----------------------------------------------------------------------------
-                                  6.35   slack (MET)
+                                  6.23   slack (MET)
 
 
 Startpoint: mprj/u_mbist1/_4498_
             (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist1/_4557_
+Endpoint: mprj/u_mbist1/_4316_
           (recovery check against rising-edge clock wbs_clk_i)
 Path Group: **async_default**
 Path Type: max
@@ -81420,219 +98756,207 @@
 -----------------------------------------------------------------------------
                           0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.24    0.53 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.31    0.31 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00    0.32 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.23    0.55 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
      2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.21    0.76 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+                  0.20    0.03    0.58 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.21    0.80 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
      2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02    0.77 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.13    0.90 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.02    0.81 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.12    0.94 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00    0.90 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.17    1.07 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+                  0.06    0.00    0.94 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.17    1.10 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
      2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00    1.07 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    1.22 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.00    1.11 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.16    1.26 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00    1.22 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00    1.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.00    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.50 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    2.07 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    2.07 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00    1.50 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
      1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.60 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.02 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00    2.60 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.46 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05    3.51 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.84 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00    2.02 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.26 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00    2.27 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.25    2.52 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00    2.52 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.20    2.72 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00    2.73 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.17    2.90 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00    2.91 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17    3.08 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.85 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    4.00 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    3.08 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.22 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.02                           mprj/u_mbist1/clknet_1_0_0_wb_clk_i (net)
-                  0.05    0.00    4.00 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    4.13 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    3.22 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.36 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.02                           mprj/u_mbist1/clknet_1_0_1_wb_clk_i (net)
-                  0.05    0.00    4.13 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.25 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    3.36 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    3.48 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.01                           mprj/u_mbist1/clknet_1_0_2_wb_clk_i (net)
-                  0.03    0.00    4.25 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.37    0.36    4.61 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.22                           mprj/u_mbist1/clknet_1_0_3_wb_clk_i (net)
-                  0.37    0.01    4.62 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.22    4.85 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    3.48 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    3.67 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist1/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.67 ^ mprj/u_mbist1/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.27    3.94 ^ mprj/u_mbist1/wire5/X (sky130_fd_sc_hd__buf_4)
+     6    0.08                           mprj/u_mbist1/net2098 (net)
+                  0.21    0.00    3.94 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.19    4.13 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.02                           mprj/u_mbist1/clknet_opt_2_0_wb_clk_i (net)
-                  0.05    0.00    4.85 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.98 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.13 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.26 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.02                           mprj/u_mbist1/clknet_opt_2_1_wb_clk_i (net)
-                  0.04    0.00    4.98 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.11 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.26 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.39 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.02                           mprj/u_mbist1/clknet_opt_2_2_wb_clk_i (net)
-                  0.04    0.00    5.11 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    5.24 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.39 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.52 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_mbist1/clknet_opt_2_3_wb_clk_i (net)
-                  0.05    0.00    5.24 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    5.36 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00    4.52 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    4.64 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.01                           mprj/u_mbist1/clknet_leaf_24_wb_clk_i (net)
-                  0.03    0.00    5.36 ^ mprj/u_mbist1/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.09    0.36    5.72 ^ mprj/u_mbist1/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
+                  0.03    0.00    4.64 ^ mprj/u_mbist1/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.36    5.00 ^ mprj/u_mbist1/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
      1    0.01                           mprj/u_mbist1/u_mbist.mem_no[0].u_cmp.rst_n (net)
-                  0.09    0.00    5.72 ^ mprj/u_mbist1/fanout1728/A (sky130_fd_sc_hd__buf_4)
-                  0.14    0.21    5.92 ^ mprj/u_mbist1/fanout1728/X (sky130_fd_sc_hd__buf_4)
+                  0.09    0.00    5.00 ^ mprj/u_mbist1/fanout1728/A (sky130_fd_sc_hd__buf_4)
+                  0.15    0.21    5.21 ^ mprj/u_mbist1/fanout1728/X (sky130_fd_sc_hd__buf_4)
      4    0.05                           mprj/u_mbist1/net1728 (net)
-                  0.14    0.00    5.93 ^ mprj/u_mbist1/wire1729/A (sky130_fd_sc_hd__buf_4)
-                  0.24    0.28    6.21 ^ mprj/u_mbist1/wire1729/X (sky130_fd_sc_hd__buf_4)
+                  0.15    0.00    5.21 ^ mprj/u_mbist1/wire1729/A (sky130_fd_sc_hd__buf_4)
+                  0.26    0.29    5.50 ^ mprj/u_mbist1/wire1729/X (sky130_fd_sc_hd__buf_4)
      2    0.09                           mprj/u_mbist1/net1729 (net)
-                  0.24    0.02    6.23 ^ mprj/u_mbist1/fanout1727/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.42    6.65 ^ mprj/u_mbist1/fanout1727/X (sky130_fd_sc_hd__buf_2)
+                  0.26    0.03    5.53 ^ mprj/u_mbist1/fanout1727/A (sky130_fd_sc_hd__buf_2)
+                  0.41    0.44    5.97 ^ mprj/u_mbist1/fanout1727/X (sky130_fd_sc_hd__buf_2)
      4    0.08                           mprj/u_mbist1/net1727 (net)
-                  0.38    0.00    6.65 ^ mprj/u_mbist1/fanout1726/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.25    0.35    7.00 ^ mprj/u_mbist1/fanout1726/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.05                           mprj/u_mbist1/net1726 (net)
-                  0.25    0.00    7.00 ^ mprj/u_mbist1/fanout1676/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.29    0.33    7.33 ^ mprj/u_mbist1/fanout1676/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.03                           mprj/u_mbist1/net1676 (net)
-                  0.29    0.00    7.33 ^ mprj/u_mbist1/fanout1658/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.21    7.54 ^ mprj/u_mbist1/fanout1658/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net1658 (net)
-                  0.15    0.00    7.54 ^ mprj/u_mbist1/fanout1657/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.17    0.20    7.74 ^ mprj/u_mbist1/fanout1657/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net1657 (net)
-                  0.17    0.00    7.74 ^ mprj/u_mbist1/fanout1656/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.20    0.24    7.99 ^ mprj/u_mbist1/fanout1656/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.02                           mprj/u_mbist1/net1656 (net)
-                  0.20    0.00    7.99 ^ mprj/u_mbist1/fanout1655/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.20    0.25    8.24 ^ mprj/u_mbist1/fanout1655/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.02                           mprj/u_mbist1/net1655 (net)
-                  0.20    0.00    8.24 ^ mprj/u_mbist1/fanout1653/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.22    0.27    8.51 ^ mprj/u_mbist1/fanout1653/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.02                           mprj/u_mbist1/net1653 (net)
-                  0.22    0.00    8.51 ^ mprj/u_mbist1/_4557_/RESET_B (sky130_fd_sc_hd__dfrtp_4)
-                                  8.51   data arrival time
+                  0.41    0.00    5.98 ^ mprj/u_mbist1/fanout1639/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.25    0.35    6.32 ^ mprj/u_mbist1/fanout1639/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.05                           mprj/u_mbist1/net1639 (net)
+                  0.25    0.00    6.32 ^ mprj/u_mbist1/fanout1629/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.23    0.28    6.61 ^ mprj/u_mbist1/fanout1629/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist1/net1629 (net)
+                  0.23    0.00    6.61 ^ mprj/u_mbist1/fanout1628/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.20    6.81 ^ mprj/u_mbist1/fanout1628/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net1628 (net)
+                  0.15    0.00    6.81 ^ mprj/u_mbist1/fanout1621/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.23    0.26    7.07 ^ mprj/u_mbist1/fanout1621/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist1/net1621 (net)
+                  0.23    0.00    7.07 ^ mprj/u_mbist1/fanout1617/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.23    0.28    7.35 ^ mprj/u_mbist1/fanout1617/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist1/net1617 (net)
+                  0.23    0.00    7.35 ^ mprj/u_mbist1/fanout1615/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.23    7.57 ^ mprj/u_mbist1/fanout1615/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.02                           mprj/u_mbist1/net1615 (net)
+                  0.12    0.00    7.58 ^ mprj/u_mbist1/_4316_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
+                                  7.58   data arrival time
 
                          10.00   10.00   clock wbs_clk_i (rise edge)
                           0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.27   10.27 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00   10.27 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.22   10.49 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.29   10.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00   10.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.22   10.51 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
      2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01   10.50 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.20   10.70 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+                  0.20    0.03   10.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.20   10.74 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
      2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02   10.72 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12   10.84 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.02   10.76 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11   10.87 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00   10.84 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.15   10.99 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+                  0.06    0.00   10.87 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.16   11.02 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
      2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00   10.99 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14   11.14 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.00   11.03 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   11.17 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00   11.14 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12   11.25 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00   11.17 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00   11.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.36 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.00   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00   11.36 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.13   11.49 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00   11.49 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.60 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00   11.60 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.71 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00   11.71 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.82 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00   11.82 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.92 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00   11.92 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12   12.04 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00   12.04 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11   12.15 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00   12.15 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   12.28 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00   11.39 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
      1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00   12.28 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   12.41 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.87 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00   12.41 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.80   13.21 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05   13.26 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31   13.57 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00   11.87 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.10 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00   12.10 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.33 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00   12.34 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.53 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00   12.53 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.69 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00   12.70 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.86 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00   13.57 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   13.70 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00   12.86 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   12.98 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00   13.71 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.12   13.83 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00   13.83 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.11   13.94 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.00   12.98 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.10 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.10 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.21 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00   13.94 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.38   14.32 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
-                  0.45    0.03   14.35 ^ mprj/u_mbist1/clkbuf_leaf_11_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.12    0.29   14.64 ^ mprj/u_mbist1/clkbuf_leaf_11_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.10                           mprj/u_mbist1/clknet_leaf_11_wb_clk_i (net)
-                  0.12    0.00   14.64 ^ mprj/u_mbist1/_4557_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                         -0.25   14.39   clock uncertainty
-                          0.28   14.67   clock reconvergence pessimism
-                          0.18   14.85   library recovery time
-                                 14.85   data required time
+                  0.03    0.00   13.21 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.39 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.40 ^ mprj/u_mbist1/clkbuf_leaf_20_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.21   13.60 ^ mprj/u_mbist1/clkbuf_leaf_20_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    24    0.10                           mprj/u_mbist1/clknet_leaf_20_wb_clk_i (net)
+                  0.12    0.00   13.60 ^ mprj/u_mbist1/_4316_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   13.35   clock uncertainty
+                          0.22   13.58   clock reconvergence pessimism
+                          0.23   13.81   library recovery time
+                                 13.81   data required time
 -----------------------------------------------------------------------------
-                                 14.85   data required time
-                                 -8.51   data arrival time
+                                 13.81   data required time
+                                 -7.58   data arrival time
 -----------------------------------------------------------------------------
-                                  6.35   slack (MET)
+                                  6.23   slack (MET)
 
 
 Startpoint: mprj/u_mbist0/_4498_
             (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist0/_4010_
+Endpoint: mprj/u_mbist0/_4312_
           (recovery check against rising-edge clock wbs_clk_i)
 Path Group: **async_default**
 Path Type: max
@@ -81641,1479 +98965,207 @@
 -----------------------------------------------------------------------------
                           0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.27    0.36    0.36 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     2    0.03                           mprj/u_intercon/net2 (net)
-                  0.27    0.00    0.36 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.13    0.50 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net319 (net)
-                  0.06    0.00    0.50 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
-                  0.15    0.19    0.69 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.44    0.44 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00    0.45 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.20    0.65 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00    0.65 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.23    0.87 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
      2    0.03                           mprj/wbd_clk_mbist0_rp (net)
-                  0.15    0.00    0.69 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    0.86 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.00    0.88 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.17    1.04 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/net198 (net)
-                  0.12    0.00    0.86 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00    1.04 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.00    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.00    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.12    1.55 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.70 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    1.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d03 (net)
-                  0.04    0.00    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00    1.55 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
-                  0.04    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
      1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.23 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.08 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist0/net427 (net)
-                  0.05    0.00    2.23 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.09 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist0_skew (net)
-                  1.11    0.05    3.14 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.48 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00    2.08 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.33 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00    2.33 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.25    2.58 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00    2.58 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.20    2.79 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00    2.79 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.17    2.97 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00    2.97 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17    3.14 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.48 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.63 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    3.14 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.28 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.02                           mprj/u_mbist0/clknet_1_0_0_wb_clk_i (net)
-                  0.05    0.00    3.63 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    3.77 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    3.28 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.42 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.02                           mprj/u_mbist0/clknet_1_0_1_wb_clk_i (net)
-                  0.05    0.00    3.77 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    3.89 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    3.43 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    3.55 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.01                           mprj/u_mbist0/clknet_1_0_2_wb_clk_i (net)
-                  0.03    0.00    3.89 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.37    0.36    4.25 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.22                           mprj/u_mbist0/clknet_1_0_3_wb_clk_i (net)
-                  0.37    0.01    4.26 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.22    4.48 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    3.55 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    3.73 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist0/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.73 ^ mprj/u_mbist0/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.27    4.00 ^ mprj/u_mbist0/wire5/X (sky130_fd_sc_hd__buf_4)
+     6    0.08                           mprj/u_mbist0/net2098 (net)
+                  0.21    0.00    4.00 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.19    4.19 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.02                           mprj/u_mbist0/clknet_opt_2_0_wb_clk_i (net)
-                  0.05    0.00    4.48 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.61 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.19 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.32 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.02                           mprj/u_mbist0/clknet_opt_2_1_wb_clk_i (net)
-                  0.04    0.00    4.61 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.74 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.32 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.45 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.02                           mprj/u_mbist0/clknet_opt_2_2_wb_clk_i (net)
-                  0.04    0.00    4.74 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.87 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.45 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.58 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_mbist0/clknet_opt_2_3_wb_clk_i (net)
-                  0.05    0.00    4.87 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    4.99 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00    4.59 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    4.70 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.01                           mprj/u_mbist0/clknet_leaf_24_wb_clk_i (net)
-                  0.03    0.00    4.99 ^ mprj/u_mbist0/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.09    0.36    5.35 ^ mprj/u_mbist0/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
+                  0.03    0.00    4.70 ^ mprj/u_mbist0/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.36    5.06 ^ mprj/u_mbist0/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
      1    0.01                           mprj/u_mbist0/u_mbist.mem_no[0].u_cmp.rst_n (net)
-                  0.09    0.00    5.35 ^ mprj/u_mbist0/fanout1728/A (sky130_fd_sc_hd__buf_4)
-                  0.14    0.21    5.56 ^ mprj/u_mbist0/fanout1728/X (sky130_fd_sc_hd__buf_4)
+                  0.09    0.00    5.06 ^ mprj/u_mbist0/fanout1728/A (sky130_fd_sc_hd__buf_4)
+                  0.15    0.21    5.27 ^ mprj/u_mbist0/fanout1728/X (sky130_fd_sc_hd__buf_4)
      4    0.05                           mprj/u_mbist0/net1728 (net)
-                  0.14    0.00    5.56 ^ mprj/u_mbist0/fanout1595/A (sky130_fd_sc_hd__buf_2)
-                  0.28    0.31    5.87 ^ mprj/u_mbist0/fanout1595/X (sky130_fd_sc_hd__buf_2)
-     4    0.06                           mprj/u_mbist0/net1595 (net)
-                  0.28    0.01    5.88 ^ mprj/u_mbist0/fanout1594/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.19    0.29    6.17 ^ mprj/u_mbist0/fanout1594/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.04                           mprj/u_mbist0/net1594 (net)
-                  0.19    0.00    6.17 ^ mprj/u_mbist0/fanout1593/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.41    0.38    6.55 ^ mprj/u_mbist0/fanout1593/X (sky130_fd_sc_hd__clkbuf_1)
-     4    0.04                           mprj/u_mbist0/net1593 (net)
-                  0.41    0.00    6.55 ^ mprj/u_mbist0/fanout1574/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.17    0.23    6.78 ^ mprj/u_mbist0/fanout1574/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net1574 (net)
-                  0.17    0.00    6.78 ^ mprj/u_mbist0/fanout1573/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.16    0.20    6.98 ^ mprj/u_mbist0/fanout1573/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net1573 (net)
-                  0.16    0.00    6.98 ^ mprj/u_mbist0/fanout1567/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.13    0.21    7.19 ^ mprj/u_mbist0/fanout1567/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.02                           mprj/u_mbist0/net1567 (net)
-                  0.13    0.00    7.19 ^ mprj/u_mbist0/fanout1566/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.13    0.20    7.39 ^ mprj/u_mbist0/fanout1566/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.02                           mprj/u_mbist0/net1566 (net)
-                  0.13    0.00    7.39 ^ mprj/u_mbist0/fanout1565/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.14    0.18    7.57 ^ mprj/u_mbist0/fanout1565/X (sky130_fd_sc_hd__clkbuf_1)
-     3    0.01                           mprj/u_mbist0/net1565 (net)
-                  0.14    0.00    7.57 ^ mprj/u_mbist0/fanout1564/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.12    0.20    7.76 ^ mprj/u_mbist0/fanout1564/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.02                           mprj/u_mbist0/net1564 (net)
-                  0.12    0.00    7.76 ^ mprj/u_mbist0/_4010_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  7.76   data arrival time
-
-                         10.00   10.00   clock wbs_clk_i (rise edge)
-                          0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.27    0.33   10.33 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     2    0.03                           mprj/u_intercon/net2 (net)
-                  0.27    0.00   10.34 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.12   10.46 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net319 (net)
-                  0.06    0.00   10.46 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
-                  0.15    0.18   10.64 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
-                  0.15    0.00   10.64 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.15   10.79 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net198 (net)
-                  0.12    0.00   10.79 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12   10.91 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00   10.91 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.01 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00   11.02 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.13   11.15 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00   11.15 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.26 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00   11.26 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.37 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00   11.37 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00   11.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.58 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00   11.58 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12   11.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d03 (net)
-                  0.04    0.00   11.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11   11.81 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
-                  0.04    0.00   11.81 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   11.94 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
-                  0.07    0.00   11.94 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   12.07 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/net427 (net)
-                  0.05    0.00   12.07 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.80   12.87 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist0_skew (net)
-                  1.11    0.04   12.90 ^ mprj/u_mbist0/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.29   13.20 ^ mprj/u_mbist0/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_mbist0/clknet_0_wb_clk2_i (net)
-                  0.07    0.00   13.20 ^ mprj/u_mbist0/clkbuf_1_1__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.14    0.20   13.40 ^ mprj/u_mbist0/clkbuf_1_1__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.13                           mprj/u_mbist0/clknet_1_1__leaf_wb_clk2_i (net)
-                  0.14    0.00   13.40 ^ mprj/u_mbist0/u_mbist.mem_no[3].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
-                  0.05    0.18   13.58 ^ mprj/u_mbist0/u_mbist.mem_no[3].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-     1    0.01                           mprj/u_mbist0/u_mbist.mem_no[3].u_mem_sel.mem_clk (net)
-                  0.05    0.00   13.58 ^ mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[3].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.17   13.74 ^ mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[3].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_mbist0/clknet_0_u_mbist.mem_no[3].u_mem_sel.mem_clk (net)
-                  0.10    0.00   13.74 ^ mprj/u_mbist0/clkbuf_3_5__f_u_mbist.mem_no[3].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.17   13.91 ^ mprj/u_mbist0/clkbuf_3_5__f_u_mbist.mem_no[3].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    20    0.06                           mprj/u_mbist0/clknet_3_5__leaf_u_mbist.mem_no[3].u_mem_sel.mem_clk (net)
-                  0.08    0.00   13.92 ^ mprj/u_mbist0/_4010_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   13.67   clock uncertainty
-                          0.22   13.89   clock reconvergence pessimism
-                          0.22   14.11   library recovery time
-                                 14.11   data required time
------------------------------------------------------------------------------
-                                 14.11   data required time
-                                 -7.76   data arrival time
------------------------------------------------------------------------------
-                                  6.35   slack (MET)
-
-
-Startpoint: mprj/u_mbist1/_4498_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist1/_4010_
-          (recovery check against rising-edge clock wbs_clk_i)
-Path Group: **async_default**
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.24    0.53 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
-     2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.21    0.76 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02    0.77 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.13    0.90 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00    0.90 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.17    1.07 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
-     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00    1.07 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    1.22 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00    1.22 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    2.07 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    2.07 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.60 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00    2.60 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.46 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05    3.51 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.84 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.85 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    4.00 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_0_0_wb_clk_i (net)
-                  0.05    0.00    4.00 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    4.13 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_0_1_wb_clk_i (net)
-                  0.05    0.00    4.13 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.25 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist1/clknet_1_0_2_wb_clk_i (net)
-                  0.03    0.00    4.25 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.37    0.36    4.61 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.22                           mprj/u_mbist1/clknet_1_0_3_wb_clk_i (net)
-                  0.37    0.01    4.62 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.22    4.85 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_2_0_wb_clk_i (net)
-                  0.05    0.00    4.85 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.98 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_2_1_wb_clk_i (net)
-                  0.04    0.00    4.98 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.11 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_2_2_wb_clk_i (net)
-                  0.04    0.00    5.11 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    5.24 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_mbist1/clknet_opt_2_3_wb_clk_i (net)
-                  0.05    0.00    5.24 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    5.36 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.01                           mprj/u_mbist1/clknet_leaf_24_wb_clk_i (net)
-                  0.03    0.00    5.36 ^ mprj/u_mbist1/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.09    0.36    5.72 ^ mprj/u_mbist1/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.01                           mprj/u_mbist1/u_mbist.mem_no[0].u_cmp.rst_n (net)
-                  0.09    0.00    5.72 ^ mprj/u_mbist1/fanout1728/A (sky130_fd_sc_hd__buf_4)
-                  0.14    0.21    5.92 ^ mprj/u_mbist1/fanout1728/X (sky130_fd_sc_hd__buf_4)
-     4    0.05                           mprj/u_mbist1/net1728 (net)
-                  0.14    0.00    5.92 ^ mprj/u_mbist1/fanout1595/A (sky130_fd_sc_hd__buf_2)
-                  0.28    0.31    6.24 ^ mprj/u_mbist1/fanout1595/X (sky130_fd_sc_hd__buf_2)
-     4    0.06                           mprj/u_mbist1/net1595 (net)
-                  0.28    0.01    6.25 ^ mprj/u_mbist1/fanout1594/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.19    0.29    6.54 ^ mprj/u_mbist1/fanout1594/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.04                           mprj/u_mbist1/net1594 (net)
-                  0.19    0.00    6.54 ^ mprj/u_mbist1/fanout1593/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.41    0.38    6.92 ^ mprj/u_mbist1/fanout1593/X (sky130_fd_sc_hd__clkbuf_1)
-     4    0.04                           mprj/u_mbist1/net1593 (net)
-                  0.41    0.00    6.92 ^ mprj/u_mbist1/fanout1574/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.17    0.23    7.15 ^ mprj/u_mbist1/fanout1574/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net1574 (net)
-                  0.17    0.00    7.15 ^ mprj/u_mbist1/fanout1573/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.16    0.20    7.34 ^ mprj/u_mbist1/fanout1573/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net1573 (net)
-                  0.16    0.00    7.34 ^ mprj/u_mbist1/fanout1567/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.13    0.21    7.56 ^ mprj/u_mbist1/fanout1567/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.02                           mprj/u_mbist1/net1567 (net)
-                  0.13    0.00    7.56 ^ mprj/u_mbist1/fanout1566/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.13    0.20    7.76 ^ mprj/u_mbist1/fanout1566/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.02                           mprj/u_mbist1/net1566 (net)
-                  0.13    0.00    7.76 ^ mprj/u_mbist1/fanout1565/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.14    0.18    7.94 ^ mprj/u_mbist1/fanout1565/X (sky130_fd_sc_hd__clkbuf_1)
-     3    0.01                           mprj/u_mbist1/net1565 (net)
-                  0.14    0.00    7.94 ^ mprj/u_mbist1/fanout1564/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.12    0.20    8.13 ^ mprj/u_mbist1/fanout1564/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.02                           mprj/u_mbist1/net1564 (net)
-                  0.12    0.00    8.13 ^ mprj/u_mbist1/_4010_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  8.13   data arrival time
-
-                         10.00   10.00   clock wbs_clk_i (rise edge)
-                          0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.27   10.27 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00   10.27 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.22   10.49 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
-     2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01   10.50 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.20   10.70 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02   10.72 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12   10.84 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00   10.84 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.15   10.99 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
-     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00   10.99 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14   11.14 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00   11.14 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12   11.25 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00   11.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.36 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00   11.36 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.13   11.49 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00   11.49 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.60 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00   11.60 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.71 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00   11.71 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.82 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00   11.82 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.92 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00   11.92 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12   12.04 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00   12.04 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11   12.15 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00   12.15 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   12.28 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00   12.28 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   12.41 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00   12.41 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.80   13.21 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.04   13.24 ^ mprj/u_mbist1/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.29   13.54 ^ mprj/u_mbist1/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_mbist1/clknet_0_wb_clk2_i (net)
-                  0.07    0.00   13.54 ^ mprj/u_mbist1/clkbuf_1_1__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.14    0.20   13.74 ^ mprj/u_mbist1/clkbuf_1_1__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.13                           mprj/u_mbist1/clknet_1_1__leaf_wb_clk2_i (net)
-                  0.14    0.00   13.74 ^ mprj/u_mbist1/u_mbist.mem_no[3].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
-                  0.05    0.18   13.92 ^ mprj/u_mbist1/u_mbist.mem_no[3].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-     1    0.01                           mprj/u_mbist1/u_mbist.mem_no[3].u_mem_sel.mem_clk (net)
-                  0.05    0.00   13.92 ^ mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[3].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.17   14.08 ^ mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[3].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_mbist1/clknet_0_u_mbist.mem_no[3].u_mem_sel.mem_clk (net)
-                  0.10    0.00   14.09 ^ mprj/u_mbist1/clkbuf_3_5__f_u_mbist.mem_no[3].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.17   14.25 ^ mprj/u_mbist1/clkbuf_3_5__f_u_mbist.mem_no[3].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    20    0.06                           mprj/u_mbist1/clknet_3_5__leaf_u_mbist.mem_no[3].u_mem_sel.mem_clk (net)
-                  0.08    0.00   14.26 ^ mprj/u_mbist1/_4010_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   14.01   clock uncertainty
-                          0.25   14.26   clock reconvergence pessimism
-                          0.22   14.48   library recovery time
-                                 14.48   data required time
------------------------------------------------------------------------------
-                                 14.48   data required time
-                                 -8.13   data arrival time
------------------------------------------------------------------------------
-                                  6.35   slack (MET)
-
-
-Startpoint: mprj/u_mbist0/_4498_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist0/_4018_
-          (recovery check against rising-edge clock wbs_clk_i)
-Path Group: **async_default**
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.27    0.36    0.36 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     2    0.03                           mprj/u_intercon/net2 (net)
-                  0.27    0.00    0.36 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.13    0.50 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net319 (net)
-                  0.06    0.00    0.50 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
-                  0.15    0.19    0.69 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
-                  0.15    0.00    0.69 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    0.86 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net198 (net)
-                  0.12    0.00    0.86 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.70 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    1.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d03 (net)
-                  0.04    0.00    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
-                  0.04    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.23 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/net427 (net)
-                  0.05    0.00    2.23 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.09 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist0_skew (net)
-                  1.11    0.05    3.14 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.48 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.48 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.63 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_0_0_wb_clk_i (net)
-                  0.05    0.00    3.63 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    3.77 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_0_1_wb_clk_i (net)
-                  0.05    0.00    3.77 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    3.89 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist0/clknet_1_0_2_wb_clk_i (net)
-                  0.03    0.00    3.89 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.37    0.36    4.25 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.22                           mprj/u_mbist0/clknet_1_0_3_wb_clk_i (net)
-                  0.37    0.01    4.26 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.22    4.48 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_2_0_wb_clk_i (net)
-                  0.05    0.00    4.48 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.61 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_2_1_wb_clk_i (net)
-                  0.04    0.00    4.61 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.74 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_2_2_wb_clk_i (net)
-                  0.04    0.00    4.74 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.87 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_mbist0/clknet_opt_2_3_wb_clk_i (net)
-                  0.05    0.00    4.87 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    4.99 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.01                           mprj/u_mbist0/clknet_leaf_24_wb_clk_i (net)
-                  0.03    0.00    4.99 ^ mprj/u_mbist0/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.09    0.36    5.35 ^ mprj/u_mbist0/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.01                           mprj/u_mbist0/u_mbist.mem_no[0].u_cmp.rst_n (net)
-                  0.09    0.00    5.35 ^ mprj/u_mbist0/fanout1728/A (sky130_fd_sc_hd__buf_4)
-                  0.14    0.21    5.56 ^ mprj/u_mbist0/fanout1728/X (sky130_fd_sc_hd__buf_4)
-     4    0.05                           mprj/u_mbist0/net1728 (net)
-                  0.14    0.00    5.56 ^ mprj/u_mbist0/fanout1595/A (sky130_fd_sc_hd__buf_2)
-                  0.28    0.31    5.87 ^ mprj/u_mbist0/fanout1595/X (sky130_fd_sc_hd__buf_2)
-     4    0.06                           mprj/u_mbist0/net1595 (net)
-                  0.28    0.01    5.88 ^ mprj/u_mbist0/fanout1594/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.19    0.29    6.17 ^ mprj/u_mbist0/fanout1594/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.04                           mprj/u_mbist0/net1594 (net)
-                  0.19    0.00    6.17 ^ mprj/u_mbist0/fanout1593/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.41    0.38    6.55 ^ mprj/u_mbist0/fanout1593/X (sky130_fd_sc_hd__clkbuf_1)
-     4    0.04                           mprj/u_mbist0/net1593 (net)
-                  0.41    0.00    6.55 ^ mprj/u_mbist0/fanout1574/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.17    0.23    6.78 ^ mprj/u_mbist0/fanout1574/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net1574 (net)
-                  0.17    0.00    6.78 ^ mprj/u_mbist0/fanout1573/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.16    0.20    6.98 ^ mprj/u_mbist0/fanout1573/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net1573 (net)
-                  0.16    0.00    6.98 ^ mprj/u_mbist0/fanout1567/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.13    0.21    7.19 ^ mprj/u_mbist0/fanout1567/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.02                           mprj/u_mbist0/net1567 (net)
-                  0.13    0.00    7.19 ^ mprj/u_mbist0/fanout1566/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.13    0.20    7.39 ^ mprj/u_mbist0/fanout1566/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.02                           mprj/u_mbist0/net1566 (net)
-                  0.13    0.00    7.39 ^ mprj/u_mbist0/fanout1565/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.14    0.18    7.57 ^ mprj/u_mbist0/fanout1565/X (sky130_fd_sc_hd__clkbuf_1)
-     3    0.01                           mprj/u_mbist0/net1565 (net)
-                  0.14    0.00    7.57 ^ mprj/u_mbist0/fanout1564/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.12    0.20    7.76 ^ mprj/u_mbist0/fanout1564/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.02                           mprj/u_mbist0/net1564 (net)
-                  0.12    0.00    7.76 ^ mprj/u_mbist0/_4018_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  7.76   data arrival time
-
-                         10.00   10.00   clock wbs_clk_i (rise edge)
-                          0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.27    0.33   10.33 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     2    0.03                           mprj/u_intercon/net2 (net)
-                  0.27    0.00   10.34 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.12   10.46 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net319 (net)
-                  0.06    0.00   10.46 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
-                  0.15    0.18   10.64 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
-                  0.15    0.00   10.64 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.15   10.79 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net198 (net)
-                  0.12    0.00   10.79 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12   10.91 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00   10.91 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.01 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00   11.02 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.13   11.15 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00   11.15 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.26 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00   11.26 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.37 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00   11.37 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00   11.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.58 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00   11.58 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12   11.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d03 (net)
-                  0.04    0.00   11.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11   11.81 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
-                  0.04    0.00   11.81 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   11.94 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
-                  0.07    0.00   11.94 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   12.07 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/net427 (net)
-                  0.05    0.00   12.07 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.80   12.87 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist0_skew (net)
-                  1.11    0.04   12.90 ^ mprj/u_mbist0/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.29   13.20 ^ mprj/u_mbist0/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_mbist0/clknet_0_wb_clk2_i (net)
-                  0.07    0.00   13.20 ^ mprj/u_mbist0/clkbuf_1_1__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.14    0.20   13.40 ^ mprj/u_mbist0/clkbuf_1_1__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.13                           mprj/u_mbist0/clknet_1_1__leaf_wb_clk2_i (net)
-                  0.14    0.00   13.40 ^ mprj/u_mbist0/u_mbist.mem_no[3].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
-                  0.05    0.18   13.58 ^ mprj/u_mbist0/u_mbist.mem_no[3].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-     1    0.01                           mprj/u_mbist0/u_mbist.mem_no[3].u_mem_sel.mem_clk (net)
-                  0.05    0.00   13.58 ^ mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[3].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.17   13.74 ^ mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[3].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_mbist0/clknet_0_u_mbist.mem_no[3].u_mem_sel.mem_clk (net)
-                  0.10    0.00   13.74 ^ mprj/u_mbist0/clkbuf_3_5__f_u_mbist.mem_no[3].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.17   13.91 ^ mprj/u_mbist0/clkbuf_3_5__f_u_mbist.mem_no[3].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    20    0.06                           mprj/u_mbist0/clknet_3_5__leaf_u_mbist.mem_no[3].u_mem_sel.mem_clk (net)
-                  0.08    0.00   13.92 ^ mprj/u_mbist0/_4018_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   13.67   clock uncertainty
-                          0.22   13.89   clock reconvergence pessimism
-                          0.22   14.11   library recovery time
-                                 14.11   data required time
------------------------------------------------------------------------------
-                                 14.11   data required time
-                                 -7.76   data arrival time
------------------------------------------------------------------------------
-                                  6.35   slack (MET)
-
-
-Startpoint: mprj/u_mbist1/_4498_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist1/_4018_
-          (recovery check against rising-edge clock wbs_clk_i)
-Path Group: **async_default**
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.24    0.53 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
-     2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.21    0.76 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02    0.77 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.13    0.90 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00    0.90 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.17    1.07 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
-     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00    1.07 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    1.22 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00    1.22 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    2.07 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    2.07 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.60 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00    2.60 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.46 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05    3.51 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.84 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.85 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    4.00 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_0_0_wb_clk_i (net)
-                  0.05    0.00    4.00 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    4.13 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_0_1_wb_clk_i (net)
-                  0.05    0.00    4.13 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.25 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist1/clknet_1_0_2_wb_clk_i (net)
-                  0.03    0.00    4.25 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.37    0.36    4.61 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.22                           mprj/u_mbist1/clknet_1_0_3_wb_clk_i (net)
-                  0.37    0.01    4.62 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.22    4.85 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_2_0_wb_clk_i (net)
-                  0.05    0.00    4.85 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.98 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_2_1_wb_clk_i (net)
-                  0.04    0.00    4.98 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.11 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_2_2_wb_clk_i (net)
-                  0.04    0.00    5.11 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    5.24 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_mbist1/clknet_opt_2_3_wb_clk_i (net)
-                  0.05    0.00    5.24 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    5.36 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.01                           mprj/u_mbist1/clknet_leaf_24_wb_clk_i (net)
-                  0.03    0.00    5.36 ^ mprj/u_mbist1/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.09    0.36    5.72 ^ mprj/u_mbist1/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.01                           mprj/u_mbist1/u_mbist.mem_no[0].u_cmp.rst_n (net)
-                  0.09    0.00    5.72 ^ mprj/u_mbist1/fanout1728/A (sky130_fd_sc_hd__buf_4)
-                  0.14    0.21    5.92 ^ mprj/u_mbist1/fanout1728/X (sky130_fd_sc_hd__buf_4)
-     4    0.05                           mprj/u_mbist1/net1728 (net)
-                  0.14    0.00    5.92 ^ mprj/u_mbist1/fanout1595/A (sky130_fd_sc_hd__buf_2)
-                  0.28    0.31    6.24 ^ mprj/u_mbist1/fanout1595/X (sky130_fd_sc_hd__buf_2)
-     4    0.06                           mprj/u_mbist1/net1595 (net)
-                  0.28    0.01    6.25 ^ mprj/u_mbist1/fanout1594/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.19    0.29    6.54 ^ mprj/u_mbist1/fanout1594/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.04                           mprj/u_mbist1/net1594 (net)
-                  0.19    0.00    6.54 ^ mprj/u_mbist1/fanout1593/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.41    0.38    6.92 ^ mprj/u_mbist1/fanout1593/X (sky130_fd_sc_hd__clkbuf_1)
-     4    0.04                           mprj/u_mbist1/net1593 (net)
-                  0.41    0.00    6.92 ^ mprj/u_mbist1/fanout1574/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.17    0.23    7.15 ^ mprj/u_mbist1/fanout1574/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net1574 (net)
-                  0.17    0.00    7.15 ^ mprj/u_mbist1/fanout1573/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.16    0.20    7.34 ^ mprj/u_mbist1/fanout1573/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net1573 (net)
-                  0.16    0.00    7.34 ^ mprj/u_mbist1/fanout1567/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.13    0.21    7.56 ^ mprj/u_mbist1/fanout1567/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.02                           mprj/u_mbist1/net1567 (net)
-                  0.13    0.00    7.56 ^ mprj/u_mbist1/fanout1566/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.13    0.20    7.76 ^ mprj/u_mbist1/fanout1566/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.02                           mprj/u_mbist1/net1566 (net)
-                  0.13    0.00    7.76 ^ mprj/u_mbist1/fanout1565/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.14    0.18    7.94 ^ mprj/u_mbist1/fanout1565/X (sky130_fd_sc_hd__clkbuf_1)
-     3    0.01                           mprj/u_mbist1/net1565 (net)
-                  0.14    0.00    7.94 ^ mprj/u_mbist1/fanout1564/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.12    0.20    8.13 ^ mprj/u_mbist1/fanout1564/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.02                           mprj/u_mbist1/net1564 (net)
-                  0.12    0.00    8.13 ^ mprj/u_mbist1/_4018_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  8.13   data arrival time
-
-                         10.00   10.00   clock wbs_clk_i (rise edge)
-                          0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.27   10.27 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00   10.27 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.22   10.49 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
-     2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01   10.50 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.20   10.70 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02   10.72 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12   10.84 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00   10.84 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.15   10.99 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
-     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00   10.99 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14   11.14 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00   11.14 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12   11.25 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00   11.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.36 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00   11.36 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.13   11.49 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00   11.49 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.60 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00   11.60 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.71 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00   11.71 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.82 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00   11.82 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.92 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00   11.92 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12   12.04 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00   12.04 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11   12.15 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00   12.15 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   12.28 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00   12.28 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   12.41 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00   12.41 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.80   13.21 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.04   13.24 ^ mprj/u_mbist1/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.29   13.54 ^ mprj/u_mbist1/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_mbist1/clknet_0_wb_clk2_i (net)
-                  0.07    0.00   13.54 ^ mprj/u_mbist1/clkbuf_1_1__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.14    0.20   13.74 ^ mprj/u_mbist1/clkbuf_1_1__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.13                           mprj/u_mbist1/clknet_1_1__leaf_wb_clk2_i (net)
-                  0.14    0.00   13.74 ^ mprj/u_mbist1/u_mbist.mem_no[3].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
-                  0.05    0.18   13.92 ^ mprj/u_mbist1/u_mbist.mem_no[3].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-     1    0.01                           mprj/u_mbist1/u_mbist.mem_no[3].u_mem_sel.mem_clk (net)
-                  0.05    0.00   13.92 ^ mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[3].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.17   14.08 ^ mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[3].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_mbist1/clknet_0_u_mbist.mem_no[3].u_mem_sel.mem_clk (net)
-                  0.10    0.00   14.09 ^ mprj/u_mbist1/clkbuf_3_5__f_u_mbist.mem_no[3].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.17   14.25 ^ mprj/u_mbist1/clkbuf_3_5__f_u_mbist.mem_no[3].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    20    0.06                           mprj/u_mbist1/clknet_3_5__leaf_u_mbist.mem_no[3].u_mem_sel.mem_clk (net)
-                  0.08    0.00   14.26 ^ mprj/u_mbist1/_4018_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   14.01   clock uncertainty
-                          0.25   14.26   clock reconvergence pessimism
-                          0.22   14.48   library recovery time
-                                 14.48   data required time
------------------------------------------------------------------------------
-                                 14.48   data required time
-                                 -8.13   data arrival time
------------------------------------------------------------------------------
-                                  6.35   slack (MET)
-
-
-Startpoint: mprj/u_mbist0/_4498_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist0/_4017_
-          (recovery check against rising-edge clock wbs_clk_i)
-Path Group: **async_default**
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.27    0.36    0.36 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     2    0.03                           mprj/u_intercon/net2 (net)
-                  0.27    0.00    0.36 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.13    0.50 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net319 (net)
-                  0.06    0.00    0.50 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
-                  0.15    0.19    0.69 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
-                  0.15    0.00    0.69 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    0.86 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net198 (net)
-                  0.12    0.00    0.86 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.70 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    1.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d03 (net)
-                  0.04    0.00    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
-                  0.04    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.23 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/net427 (net)
-                  0.05    0.00    2.23 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.09 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist0_skew (net)
-                  1.11    0.05    3.14 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.48 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.48 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.63 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_0_0_wb_clk_i (net)
-                  0.05    0.00    3.63 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    3.77 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_0_1_wb_clk_i (net)
-                  0.05    0.00    3.77 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    3.89 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist0/clknet_1_0_2_wb_clk_i (net)
-                  0.03    0.00    3.89 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.37    0.36    4.25 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.22                           mprj/u_mbist0/clknet_1_0_3_wb_clk_i (net)
-                  0.37    0.01    4.26 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.22    4.48 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_2_0_wb_clk_i (net)
-                  0.05    0.00    4.48 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.61 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_2_1_wb_clk_i (net)
-                  0.04    0.00    4.61 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.74 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_2_2_wb_clk_i (net)
-                  0.04    0.00    4.74 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.87 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_mbist0/clknet_opt_2_3_wb_clk_i (net)
-                  0.05    0.00    4.87 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    4.99 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.01                           mprj/u_mbist0/clknet_leaf_24_wb_clk_i (net)
-                  0.03    0.00    4.99 ^ mprj/u_mbist0/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.09    0.36    5.35 ^ mprj/u_mbist0/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.01                           mprj/u_mbist0/u_mbist.mem_no[0].u_cmp.rst_n (net)
-                  0.09    0.00    5.35 ^ mprj/u_mbist0/fanout1728/A (sky130_fd_sc_hd__buf_4)
-                  0.14    0.21    5.56 ^ mprj/u_mbist0/fanout1728/X (sky130_fd_sc_hd__buf_4)
-     4    0.05                           mprj/u_mbist0/net1728 (net)
-                  0.14    0.00    5.56 ^ mprj/u_mbist0/fanout1595/A (sky130_fd_sc_hd__buf_2)
-                  0.28    0.31    5.87 ^ mprj/u_mbist0/fanout1595/X (sky130_fd_sc_hd__buf_2)
-     4    0.06                           mprj/u_mbist0/net1595 (net)
-                  0.28    0.01    5.88 ^ mprj/u_mbist0/fanout1594/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.19    0.29    6.17 ^ mprj/u_mbist0/fanout1594/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.04                           mprj/u_mbist0/net1594 (net)
-                  0.19    0.00    6.17 ^ mprj/u_mbist0/fanout1593/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.41    0.38    6.55 ^ mprj/u_mbist0/fanout1593/X (sky130_fd_sc_hd__clkbuf_1)
-     4    0.04                           mprj/u_mbist0/net1593 (net)
-                  0.41    0.00    6.55 ^ mprj/u_mbist0/fanout1574/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.17    0.23    6.78 ^ mprj/u_mbist0/fanout1574/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net1574 (net)
-                  0.17    0.00    6.78 ^ mprj/u_mbist0/fanout1573/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.16    0.20    6.98 ^ mprj/u_mbist0/fanout1573/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net1573 (net)
-                  0.16    0.00    6.98 ^ mprj/u_mbist0/fanout1567/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.13    0.21    7.19 ^ mprj/u_mbist0/fanout1567/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.02                           mprj/u_mbist0/net1567 (net)
-                  0.13    0.00    7.19 ^ mprj/u_mbist0/fanout1566/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.13    0.20    7.39 ^ mprj/u_mbist0/fanout1566/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.02                           mprj/u_mbist0/net1566 (net)
-                  0.13    0.00    7.39 ^ mprj/u_mbist0/fanout1565/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.14    0.18    7.57 ^ mprj/u_mbist0/fanout1565/X (sky130_fd_sc_hd__clkbuf_1)
-     3    0.01                           mprj/u_mbist0/net1565 (net)
-                  0.14    0.00    7.57 ^ mprj/u_mbist0/fanout1564/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.12    0.20    7.76 ^ mprj/u_mbist0/fanout1564/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.02                           mprj/u_mbist0/net1564 (net)
-                  0.12    0.00    7.76 ^ mprj/u_mbist0/_4017_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  7.76   data arrival time
-
-                         10.00   10.00   clock wbs_clk_i (rise edge)
-                          0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.27    0.33   10.33 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     2    0.03                           mprj/u_intercon/net2 (net)
-                  0.27    0.00   10.34 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.12   10.46 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net319 (net)
-                  0.06    0.00   10.46 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
-                  0.15    0.18   10.64 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
-                  0.15    0.00   10.64 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.15   10.79 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net198 (net)
-                  0.12    0.00   10.79 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12   10.91 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00   10.91 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.01 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00   11.02 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.13   11.15 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00   11.15 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.26 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00   11.26 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.37 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00   11.37 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00   11.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.58 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00   11.58 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12   11.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d03 (net)
-                  0.04    0.00   11.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11   11.81 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
-                  0.04    0.00   11.81 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   11.94 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
-                  0.07    0.00   11.94 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   12.07 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/net427 (net)
-                  0.05    0.00   12.07 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.80   12.87 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist0_skew (net)
-                  1.11    0.04   12.90 ^ mprj/u_mbist0/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.29   13.20 ^ mprj/u_mbist0/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_mbist0/clknet_0_wb_clk2_i (net)
-                  0.07    0.00   13.20 ^ mprj/u_mbist0/clkbuf_1_1__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.14    0.20   13.40 ^ mprj/u_mbist0/clkbuf_1_1__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.13                           mprj/u_mbist0/clknet_1_1__leaf_wb_clk2_i (net)
-                  0.14    0.00   13.40 ^ mprj/u_mbist0/u_mbist.mem_no[3].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
-                  0.05    0.18   13.58 ^ mprj/u_mbist0/u_mbist.mem_no[3].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-     1    0.01                           mprj/u_mbist0/u_mbist.mem_no[3].u_mem_sel.mem_clk (net)
-                  0.05    0.00   13.58 ^ mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[3].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.17   13.74 ^ mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[3].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_mbist0/clknet_0_u_mbist.mem_no[3].u_mem_sel.mem_clk (net)
-                  0.10    0.00   13.74 ^ mprj/u_mbist0/clkbuf_3_5__f_u_mbist.mem_no[3].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.17   13.91 ^ mprj/u_mbist0/clkbuf_3_5__f_u_mbist.mem_no[3].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    20    0.06                           mprj/u_mbist0/clknet_3_5__leaf_u_mbist.mem_no[3].u_mem_sel.mem_clk (net)
-                  0.08    0.00   13.92 ^ mprj/u_mbist0/_4017_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   13.67   clock uncertainty
-                          0.22   13.89   clock reconvergence pessimism
-                          0.22   14.11   library recovery time
-                                 14.11   data required time
------------------------------------------------------------------------------
-                                 14.11   data required time
-                                 -7.76   data arrival time
------------------------------------------------------------------------------
-                                  6.35   slack (MET)
-
-
-Startpoint: mprj/u_mbist1/_4498_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist1/_4017_
-          (recovery check against rising-edge clock wbs_clk_i)
-Path Group: **async_default**
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.24    0.53 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
-     2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.21    0.76 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02    0.77 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.13    0.90 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00    0.90 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.17    1.07 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
-     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00    1.07 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    1.22 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00    1.22 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    2.07 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    2.07 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.60 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00    2.60 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.46 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05    3.51 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.84 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.85 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    4.00 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_0_0_wb_clk_i (net)
-                  0.05    0.00    4.00 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    4.13 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_0_1_wb_clk_i (net)
-                  0.05    0.00    4.13 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.25 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist1/clknet_1_0_2_wb_clk_i (net)
-                  0.03    0.00    4.25 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.37    0.36    4.61 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.22                           mprj/u_mbist1/clknet_1_0_3_wb_clk_i (net)
-                  0.37    0.01    4.62 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.22    4.85 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_2_0_wb_clk_i (net)
-                  0.05    0.00    4.85 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.98 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_2_1_wb_clk_i (net)
-                  0.04    0.00    4.98 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.11 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_2_2_wb_clk_i (net)
-                  0.04    0.00    5.11 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    5.24 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_mbist1/clknet_opt_2_3_wb_clk_i (net)
-                  0.05    0.00    5.24 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    5.36 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.01                           mprj/u_mbist1/clknet_leaf_24_wb_clk_i (net)
-                  0.03    0.00    5.36 ^ mprj/u_mbist1/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.09    0.36    5.72 ^ mprj/u_mbist1/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.01                           mprj/u_mbist1/u_mbist.mem_no[0].u_cmp.rst_n (net)
-                  0.09    0.00    5.72 ^ mprj/u_mbist1/fanout1728/A (sky130_fd_sc_hd__buf_4)
-                  0.14    0.21    5.92 ^ mprj/u_mbist1/fanout1728/X (sky130_fd_sc_hd__buf_4)
-     4    0.05                           mprj/u_mbist1/net1728 (net)
-                  0.14    0.00    5.92 ^ mprj/u_mbist1/fanout1595/A (sky130_fd_sc_hd__buf_2)
-                  0.28    0.31    6.24 ^ mprj/u_mbist1/fanout1595/X (sky130_fd_sc_hd__buf_2)
-     4    0.06                           mprj/u_mbist1/net1595 (net)
-                  0.28    0.01    6.25 ^ mprj/u_mbist1/fanout1594/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.19    0.29    6.54 ^ mprj/u_mbist1/fanout1594/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.04                           mprj/u_mbist1/net1594 (net)
-                  0.19    0.00    6.54 ^ mprj/u_mbist1/fanout1593/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.41    0.38    6.92 ^ mprj/u_mbist1/fanout1593/X (sky130_fd_sc_hd__clkbuf_1)
-     4    0.04                           mprj/u_mbist1/net1593 (net)
-                  0.41    0.00    6.92 ^ mprj/u_mbist1/fanout1574/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.17    0.23    7.15 ^ mprj/u_mbist1/fanout1574/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net1574 (net)
-                  0.17    0.00    7.15 ^ mprj/u_mbist1/fanout1573/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.16    0.20    7.34 ^ mprj/u_mbist1/fanout1573/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net1573 (net)
-                  0.16    0.00    7.34 ^ mprj/u_mbist1/fanout1567/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.13    0.21    7.56 ^ mprj/u_mbist1/fanout1567/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.02                           mprj/u_mbist1/net1567 (net)
-                  0.13    0.00    7.56 ^ mprj/u_mbist1/fanout1566/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.13    0.20    7.76 ^ mprj/u_mbist1/fanout1566/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.02                           mprj/u_mbist1/net1566 (net)
-                  0.13    0.00    7.76 ^ mprj/u_mbist1/fanout1565/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.14    0.18    7.94 ^ mprj/u_mbist1/fanout1565/X (sky130_fd_sc_hd__clkbuf_1)
-     3    0.01                           mprj/u_mbist1/net1565 (net)
-                  0.14    0.00    7.94 ^ mprj/u_mbist1/fanout1564/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.12    0.20    8.13 ^ mprj/u_mbist1/fanout1564/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.02                           mprj/u_mbist1/net1564 (net)
-                  0.12    0.00    8.13 ^ mprj/u_mbist1/_4017_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  8.13   data arrival time
-
-                         10.00   10.00   clock wbs_clk_i (rise edge)
-                          0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.27   10.27 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00   10.27 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.22   10.49 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
-     2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01   10.50 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.20   10.70 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02   10.72 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12   10.84 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00   10.84 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.15   10.99 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
-     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00   10.99 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14   11.14 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00   11.14 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12   11.25 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00   11.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.36 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00   11.36 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.13   11.49 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00   11.49 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.60 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00   11.60 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.71 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00   11.71 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.82 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00   11.82 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.92 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00   11.92 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12   12.04 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00   12.04 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11   12.15 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00   12.15 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   12.28 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00   12.28 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   12.41 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00   12.41 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.80   13.21 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.04   13.24 ^ mprj/u_mbist1/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.29   13.54 ^ mprj/u_mbist1/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_mbist1/clknet_0_wb_clk2_i (net)
-                  0.07    0.00   13.54 ^ mprj/u_mbist1/clkbuf_1_1__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.14    0.20   13.74 ^ mprj/u_mbist1/clkbuf_1_1__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.13                           mprj/u_mbist1/clknet_1_1__leaf_wb_clk2_i (net)
-                  0.14    0.00   13.74 ^ mprj/u_mbist1/u_mbist.mem_no[3].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
-                  0.05    0.18   13.92 ^ mprj/u_mbist1/u_mbist.mem_no[3].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-     1    0.01                           mprj/u_mbist1/u_mbist.mem_no[3].u_mem_sel.mem_clk (net)
-                  0.05    0.00   13.92 ^ mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[3].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.17   14.08 ^ mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[3].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_mbist1/clknet_0_u_mbist.mem_no[3].u_mem_sel.mem_clk (net)
-                  0.10    0.00   14.09 ^ mprj/u_mbist1/clkbuf_3_5__f_u_mbist.mem_no[3].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.17   14.25 ^ mprj/u_mbist1/clkbuf_3_5__f_u_mbist.mem_no[3].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    20    0.06                           mprj/u_mbist1/clknet_3_5__leaf_u_mbist.mem_no[3].u_mem_sel.mem_clk (net)
-                  0.08    0.00   14.26 ^ mprj/u_mbist1/_4017_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   14.01   clock uncertainty
-                          0.25   14.26   clock reconvergence pessimism
-                          0.22   14.48   library recovery time
-                                 14.48   data required time
------------------------------------------------------------------------------
-                                 14.48   data required time
-                                 -8.13   data arrival time
------------------------------------------------------------------------------
-                                  6.35   slack (MET)
-
-
-Startpoint: mprj/u_mbist0/_4498_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist0/_4477_
-          (recovery check against rising-edge clock wbs_clk_i)
-Path Group: **async_default**
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.27    0.36    0.36 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     2    0.03                           mprj/u_intercon/net2 (net)
-                  0.27    0.00    0.36 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.13    0.50 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net319 (net)
-                  0.06    0.00    0.50 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
-                  0.15    0.19    0.69 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
-                  0.15    0.00    0.69 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    0.86 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net198 (net)
-                  0.12    0.00    0.86 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.70 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    1.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d03 (net)
-                  0.04    0.00    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
-                  0.04    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.23 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/net427 (net)
-                  0.05    0.00    2.23 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.09 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist0_skew (net)
-                  1.11    0.05    3.14 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.48 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.48 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.63 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_0_0_wb_clk_i (net)
-                  0.05    0.00    3.63 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    3.77 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_0_1_wb_clk_i (net)
-                  0.05    0.00    3.77 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    3.89 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist0/clknet_1_0_2_wb_clk_i (net)
-                  0.03    0.00    3.89 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.37    0.36    4.25 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.22                           mprj/u_mbist0/clknet_1_0_3_wb_clk_i (net)
-                  0.37    0.01    4.26 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.22    4.48 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_2_0_wb_clk_i (net)
-                  0.05    0.00    4.48 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.61 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_2_1_wb_clk_i (net)
-                  0.04    0.00    4.61 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.74 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_2_2_wb_clk_i (net)
-                  0.04    0.00    4.74 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.87 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_mbist0/clknet_opt_2_3_wb_clk_i (net)
-                  0.05    0.00    4.87 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    4.99 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.01                           mprj/u_mbist0/clknet_leaf_24_wb_clk_i (net)
-                  0.03    0.00    4.99 ^ mprj/u_mbist0/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.09    0.36    5.35 ^ mprj/u_mbist0/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.01                           mprj/u_mbist0/u_mbist.mem_no[0].u_cmp.rst_n (net)
-                  0.09    0.00    5.35 ^ mprj/u_mbist0/fanout1728/A (sky130_fd_sc_hd__buf_4)
-                  0.14    0.21    5.56 ^ mprj/u_mbist0/fanout1728/X (sky130_fd_sc_hd__buf_4)
-     4    0.05                           mprj/u_mbist0/net1728 (net)
-                  0.14    0.00    5.56 ^ mprj/u_mbist0/wire1729/A (sky130_fd_sc_hd__buf_4)
-                  0.24    0.28    5.84 ^ mprj/u_mbist0/wire1729/X (sky130_fd_sc_hd__buf_4)
+                  0.15    0.00    5.27 ^ mprj/u_mbist0/wire1729/A (sky130_fd_sc_hd__buf_4)
+                  0.26    0.29    5.57 ^ mprj/u_mbist0/wire1729/X (sky130_fd_sc_hd__buf_4)
      2    0.09                           mprj/u_mbist0/net1729 (net)
-                  0.24    0.02    5.86 ^ mprj/u_mbist0/fanout1727/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.42    6.28 ^ mprj/u_mbist0/fanout1727/X (sky130_fd_sc_hd__buf_2)
+                  0.26    0.03    5.60 ^ mprj/u_mbist0/fanout1727/A (sky130_fd_sc_hd__buf_2)
+                  0.41    0.44    6.04 ^ mprj/u_mbist0/fanout1727/X (sky130_fd_sc_hd__buf_2)
      4    0.08                           mprj/u_mbist0/net1727 (net)
-                  0.38    0.00    6.29 ^ mprj/u_mbist0/fanout1726/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.25    0.35    6.63 ^ mprj/u_mbist0/fanout1726/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.05                           mprj/u_mbist0/net1726 (net)
-                  0.25    0.00    6.63 ^ mprj/u_mbist0/fanout1676/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.29    0.33    6.96 ^ mprj/u_mbist0/fanout1676/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.03                           mprj/u_mbist0/net1676 (net)
-                  0.29    0.00    6.96 ^ mprj/u_mbist0/fanout1658/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.21    7.17 ^ mprj/u_mbist0/fanout1658/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net1658 (net)
-                  0.15    0.00    7.17 ^ mprj/u_mbist0/fanout1657/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.17    0.20    7.38 ^ mprj/u_mbist0/fanout1657/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net1657 (net)
-                  0.17    0.00    7.38 ^ mprj/u_mbist0/fanout1656/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.20    0.24    7.62 ^ mprj/u_mbist0/fanout1656/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.02                           mprj/u_mbist0/net1656 (net)
-                  0.20    0.00    7.62 ^ mprj/u_mbist0/fanout1655/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.20    0.25    7.87 ^ mprj/u_mbist0/fanout1655/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.02                           mprj/u_mbist0/net1655 (net)
-                  0.20    0.00    7.87 ^ mprj/u_mbist0/fanout1653/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.22    0.27    8.14 ^ mprj/u_mbist0/fanout1653/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.02                           mprj/u_mbist0/net1653 (net)
-                  0.22    0.00    8.14 ^ mprj/u_mbist0/_4477_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  8.14   data arrival time
+                  0.41    0.00    6.04 ^ mprj/u_mbist0/fanout1639/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.25    0.35    6.39 ^ mprj/u_mbist0/fanout1639/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.05                           mprj/u_mbist0/net1639 (net)
+                  0.25    0.00    6.39 ^ mprj/u_mbist0/fanout1629/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.23    0.28    6.67 ^ mprj/u_mbist0/fanout1629/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist0/net1629 (net)
+                  0.23    0.00    6.67 ^ mprj/u_mbist0/fanout1628/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.20    6.87 ^ mprj/u_mbist0/fanout1628/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net1628 (net)
+                  0.15    0.00    6.87 ^ mprj/u_mbist0/fanout1621/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.23    0.26    7.13 ^ mprj/u_mbist0/fanout1621/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist0/net1621 (net)
+                  0.23    0.00    7.13 ^ mprj/u_mbist0/fanout1617/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.23    0.28    7.41 ^ mprj/u_mbist0/fanout1617/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist0/net1617 (net)
+                  0.23    0.00    7.41 ^ mprj/u_mbist0/fanout1616/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.22    7.64 ^ mprj/u_mbist0/fanout1616/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.02                           mprj/u_mbist0/net1616 (net)
+                  0.12    0.00    7.64 ^ mprj/u_mbist0/_4312_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
+                                  7.64   data arrival time
 
                          10.00   10.00   clock wbs_clk_i (rise edge)
                           0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.27    0.33   10.33 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     2    0.03                           mprj/u_intercon/net2 (net)
-                  0.27    0.00   10.34 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.12   10.46 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net319 (net)
-                  0.06    0.00   10.46 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
-                  0.15    0.18   10.64 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.41   10.41 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00   10.41 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.19   10.60 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00   10.60 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.21   10.81 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
      2    0.03                           mprj/wbd_clk_mbist0_rp (net)
-                  0.15    0.00   10.64 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.15   10.79 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.00   10.81 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   10.97 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/net198 (net)
-                  0.12    0.00   10.79 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12   10.91 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00   10.97 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00   10.91 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.01 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.00   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00   11.02 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.13   11.15 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.00   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00   11.15 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.26 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11   11.44 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00   11.26 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.37 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00   11.37 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00   11.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.58 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00   11.58 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12   11.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d03 (net)
-                  0.04    0.00   11.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11   11.81 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00   11.44 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
-                  0.04    0.00   11.81 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   11.94 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
      1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
-                  0.07    0.00   11.94 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   12.07 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.93 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist0/net427 (net)
-                  0.05    0.00   12.07 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.80   12.87 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist0_skew (net)
-                  1.11    0.05   12.91 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31   13.23 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00   11.93 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.16 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00   12.16 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.39 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00   12.40 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.59 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00   12.59 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.75 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00   12.75 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.92 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
-                  0.08    0.00   13.23 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   13.36 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00   12.92 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   13.04 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00   13.36 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.12   13.49 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00   13.49 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.11   13.60 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.00   13.04 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.16 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.16 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.27 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00   13.60 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.38   13.98 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
-                  0.45    0.03   14.01 ^ mprj/u_mbist0/clkbuf_leaf_14_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.28   14.28 ^ mprj/u_mbist0/clkbuf_leaf_14_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_mbist0/clknet_leaf_14_wb_clk_i (net)
-                  0.11    0.00   14.29 ^ mprj/u_mbist0/_4477_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   14.04   clock uncertainty
-                          0.25   14.29   clock reconvergence pessimism
-                          0.21   14.49   library recovery time
-                                 14.49   data required time
+                  0.03    0.00   13.27 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.45 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.45 ^ mprj/u_mbist0/clkbuf_leaf_20_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.21   13.66 ^ mprj/u_mbist0/clkbuf_leaf_20_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    24    0.10                           mprj/u_mbist0/clknet_leaf_20_wb_clk_i (net)
+                  0.12    0.00   13.66 ^ mprj/u_mbist0/_4312_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   13.41   clock uncertainty
+                          0.23   13.64   clock reconvergence pessimism
+                          0.23   13.87   library recovery time
+                                 13.87   data required time
 -----------------------------------------------------------------------------
-                                 14.49   data required time
-                                 -8.14   data arrival time
+                                 13.87   data required time
+                                 -7.64   data arrival time
 -----------------------------------------------------------------------------
-                                  6.36   slack (MET)
+                                  6.24   slack (MET)
 
 
 Startpoint: mprj/u_mbist1/_4498_
             (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist1/_4477_
+Endpoint: mprj/u_mbist1/_4312_
           (recovery check against rising-edge clock wbs_clk_i)
 Path Group: **async_default**
 Path Type: max
@@ -83122,219 +99174,207 @@
 -----------------------------------------------------------------------------
                           0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.24    0.53 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.31    0.31 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00    0.32 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.23    0.55 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
      2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.21    0.76 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+                  0.20    0.03    0.58 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.21    0.80 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
      2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02    0.77 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.13    0.90 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.02    0.81 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.12    0.94 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00    0.90 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.17    1.07 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+                  0.06    0.00    0.94 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.17    1.10 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
      2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00    1.07 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    1.22 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.00    1.11 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.16    1.26 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00    1.22 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00    1.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.00    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.50 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    2.07 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    2.07 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00    1.50 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
      1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.60 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.02 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00    2.60 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.46 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05    3.51 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.84 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00    2.02 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.26 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00    2.27 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.25    2.52 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00    2.52 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.20    2.72 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00    2.73 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.17    2.90 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00    2.91 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17    3.08 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.85 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    4.00 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    3.08 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.22 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.02                           mprj/u_mbist1/clknet_1_0_0_wb_clk_i (net)
-                  0.05    0.00    4.00 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    4.13 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    3.22 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.36 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.02                           mprj/u_mbist1/clknet_1_0_1_wb_clk_i (net)
-                  0.05    0.00    4.13 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.25 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    3.36 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    3.48 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.01                           mprj/u_mbist1/clknet_1_0_2_wb_clk_i (net)
-                  0.03    0.00    4.25 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.37    0.36    4.61 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.22                           mprj/u_mbist1/clknet_1_0_3_wb_clk_i (net)
-                  0.37    0.01    4.62 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.22    4.85 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    3.48 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    3.67 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist1/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.67 ^ mprj/u_mbist1/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.27    3.94 ^ mprj/u_mbist1/wire5/X (sky130_fd_sc_hd__buf_4)
+     6    0.08                           mprj/u_mbist1/net2098 (net)
+                  0.21    0.00    3.94 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.19    4.13 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.02                           mprj/u_mbist1/clknet_opt_2_0_wb_clk_i (net)
-                  0.05    0.00    4.85 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.98 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.13 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.26 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.02                           mprj/u_mbist1/clknet_opt_2_1_wb_clk_i (net)
-                  0.04    0.00    4.98 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.11 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.26 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.39 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.02                           mprj/u_mbist1/clknet_opt_2_2_wb_clk_i (net)
-                  0.04    0.00    5.11 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    5.24 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.39 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.52 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_mbist1/clknet_opt_2_3_wb_clk_i (net)
-                  0.05    0.00    5.24 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    5.36 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00    4.52 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    4.64 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.01                           mprj/u_mbist1/clknet_leaf_24_wb_clk_i (net)
-                  0.03    0.00    5.36 ^ mprj/u_mbist1/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.09    0.36    5.72 ^ mprj/u_mbist1/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
+                  0.03    0.00    4.64 ^ mprj/u_mbist1/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.36    5.00 ^ mprj/u_mbist1/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
      1    0.01                           mprj/u_mbist1/u_mbist.mem_no[0].u_cmp.rst_n (net)
-                  0.09    0.00    5.72 ^ mprj/u_mbist1/fanout1728/A (sky130_fd_sc_hd__buf_4)
-                  0.14    0.21    5.92 ^ mprj/u_mbist1/fanout1728/X (sky130_fd_sc_hd__buf_4)
+                  0.09    0.00    5.00 ^ mprj/u_mbist1/fanout1728/A (sky130_fd_sc_hd__buf_4)
+                  0.15    0.21    5.21 ^ mprj/u_mbist1/fanout1728/X (sky130_fd_sc_hd__buf_4)
      4    0.05                           mprj/u_mbist1/net1728 (net)
-                  0.14    0.00    5.93 ^ mprj/u_mbist1/wire1729/A (sky130_fd_sc_hd__buf_4)
-                  0.24    0.28    6.21 ^ mprj/u_mbist1/wire1729/X (sky130_fd_sc_hd__buf_4)
+                  0.15    0.00    5.21 ^ mprj/u_mbist1/wire1729/A (sky130_fd_sc_hd__buf_4)
+                  0.26    0.29    5.50 ^ mprj/u_mbist1/wire1729/X (sky130_fd_sc_hd__buf_4)
      2    0.09                           mprj/u_mbist1/net1729 (net)
-                  0.24    0.02    6.23 ^ mprj/u_mbist1/fanout1727/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.42    6.65 ^ mprj/u_mbist1/fanout1727/X (sky130_fd_sc_hd__buf_2)
+                  0.26    0.03    5.53 ^ mprj/u_mbist1/fanout1727/A (sky130_fd_sc_hd__buf_2)
+                  0.41    0.44    5.97 ^ mprj/u_mbist1/fanout1727/X (sky130_fd_sc_hd__buf_2)
      4    0.08                           mprj/u_mbist1/net1727 (net)
-                  0.38    0.00    6.65 ^ mprj/u_mbist1/fanout1726/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.25    0.35    7.00 ^ mprj/u_mbist1/fanout1726/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.05                           mprj/u_mbist1/net1726 (net)
-                  0.25    0.00    7.00 ^ mprj/u_mbist1/fanout1676/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.29    0.33    7.33 ^ mprj/u_mbist1/fanout1676/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.03                           mprj/u_mbist1/net1676 (net)
-                  0.29    0.00    7.33 ^ mprj/u_mbist1/fanout1658/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.21    7.54 ^ mprj/u_mbist1/fanout1658/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net1658 (net)
-                  0.15    0.00    7.54 ^ mprj/u_mbist1/fanout1657/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.17    0.20    7.74 ^ mprj/u_mbist1/fanout1657/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net1657 (net)
-                  0.17    0.00    7.74 ^ mprj/u_mbist1/fanout1656/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.20    0.24    7.99 ^ mprj/u_mbist1/fanout1656/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.02                           mprj/u_mbist1/net1656 (net)
-                  0.20    0.00    7.99 ^ mprj/u_mbist1/fanout1655/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.20    0.25    8.24 ^ mprj/u_mbist1/fanout1655/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.02                           mprj/u_mbist1/net1655 (net)
-                  0.20    0.00    8.24 ^ mprj/u_mbist1/fanout1653/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.22    0.27    8.51 ^ mprj/u_mbist1/fanout1653/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.02                           mprj/u_mbist1/net1653 (net)
-                  0.22    0.00    8.51 ^ mprj/u_mbist1/_4477_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  8.51   data arrival time
+                  0.41    0.00    5.98 ^ mprj/u_mbist1/fanout1639/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.25    0.35    6.32 ^ mprj/u_mbist1/fanout1639/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.05                           mprj/u_mbist1/net1639 (net)
+                  0.25    0.00    6.32 ^ mprj/u_mbist1/fanout1629/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.23    0.28    6.61 ^ mprj/u_mbist1/fanout1629/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist1/net1629 (net)
+                  0.23    0.00    6.61 ^ mprj/u_mbist1/fanout1628/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.20    6.81 ^ mprj/u_mbist1/fanout1628/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net1628 (net)
+                  0.15    0.00    6.81 ^ mprj/u_mbist1/fanout1621/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.23    0.26    7.07 ^ mprj/u_mbist1/fanout1621/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist1/net1621 (net)
+                  0.23    0.00    7.07 ^ mprj/u_mbist1/fanout1617/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.23    0.28    7.35 ^ mprj/u_mbist1/fanout1617/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist1/net1617 (net)
+                  0.23    0.00    7.35 ^ mprj/u_mbist1/fanout1616/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.22    7.57 ^ mprj/u_mbist1/fanout1616/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.02                           mprj/u_mbist1/net1616 (net)
+                  0.12    0.00    7.57 ^ mprj/u_mbist1/_4312_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
+                                  7.57   data arrival time
 
                          10.00   10.00   clock wbs_clk_i (rise edge)
                           0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.27   10.27 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00   10.27 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.22   10.49 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.29   10.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00   10.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.22   10.51 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
      2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01   10.50 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.20   10.70 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+                  0.20    0.03   10.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.20   10.74 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
      2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02   10.72 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12   10.84 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.02   10.76 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11   10.87 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00   10.84 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.15   10.99 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+                  0.06    0.00   10.87 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.16   11.02 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
      2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00   10.99 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14   11.14 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.00   11.03 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   11.17 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00   11.14 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12   11.25 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00   11.17 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00   11.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.36 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.00   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00   11.36 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.13   11.49 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00   11.49 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.60 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00   11.60 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.71 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00   11.71 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.82 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00   11.82 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.92 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00   11.92 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12   12.04 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00   12.04 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11   12.15 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00   12.15 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   12.28 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00   11.39 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
      1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00   12.28 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   12.41 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.87 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00   12.41 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.80   13.21 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05   13.26 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31   13.57 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00   11.87 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.10 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00   12.10 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.33 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00   12.34 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.53 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00   12.53 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.69 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00   12.70 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.86 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00   13.57 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   13.70 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00   12.86 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   12.98 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00   13.71 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.12   13.83 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00   13.83 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.11   13.94 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.00   12.98 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.10 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.10 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.21 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00   13.94 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.38   14.32 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
-                  0.45    0.03   14.35 ^ mprj/u_mbist1/clkbuf_leaf_14_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.28   14.63 ^ mprj/u_mbist1/clkbuf_leaf_14_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_mbist1/clknet_leaf_14_wb_clk_i (net)
-                  0.11    0.00   14.63 ^ mprj/u_mbist1/_4477_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   14.38   clock uncertainty
-                          0.28   14.66   clock reconvergence pessimism
-                          0.21   14.86   library recovery time
-                                 14.86   data required time
+                  0.03    0.00   13.21 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.39 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.40 ^ mprj/u_mbist1/clkbuf_leaf_20_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.21   13.60 ^ mprj/u_mbist1/clkbuf_leaf_20_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    24    0.10                           mprj/u_mbist1/clknet_leaf_20_wb_clk_i (net)
+                  0.12    0.00   13.60 ^ mprj/u_mbist1/_4312_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   13.35   clock uncertainty
+                          0.22   13.58   clock reconvergence pessimism
+                          0.23   13.81   library recovery time
+                                 13.81   data required time
 -----------------------------------------------------------------------------
-                                 14.86   data required time
-                                 -8.51   data arrival time
+                                 13.81   data required time
+                                 -7.57   data arrival time
 -----------------------------------------------------------------------------
-                                  6.36   slack (MET)
+                                  6.24   slack (MET)
 
 
 Startpoint: mprj/u_mbist0/_4498_
             (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist0/_4479_
+Endpoint: mprj/u_mbist0/_4376_
           (recovery check against rising-edge clock wbs_clk_i)
 Path Group: **async_default**
 Path Type: max
@@ -83343,207 +99383,207 @@
 -----------------------------------------------------------------------------
                           0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.27    0.36    0.36 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     2    0.03                           mprj/u_intercon/net2 (net)
-                  0.27    0.00    0.36 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.13    0.50 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net319 (net)
-                  0.06    0.00    0.50 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
-                  0.15    0.19    0.69 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.44    0.44 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00    0.45 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.20    0.65 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00    0.65 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.23    0.87 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
      2    0.03                           mprj/wbd_clk_mbist0_rp (net)
-                  0.15    0.00    0.69 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    0.86 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.00    0.88 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.17    1.04 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/net198 (net)
-                  0.12    0.00    0.86 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00    1.04 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.00    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.00    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.12    1.55 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.70 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    1.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d03 (net)
-                  0.04    0.00    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00    1.55 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
-                  0.04    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
      1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.23 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.08 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist0/net427 (net)
-                  0.05    0.00    2.23 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.09 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist0_skew (net)
-                  1.11    0.05    3.14 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.48 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00    2.08 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.33 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00    2.33 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.25    2.58 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00    2.58 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.20    2.79 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00    2.79 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.17    2.97 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00    2.97 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17    3.14 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.48 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.63 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    3.14 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.28 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.02                           mprj/u_mbist0/clknet_1_0_0_wb_clk_i (net)
-                  0.05    0.00    3.63 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    3.77 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    3.28 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.42 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.02                           mprj/u_mbist0/clknet_1_0_1_wb_clk_i (net)
-                  0.05    0.00    3.77 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    3.89 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    3.43 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    3.55 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.01                           mprj/u_mbist0/clknet_1_0_2_wb_clk_i (net)
-                  0.03    0.00    3.89 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.37    0.36    4.25 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.22                           mprj/u_mbist0/clknet_1_0_3_wb_clk_i (net)
-                  0.37    0.01    4.26 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.22    4.48 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    3.55 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    3.73 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist0/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.73 ^ mprj/u_mbist0/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.27    4.00 ^ mprj/u_mbist0/wire5/X (sky130_fd_sc_hd__buf_4)
+     6    0.08                           mprj/u_mbist0/net2098 (net)
+                  0.21    0.00    4.00 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.19    4.19 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.02                           mprj/u_mbist0/clknet_opt_2_0_wb_clk_i (net)
-                  0.05    0.00    4.48 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.61 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.19 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.32 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.02                           mprj/u_mbist0/clknet_opt_2_1_wb_clk_i (net)
-                  0.04    0.00    4.61 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.74 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.32 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.45 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.02                           mprj/u_mbist0/clknet_opt_2_2_wb_clk_i (net)
-                  0.04    0.00    4.74 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.87 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.45 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.58 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_mbist0/clknet_opt_2_3_wb_clk_i (net)
-                  0.05    0.00    4.87 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    4.99 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00    4.59 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    4.70 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.01                           mprj/u_mbist0/clknet_leaf_24_wb_clk_i (net)
-                  0.03    0.00    4.99 ^ mprj/u_mbist0/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.09    0.36    5.35 ^ mprj/u_mbist0/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
+                  0.03    0.00    4.70 ^ mprj/u_mbist0/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.36    5.06 ^ mprj/u_mbist0/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
      1    0.01                           mprj/u_mbist0/u_mbist.mem_no[0].u_cmp.rst_n (net)
-                  0.09    0.00    5.35 ^ mprj/u_mbist0/fanout1728/A (sky130_fd_sc_hd__buf_4)
-                  0.14    0.21    5.56 ^ mprj/u_mbist0/fanout1728/X (sky130_fd_sc_hd__buf_4)
+                  0.09    0.00    5.06 ^ mprj/u_mbist0/fanout1728/A (sky130_fd_sc_hd__buf_4)
+                  0.15    0.21    5.27 ^ mprj/u_mbist0/fanout1728/X (sky130_fd_sc_hd__buf_4)
      4    0.05                           mprj/u_mbist0/net1728 (net)
-                  0.14    0.00    5.56 ^ mprj/u_mbist0/wire1729/A (sky130_fd_sc_hd__buf_4)
-                  0.24    0.28    5.84 ^ mprj/u_mbist0/wire1729/X (sky130_fd_sc_hd__buf_4)
+                  0.15    0.00    5.27 ^ mprj/u_mbist0/wire1729/A (sky130_fd_sc_hd__buf_4)
+                  0.26    0.29    5.57 ^ mprj/u_mbist0/wire1729/X (sky130_fd_sc_hd__buf_4)
      2    0.09                           mprj/u_mbist0/net1729 (net)
-                  0.24    0.02    5.86 ^ mprj/u_mbist0/fanout1727/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.42    6.28 ^ mprj/u_mbist0/fanout1727/X (sky130_fd_sc_hd__buf_2)
+                  0.26    0.03    5.60 ^ mprj/u_mbist0/fanout1727/A (sky130_fd_sc_hd__buf_2)
+                  0.41    0.44    6.04 ^ mprj/u_mbist0/fanout1727/X (sky130_fd_sc_hd__buf_2)
      4    0.08                           mprj/u_mbist0/net1727 (net)
-                  0.38    0.00    6.29 ^ mprj/u_mbist0/fanout1726/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.25    0.35    6.63 ^ mprj/u_mbist0/fanout1726/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.05                           mprj/u_mbist0/net1726 (net)
-                  0.25    0.00    6.63 ^ mprj/u_mbist0/fanout1676/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.29    0.33    6.96 ^ mprj/u_mbist0/fanout1676/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.03                           mprj/u_mbist0/net1676 (net)
-                  0.29    0.00    6.96 ^ mprj/u_mbist0/fanout1658/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.21    7.17 ^ mprj/u_mbist0/fanout1658/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net1658 (net)
-                  0.15    0.00    7.17 ^ mprj/u_mbist0/fanout1657/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.17    0.20    7.38 ^ mprj/u_mbist0/fanout1657/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net1657 (net)
-                  0.17    0.00    7.38 ^ mprj/u_mbist0/fanout1656/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.20    0.24    7.62 ^ mprj/u_mbist0/fanout1656/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.02                           mprj/u_mbist0/net1656 (net)
-                  0.20    0.00    7.62 ^ mprj/u_mbist0/fanout1655/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.20    0.25    7.87 ^ mprj/u_mbist0/fanout1655/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.02                           mprj/u_mbist0/net1655 (net)
-                  0.20    0.00    7.87 ^ mprj/u_mbist0/fanout1653/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.22    0.27    8.14 ^ mprj/u_mbist0/fanout1653/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.02                           mprj/u_mbist0/net1653 (net)
-                  0.22    0.00    8.14 ^ mprj/u_mbist0/_4479_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  8.14   data arrival time
+                  0.41    0.00    6.04 ^ mprj/u_mbist0/fanout1639/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.25    0.35    6.39 ^ mprj/u_mbist0/fanout1639/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.05                           mprj/u_mbist0/net1639 (net)
+                  0.25    0.00    6.39 ^ mprj/u_mbist0/fanout1629/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.23    0.28    6.67 ^ mprj/u_mbist0/fanout1629/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist0/net1629 (net)
+                  0.23    0.00    6.67 ^ mprj/u_mbist0/fanout1628/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.20    6.87 ^ mprj/u_mbist0/fanout1628/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net1628 (net)
+                  0.15    0.00    6.87 ^ mprj/u_mbist0/fanout1627/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.20    0.26    7.13 ^ mprj/u_mbist0/fanout1627/X (sky130_fd_sc_hd__clkbuf_2)
+     8    0.04                           mprj/u_mbist0/net1627 (net)
+                  0.20    0.00    7.13 ^ mprj/u_mbist0/fanout1626/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.24    0.28    7.41 ^ mprj/u_mbist0/fanout1626/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist0/net1626 (net)
+                  0.24    0.00    7.41 ^ mprj/u_mbist0/fanout1623/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.23    7.64 ^ mprj/u_mbist0/fanout1623/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.02                           mprj/u_mbist0/net1623 (net)
+                  0.13    0.00    7.64 ^ mprj/u_mbist0/_4376_/RESET_B (sky130_fd_sc_hd__dfrtp_2)
+                                  7.64   data arrival time
 
                          10.00   10.00   clock wbs_clk_i (rise edge)
                           0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.27    0.33   10.33 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     2    0.03                           mprj/u_intercon/net2 (net)
-                  0.27    0.00   10.34 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.12   10.46 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net319 (net)
-                  0.06    0.00   10.46 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
-                  0.15    0.18   10.64 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.41   10.41 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00   10.41 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.19   10.60 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00   10.60 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.21   10.81 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
      2    0.03                           mprj/wbd_clk_mbist0_rp (net)
-                  0.15    0.00   10.64 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.15   10.79 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.00   10.81 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   10.97 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/net198 (net)
-                  0.12    0.00   10.79 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12   10.91 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00   10.97 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00   10.91 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.01 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.00   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00   11.02 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.13   11.15 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.00   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00   11.15 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.26 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11   11.44 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00   11.26 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.37 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00   11.37 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00   11.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.58 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00   11.58 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12   11.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d03 (net)
-                  0.04    0.00   11.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11   11.81 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00   11.44 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
-                  0.04    0.00   11.81 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   11.94 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
      1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
-                  0.07    0.00   11.94 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   12.07 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.93 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist0/net427 (net)
-                  0.05    0.00   12.07 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.80   12.87 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist0_skew (net)
-                  1.11    0.05   12.91 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31   13.23 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00   11.93 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.16 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00   12.16 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.39 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00   12.40 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.59 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00   12.59 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.75 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00   12.75 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.92 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
-                  0.08    0.00   13.23 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   13.36 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00   12.92 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   13.04 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00   13.36 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.12   13.49 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00   13.49 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.11   13.60 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.00   13.04 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.16 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.16 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.27 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00   13.60 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.38   13.98 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
-                  0.45    0.03   14.01 ^ mprj/u_mbist0/clkbuf_leaf_14_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.28   14.28 ^ mprj/u_mbist0/clkbuf_leaf_14_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_mbist0/clknet_leaf_14_wb_clk_i (net)
-                  0.11    0.00   14.29 ^ mprj/u_mbist0/_4479_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   14.04   clock uncertainty
-                          0.25   14.29   clock reconvergence pessimism
-                          0.21   14.49   library recovery time
-                                 14.49   data required time
+                  0.03    0.00   13.27 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.45 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.45 ^ mprj/u_mbist0/clkbuf_leaf_7_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.22   13.68 ^ mprj/u_mbist0/clkbuf_leaf_7_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.12                           mprj/u_mbist0/clknet_leaf_7_wb_clk_i (net)
+                  0.14    0.00   13.68 ^ mprj/u_mbist0/_4376_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                         -0.25   13.43   clock uncertainty
+                          0.23   13.65   clock reconvergence pessimism
+                          0.22   13.88   library recovery time
+                                 13.88   data required time
 -----------------------------------------------------------------------------
-                                 14.49   data required time
-                                 -8.14   data arrival time
+                                 13.88   data required time
+                                 -7.64   data arrival time
 -----------------------------------------------------------------------------
-                                  6.36   slack (MET)
+                                  6.24   slack (MET)
 
 
 Startpoint: mprj/u_mbist1/_4498_
             (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist1/_4479_
+Endpoint: mprj/u_mbist1/_4376_
           (recovery check against rising-edge clock wbs_clk_i)
 Path Group: **async_default**
 Path Type: max
@@ -83552,219 +99592,207 @@
 -----------------------------------------------------------------------------
                           0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.24    0.53 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.31    0.31 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00    0.32 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.23    0.55 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
      2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.21    0.76 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+                  0.20    0.03    0.58 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.21    0.80 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
      2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02    0.77 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.13    0.90 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.02    0.81 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.12    0.94 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00    0.90 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.17    1.07 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+                  0.06    0.00    0.94 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.17    1.10 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
      2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00    1.07 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    1.22 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.00    1.11 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.16    1.26 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00    1.22 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00    1.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.00    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.50 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    2.07 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    2.07 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00    1.50 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
      1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.60 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.02 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00    2.60 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.46 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05    3.51 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.84 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00    2.02 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.26 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00    2.27 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.25    2.52 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00    2.52 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.20    2.72 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00    2.73 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.17    2.90 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00    2.91 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17    3.08 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.85 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    4.00 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    3.08 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.22 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.02                           mprj/u_mbist1/clknet_1_0_0_wb_clk_i (net)
-                  0.05    0.00    4.00 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    4.13 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    3.22 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.36 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.02                           mprj/u_mbist1/clknet_1_0_1_wb_clk_i (net)
-                  0.05    0.00    4.13 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.25 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    3.36 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    3.48 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.01                           mprj/u_mbist1/clknet_1_0_2_wb_clk_i (net)
-                  0.03    0.00    4.25 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.37    0.36    4.61 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.22                           mprj/u_mbist1/clknet_1_0_3_wb_clk_i (net)
-                  0.37    0.01    4.62 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.22    4.85 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    3.48 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    3.67 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist1/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.67 ^ mprj/u_mbist1/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.27    3.94 ^ mprj/u_mbist1/wire5/X (sky130_fd_sc_hd__buf_4)
+     6    0.08                           mprj/u_mbist1/net2098 (net)
+                  0.21    0.00    3.94 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.19    4.13 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.02                           mprj/u_mbist1/clknet_opt_2_0_wb_clk_i (net)
-                  0.05    0.00    4.85 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.98 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.13 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.26 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.02                           mprj/u_mbist1/clknet_opt_2_1_wb_clk_i (net)
-                  0.04    0.00    4.98 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.11 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.26 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.39 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.02                           mprj/u_mbist1/clknet_opt_2_2_wb_clk_i (net)
-                  0.04    0.00    5.11 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    5.24 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.39 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.52 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_mbist1/clknet_opt_2_3_wb_clk_i (net)
-                  0.05    0.00    5.24 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    5.36 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00    4.52 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    4.64 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.01                           mprj/u_mbist1/clknet_leaf_24_wb_clk_i (net)
-                  0.03    0.00    5.36 ^ mprj/u_mbist1/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.09    0.36    5.72 ^ mprj/u_mbist1/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
+                  0.03    0.00    4.64 ^ mprj/u_mbist1/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.36    5.00 ^ mprj/u_mbist1/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
      1    0.01                           mprj/u_mbist1/u_mbist.mem_no[0].u_cmp.rst_n (net)
-                  0.09    0.00    5.72 ^ mprj/u_mbist1/fanout1728/A (sky130_fd_sc_hd__buf_4)
-                  0.14    0.21    5.92 ^ mprj/u_mbist1/fanout1728/X (sky130_fd_sc_hd__buf_4)
+                  0.09    0.00    5.00 ^ mprj/u_mbist1/fanout1728/A (sky130_fd_sc_hd__buf_4)
+                  0.15    0.21    5.21 ^ mprj/u_mbist1/fanout1728/X (sky130_fd_sc_hd__buf_4)
      4    0.05                           mprj/u_mbist1/net1728 (net)
-                  0.14    0.00    5.93 ^ mprj/u_mbist1/wire1729/A (sky130_fd_sc_hd__buf_4)
-                  0.24    0.28    6.21 ^ mprj/u_mbist1/wire1729/X (sky130_fd_sc_hd__buf_4)
+                  0.15    0.00    5.21 ^ mprj/u_mbist1/wire1729/A (sky130_fd_sc_hd__buf_4)
+                  0.26    0.29    5.50 ^ mprj/u_mbist1/wire1729/X (sky130_fd_sc_hd__buf_4)
      2    0.09                           mprj/u_mbist1/net1729 (net)
-                  0.24    0.02    6.23 ^ mprj/u_mbist1/fanout1727/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.42    6.65 ^ mprj/u_mbist1/fanout1727/X (sky130_fd_sc_hd__buf_2)
+                  0.26    0.03    5.53 ^ mprj/u_mbist1/fanout1727/A (sky130_fd_sc_hd__buf_2)
+                  0.41    0.44    5.97 ^ mprj/u_mbist1/fanout1727/X (sky130_fd_sc_hd__buf_2)
      4    0.08                           mprj/u_mbist1/net1727 (net)
-                  0.38    0.00    6.65 ^ mprj/u_mbist1/fanout1726/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.25    0.35    7.00 ^ mprj/u_mbist1/fanout1726/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.05                           mprj/u_mbist1/net1726 (net)
-                  0.25    0.00    7.00 ^ mprj/u_mbist1/fanout1676/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.29    0.33    7.33 ^ mprj/u_mbist1/fanout1676/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.03                           mprj/u_mbist1/net1676 (net)
-                  0.29    0.00    7.33 ^ mprj/u_mbist1/fanout1658/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.21    7.54 ^ mprj/u_mbist1/fanout1658/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net1658 (net)
-                  0.15    0.00    7.54 ^ mprj/u_mbist1/fanout1657/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.17    0.20    7.74 ^ mprj/u_mbist1/fanout1657/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net1657 (net)
-                  0.17    0.00    7.74 ^ mprj/u_mbist1/fanout1656/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.20    0.24    7.99 ^ mprj/u_mbist1/fanout1656/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.02                           mprj/u_mbist1/net1656 (net)
-                  0.20    0.00    7.99 ^ mprj/u_mbist1/fanout1655/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.20    0.25    8.24 ^ mprj/u_mbist1/fanout1655/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.02                           mprj/u_mbist1/net1655 (net)
-                  0.20    0.00    8.24 ^ mprj/u_mbist1/fanout1653/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.22    0.27    8.51 ^ mprj/u_mbist1/fanout1653/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.02                           mprj/u_mbist1/net1653 (net)
-                  0.22    0.00    8.51 ^ mprj/u_mbist1/_4479_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  8.51   data arrival time
+                  0.41    0.00    5.98 ^ mprj/u_mbist1/fanout1639/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.25    0.35    6.32 ^ mprj/u_mbist1/fanout1639/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.05                           mprj/u_mbist1/net1639 (net)
+                  0.25    0.00    6.32 ^ mprj/u_mbist1/fanout1629/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.23    0.28    6.61 ^ mprj/u_mbist1/fanout1629/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist1/net1629 (net)
+                  0.23    0.00    6.61 ^ mprj/u_mbist1/fanout1628/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.20    6.81 ^ mprj/u_mbist1/fanout1628/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net1628 (net)
+                  0.15    0.00    6.81 ^ mprj/u_mbist1/fanout1627/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.20    0.26    7.07 ^ mprj/u_mbist1/fanout1627/X (sky130_fd_sc_hd__clkbuf_2)
+     8    0.04                           mprj/u_mbist1/net1627 (net)
+                  0.20    0.00    7.07 ^ mprj/u_mbist1/fanout1626/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.24    0.28    7.34 ^ mprj/u_mbist1/fanout1626/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist1/net1626 (net)
+                  0.24    0.00    7.34 ^ mprj/u_mbist1/fanout1623/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.23    7.58 ^ mprj/u_mbist1/fanout1623/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.02                           mprj/u_mbist1/net1623 (net)
+                  0.13    0.00    7.58 ^ mprj/u_mbist1/_4376_/RESET_B (sky130_fd_sc_hd__dfrtp_2)
+                                  7.58   data arrival time
 
                          10.00   10.00   clock wbs_clk_i (rise edge)
                           0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.27   10.27 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00   10.27 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.22   10.49 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.29   10.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00   10.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.22   10.51 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
      2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01   10.50 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.20   10.70 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+                  0.20    0.03   10.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.20   10.74 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
      2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02   10.72 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12   10.84 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.02   10.76 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11   10.87 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00   10.84 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.15   10.99 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+                  0.06    0.00   10.87 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.16   11.02 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
      2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00   10.99 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14   11.14 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.00   11.03 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   11.17 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00   11.14 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12   11.25 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00   11.17 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00   11.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.36 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.00   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00   11.36 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.13   11.49 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00   11.49 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.60 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00   11.60 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.71 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00   11.71 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.82 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00   11.82 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.92 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00   11.92 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12   12.04 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00   12.04 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11   12.15 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00   12.15 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   12.28 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00   11.39 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
      1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00   12.28 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   12.41 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.87 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00   12.41 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.80   13.21 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05   13.26 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31   13.57 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00   11.87 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.10 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00   12.10 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.33 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00   12.34 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.53 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00   12.53 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.69 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00   12.70 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.86 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00   13.57 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   13.70 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00   12.86 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   12.98 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00   13.71 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.12   13.83 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00   13.83 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.11   13.94 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.00   12.98 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.10 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.10 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.21 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00   13.94 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.38   14.32 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
-                  0.45    0.03   14.35 ^ mprj/u_mbist1/clkbuf_leaf_14_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.28   14.63 ^ mprj/u_mbist1/clkbuf_leaf_14_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_mbist1/clknet_leaf_14_wb_clk_i (net)
-                  0.11    0.00   14.63 ^ mprj/u_mbist1/_4479_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   14.38   clock uncertainty
-                          0.28   14.66   clock reconvergence pessimism
-                          0.21   14.86   library recovery time
-                                 14.86   data required time
+                  0.03    0.00   13.21 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.39 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.40 ^ mprj/u_mbist1/clkbuf_leaf_7_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.22   13.62 ^ mprj/u_mbist1/clkbuf_leaf_7_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.12                           mprj/u_mbist1/clknet_leaf_7_wb_clk_i (net)
+                  0.14    0.00   13.62 ^ mprj/u_mbist1/_4376_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                         -0.25   13.37   clock uncertainty
+                          0.22   13.59   clock reconvergence pessimism
+                          0.22   13.81   library recovery time
+                                 13.81   data required time
 -----------------------------------------------------------------------------
-                                 14.86   data required time
-                                 -8.51   data arrival time
+                                 13.81   data required time
+                                 -7.58   data arrival time
 -----------------------------------------------------------------------------
-                                  6.36   slack (MET)
+                                  6.24   slack (MET)
 
 
 Startpoint: mprj/u_mbist0/_4498_
             (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist0/_4541_
+Endpoint: mprj/u_mbist0/_4303_
           (recovery check against rising-edge clock wbs_clk_i)
 Path Group: **async_default**
 Path Type: max
@@ -83773,207 +99801,207 @@
 -----------------------------------------------------------------------------
                           0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.27    0.36    0.36 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     2    0.03                           mprj/u_intercon/net2 (net)
-                  0.27    0.00    0.36 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.13    0.50 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net319 (net)
-                  0.06    0.00    0.50 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
-                  0.15    0.19    0.69 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.44    0.44 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00    0.45 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.20    0.65 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00    0.65 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.23    0.87 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
      2    0.03                           mprj/wbd_clk_mbist0_rp (net)
-                  0.15    0.00    0.69 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    0.86 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.00    0.88 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.17    1.04 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/net198 (net)
-                  0.12    0.00    0.86 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00    1.04 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.00    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.00    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.12    1.55 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.70 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    1.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d03 (net)
-                  0.04    0.00    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00    1.55 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
-                  0.04    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
      1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.23 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.08 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist0/net427 (net)
-                  0.05    0.00    2.23 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.09 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist0_skew (net)
-                  1.11    0.05    3.14 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.48 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00    2.08 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.33 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00    2.33 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.25    2.58 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00    2.58 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.20    2.79 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00    2.79 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.17    2.97 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00    2.97 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17    3.14 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.48 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.63 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    3.14 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.28 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.02                           mprj/u_mbist0/clknet_1_0_0_wb_clk_i (net)
-                  0.05    0.00    3.63 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    3.77 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    3.28 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.42 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.02                           mprj/u_mbist0/clknet_1_0_1_wb_clk_i (net)
-                  0.05    0.00    3.77 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    3.89 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    3.43 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    3.55 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.01                           mprj/u_mbist0/clknet_1_0_2_wb_clk_i (net)
-                  0.03    0.00    3.89 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.37    0.36    4.25 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.22                           mprj/u_mbist0/clknet_1_0_3_wb_clk_i (net)
-                  0.37    0.01    4.26 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.22    4.48 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    3.55 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    3.73 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist0/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.73 ^ mprj/u_mbist0/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.27    4.00 ^ mprj/u_mbist0/wire5/X (sky130_fd_sc_hd__buf_4)
+     6    0.08                           mprj/u_mbist0/net2098 (net)
+                  0.21    0.00    4.00 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.19    4.19 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.02                           mprj/u_mbist0/clknet_opt_2_0_wb_clk_i (net)
-                  0.05    0.00    4.48 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.61 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.19 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.32 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.02                           mprj/u_mbist0/clknet_opt_2_1_wb_clk_i (net)
-                  0.04    0.00    4.61 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.74 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.32 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.45 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.02                           mprj/u_mbist0/clknet_opt_2_2_wb_clk_i (net)
-                  0.04    0.00    4.74 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.87 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.45 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.58 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_mbist0/clknet_opt_2_3_wb_clk_i (net)
-                  0.05    0.00    4.87 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    4.99 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00    4.59 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    4.70 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.01                           mprj/u_mbist0/clknet_leaf_24_wb_clk_i (net)
-                  0.03    0.00    4.99 ^ mprj/u_mbist0/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.09    0.36    5.35 ^ mprj/u_mbist0/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
+                  0.03    0.00    4.70 ^ mprj/u_mbist0/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.36    5.06 ^ mprj/u_mbist0/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
      1    0.01                           mprj/u_mbist0/u_mbist.mem_no[0].u_cmp.rst_n (net)
-                  0.09    0.00    5.35 ^ mprj/u_mbist0/fanout1728/A (sky130_fd_sc_hd__buf_4)
-                  0.14    0.21    5.56 ^ mprj/u_mbist0/fanout1728/X (sky130_fd_sc_hd__buf_4)
+                  0.09    0.00    5.06 ^ mprj/u_mbist0/fanout1728/A (sky130_fd_sc_hd__buf_4)
+                  0.15    0.21    5.27 ^ mprj/u_mbist0/fanout1728/X (sky130_fd_sc_hd__buf_4)
      4    0.05                           mprj/u_mbist0/net1728 (net)
-                  0.14    0.00    5.56 ^ mprj/u_mbist0/wire1729/A (sky130_fd_sc_hd__buf_4)
-                  0.24    0.28    5.84 ^ mprj/u_mbist0/wire1729/X (sky130_fd_sc_hd__buf_4)
+                  0.15    0.00    5.27 ^ mprj/u_mbist0/wire1729/A (sky130_fd_sc_hd__buf_4)
+                  0.26    0.29    5.57 ^ mprj/u_mbist0/wire1729/X (sky130_fd_sc_hd__buf_4)
      2    0.09                           mprj/u_mbist0/net1729 (net)
-                  0.24    0.02    5.86 ^ mprj/u_mbist0/fanout1727/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.42    6.28 ^ mprj/u_mbist0/fanout1727/X (sky130_fd_sc_hd__buf_2)
+                  0.26    0.03    5.60 ^ mprj/u_mbist0/fanout1727/A (sky130_fd_sc_hd__buf_2)
+                  0.41    0.44    6.04 ^ mprj/u_mbist0/fanout1727/X (sky130_fd_sc_hd__buf_2)
      4    0.08                           mprj/u_mbist0/net1727 (net)
-                  0.38    0.00    6.29 ^ mprj/u_mbist0/fanout1726/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.25    0.35    6.63 ^ mprj/u_mbist0/fanout1726/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.05                           mprj/u_mbist0/net1726 (net)
-                  0.25    0.00    6.63 ^ mprj/u_mbist0/fanout1676/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.29    0.33    6.96 ^ mprj/u_mbist0/fanout1676/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.03                           mprj/u_mbist0/net1676 (net)
-                  0.29    0.00    6.97 ^ mprj/u_mbist0/fanout1675/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.20    0.27    7.23 ^ mprj/u_mbist0/fanout1675/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     3    0.02                           mprj/u_mbist0/net1675 (net)
-                  0.20    0.00    7.23 ^ mprj/u_mbist0/fanout1674/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.16    0.20    7.43 ^ mprj/u_mbist0/fanout1674/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net1674 (net)
-                  0.16    0.00    7.43 ^ mprj/u_mbist0/fanout1673/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.14    0.18    7.62 ^ mprj/u_mbist0/fanout1673/X (sky130_fd_sc_hd__clkbuf_1)
-     3    0.01                           mprj/u_mbist0/net1673 (net)
-                  0.14    0.00    7.62 ^ mprj/u_mbist0/fanout1672/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.20    0.24    7.85 ^ mprj/u_mbist0/fanout1672/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     3    0.02                           mprj/u_mbist0/net1672 (net)
-                  0.20    0.00    7.85 ^ mprj/u_mbist0/fanout1670/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.22    0.26    8.12 ^ mprj/u_mbist0/fanout1670/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.02                           mprj/u_mbist0/net1670 (net)
-                  0.22    0.00    8.12 ^ mprj/u_mbist0/_4541_/RESET_B (sky130_fd_sc_hd__dfrtp_4)
-                                  8.12   data arrival time
+                  0.41    0.00    6.04 ^ mprj/u_mbist0/fanout1639/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.25    0.35    6.39 ^ mprj/u_mbist0/fanout1639/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.05                           mprj/u_mbist0/net1639 (net)
+                  0.25    0.00    6.39 ^ mprj/u_mbist0/fanout1629/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.23    0.28    6.67 ^ mprj/u_mbist0/fanout1629/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist0/net1629 (net)
+                  0.23    0.00    6.67 ^ mprj/u_mbist0/fanout1628/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.20    6.87 ^ mprj/u_mbist0/fanout1628/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net1628 (net)
+                  0.15    0.00    6.87 ^ mprj/u_mbist0/fanout1627/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.20    0.26    7.13 ^ mprj/u_mbist0/fanout1627/X (sky130_fd_sc_hd__clkbuf_2)
+     8    0.04                           mprj/u_mbist0/net1627 (net)
+                  0.20    0.00    7.13 ^ mprj/u_mbist0/fanout1626/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.24    0.28    7.41 ^ mprj/u_mbist0/fanout1626/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist0/net1626 (net)
+                  0.24    0.00    7.41 ^ mprj/u_mbist0/fanout1623/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.23    7.64 ^ mprj/u_mbist0/fanout1623/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.02                           mprj/u_mbist0/net1623 (net)
+                  0.13    0.00    7.64 ^ mprj/u_mbist0/_4303_/RESET_B (sky130_fd_sc_hd__dfrtp_2)
+                                  7.64   data arrival time
 
                          10.00   10.00   clock wbs_clk_i (rise edge)
                           0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.27    0.33   10.33 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     2    0.03                           mprj/u_intercon/net2 (net)
-                  0.27    0.00   10.34 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.12   10.46 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net319 (net)
-                  0.06    0.00   10.46 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
-                  0.15    0.18   10.64 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.41   10.41 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00   10.41 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.19   10.60 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00   10.60 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.21   10.81 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
      2    0.03                           mprj/wbd_clk_mbist0_rp (net)
-                  0.15    0.00   10.64 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.15   10.79 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.00   10.81 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   10.97 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/net198 (net)
-                  0.12    0.00   10.79 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12   10.91 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00   10.97 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00   10.91 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.01 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.00   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00   11.02 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.13   11.15 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.00   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00   11.15 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.26 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11   11.44 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00   11.26 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.37 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00   11.37 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00   11.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.58 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00   11.58 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12   11.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d03 (net)
-                  0.04    0.00   11.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11   11.81 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00   11.44 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
-                  0.04    0.00   11.81 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   11.94 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
      1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
-                  0.07    0.00   11.94 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   12.07 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.93 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist0/net427 (net)
-                  0.05    0.00   12.07 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.80   12.87 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist0_skew (net)
-                  1.11    0.05   12.91 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31   13.23 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00   11.93 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.16 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00   12.16 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.39 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00   12.40 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.59 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00   12.59 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.75 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00   12.75 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.92 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
-                  0.08    0.00   13.23 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   13.36 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00   12.92 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   13.04 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00   13.36 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.12   13.49 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00   13.49 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.11   13.60 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.00   13.04 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.16 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.16 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.27 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00   13.60 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.38   13.98 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
-                  0.45    0.03   14.01 ^ mprj/u_mbist0/clkbuf_leaf_11_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.12    0.29   14.29 ^ mprj/u_mbist0/clkbuf_leaf_11_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.10                           mprj/u_mbist0/clknet_leaf_11_wb_clk_i (net)
-                  0.12    0.00   14.30 ^ mprj/u_mbist0/_4541_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                         -0.25   14.05   clock uncertainty
-                          0.25   14.30   clock reconvergence pessimism
-                          0.19   14.48   library recovery time
-                                 14.48   data required time
+                  0.03    0.00   13.27 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.45 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.45 ^ mprj/u_mbist0/clkbuf_leaf_7_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.22   13.68 ^ mprj/u_mbist0/clkbuf_leaf_7_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.12                           mprj/u_mbist0/clknet_leaf_7_wb_clk_i (net)
+                  0.14    0.00   13.68 ^ mprj/u_mbist0/_4303_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                         -0.25   13.43   clock uncertainty
+                          0.23   13.65   clock reconvergence pessimism
+                          0.22   13.88   library recovery time
+                                 13.88   data required time
 -----------------------------------------------------------------------------
-                                 14.48   data required time
-                                 -8.12   data arrival time
+                                 13.88   data required time
+                                 -7.64   data arrival time
 -----------------------------------------------------------------------------
-                                  6.37   slack (MET)
+                                  6.24   slack (MET)
 
 
 Startpoint: mprj/u_mbist1/_4498_
             (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist1/_4541_
+Endpoint: mprj/u_mbist1/_4303_
           (recovery check against rising-edge clock wbs_clk_i)
 Path Group: **async_default**
 Path Type: max
@@ -83982,2794 +100010,202 @@
 -----------------------------------------------------------------------------
                           0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.24    0.53 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.31    0.31 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00    0.32 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.23    0.55 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
      2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.21    0.76 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+                  0.20    0.03    0.58 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.21    0.80 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
      2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02    0.77 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.13    0.90 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.02    0.81 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.12    0.94 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00    0.90 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.17    1.07 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+                  0.06    0.00    0.94 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.17    1.10 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
      2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00    1.07 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    1.22 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.00    1.11 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.16    1.26 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00    1.22 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00    1.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.00    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.50 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    2.07 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    2.07 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00    1.50 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
      1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.60 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.02 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00    2.60 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.46 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05    3.51 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.84 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00    2.02 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.26 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00    2.27 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.25    2.52 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00    2.52 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.20    2.72 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00    2.73 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.17    2.90 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00    2.91 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17    3.08 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.85 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    4.00 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    3.08 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.22 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.02                           mprj/u_mbist1/clknet_1_0_0_wb_clk_i (net)
-                  0.05    0.00    4.00 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    4.13 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    3.22 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.36 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.02                           mprj/u_mbist1/clknet_1_0_1_wb_clk_i (net)
-                  0.05    0.00    4.13 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.25 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    3.36 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    3.48 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.01                           mprj/u_mbist1/clknet_1_0_2_wb_clk_i (net)
-                  0.03    0.00    4.25 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.37    0.36    4.61 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.22                           mprj/u_mbist1/clknet_1_0_3_wb_clk_i (net)
-                  0.37    0.01    4.62 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.22    4.85 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    3.48 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    3.67 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist1/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.67 ^ mprj/u_mbist1/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.27    3.94 ^ mprj/u_mbist1/wire5/X (sky130_fd_sc_hd__buf_4)
+     6    0.08                           mprj/u_mbist1/net2098 (net)
+                  0.21    0.00    3.94 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.19    4.13 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.02                           mprj/u_mbist1/clknet_opt_2_0_wb_clk_i (net)
-                  0.05    0.00    4.85 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.98 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.13 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.26 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.02                           mprj/u_mbist1/clknet_opt_2_1_wb_clk_i (net)
-                  0.04    0.00    4.98 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.11 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.26 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.39 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.02                           mprj/u_mbist1/clknet_opt_2_2_wb_clk_i (net)
-                  0.04    0.00    5.11 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    5.24 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.39 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.52 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_mbist1/clknet_opt_2_3_wb_clk_i (net)
-                  0.05    0.00    5.24 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    5.36 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00    4.52 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    4.64 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.01                           mprj/u_mbist1/clknet_leaf_24_wb_clk_i (net)
-                  0.03    0.00    5.36 ^ mprj/u_mbist1/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.09    0.36    5.72 ^ mprj/u_mbist1/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
+                  0.03    0.00    4.64 ^ mprj/u_mbist1/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.36    5.00 ^ mprj/u_mbist1/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
      1    0.01                           mprj/u_mbist1/u_mbist.mem_no[0].u_cmp.rst_n (net)
-                  0.09    0.00    5.72 ^ mprj/u_mbist1/fanout1728/A (sky130_fd_sc_hd__buf_4)
-                  0.14    0.21    5.92 ^ mprj/u_mbist1/fanout1728/X (sky130_fd_sc_hd__buf_4)
+                  0.09    0.00    5.00 ^ mprj/u_mbist1/fanout1728/A (sky130_fd_sc_hd__buf_4)
+                  0.15    0.21    5.21 ^ mprj/u_mbist1/fanout1728/X (sky130_fd_sc_hd__buf_4)
      4    0.05                           mprj/u_mbist1/net1728 (net)
-                  0.14    0.00    5.93 ^ mprj/u_mbist1/wire1729/A (sky130_fd_sc_hd__buf_4)
-                  0.24    0.28    6.21 ^ mprj/u_mbist1/wire1729/X (sky130_fd_sc_hd__buf_4)
+                  0.15    0.00    5.21 ^ mprj/u_mbist1/wire1729/A (sky130_fd_sc_hd__buf_4)
+                  0.26    0.29    5.50 ^ mprj/u_mbist1/wire1729/X (sky130_fd_sc_hd__buf_4)
      2    0.09                           mprj/u_mbist1/net1729 (net)
-                  0.24    0.02    6.23 ^ mprj/u_mbist1/fanout1727/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.42    6.65 ^ mprj/u_mbist1/fanout1727/X (sky130_fd_sc_hd__buf_2)
+                  0.26    0.03    5.53 ^ mprj/u_mbist1/fanout1727/A (sky130_fd_sc_hd__buf_2)
+                  0.41    0.44    5.97 ^ mprj/u_mbist1/fanout1727/X (sky130_fd_sc_hd__buf_2)
      4    0.08                           mprj/u_mbist1/net1727 (net)
-                  0.38    0.00    6.65 ^ mprj/u_mbist1/fanout1726/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.25    0.35    7.00 ^ mprj/u_mbist1/fanout1726/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.05                           mprj/u_mbist1/net1726 (net)
-                  0.25    0.00    7.00 ^ mprj/u_mbist1/fanout1676/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.29    0.33    7.33 ^ mprj/u_mbist1/fanout1676/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.03                           mprj/u_mbist1/net1676 (net)
-                  0.29    0.00    7.33 ^ mprj/u_mbist1/fanout1675/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.20    0.27    7.60 ^ mprj/u_mbist1/fanout1675/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     3    0.02                           mprj/u_mbist1/net1675 (net)
-                  0.20    0.00    7.60 ^ mprj/u_mbist1/fanout1674/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.16    0.20    7.80 ^ mprj/u_mbist1/fanout1674/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net1674 (net)
-                  0.16    0.00    7.80 ^ mprj/u_mbist1/fanout1673/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.14    0.18    7.98 ^ mprj/u_mbist1/fanout1673/X (sky130_fd_sc_hd__clkbuf_1)
-     3    0.01                           mprj/u_mbist1/net1673 (net)
-                  0.14    0.00    7.99 ^ mprj/u_mbist1/fanout1672/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.20    0.24    8.22 ^ mprj/u_mbist1/fanout1672/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     3    0.02                           mprj/u_mbist1/net1672 (net)
-                  0.20    0.00    8.22 ^ mprj/u_mbist1/fanout1670/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.22    0.26    8.48 ^ mprj/u_mbist1/fanout1670/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.02                           mprj/u_mbist1/net1670 (net)
-                  0.22    0.00    8.49 ^ mprj/u_mbist1/_4541_/RESET_B (sky130_fd_sc_hd__dfrtp_4)
-                                  8.49   data arrival time
+                  0.41    0.00    5.98 ^ mprj/u_mbist1/fanout1639/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.25    0.35    6.32 ^ mprj/u_mbist1/fanout1639/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.05                           mprj/u_mbist1/net1639 (net)
+                  0.25    0.00    6.32 ^ mprj/u_mbist1/fanout1629/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.23    0.28    6.61 ^ mprj/u_mbist1/fanout1629/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist1/net1629 (net)
+                  0.23    0.00    6.61 ^ mprj/u_mbist1/fanout1628/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.20    6.81 ^ mprj/u_mbist1/fanout1628/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net1628 (net)
+                  0.15    0.00    6.81 ^ mprj/u_mbist1/fanout1627/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.20    0.26    7.07 ^ mprj/u_mbist1/fanout1627/X (sky130_fd_sc_hd__clkbuf_2)
+     8    0.04                           mprj/u_mbist1/net1627 (net)
+                  0.20    0.00    7.07 ^ mprj/u_mbist1/fanout1626/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.24    0.28    7.34 ^ mprj/u_mbist1/fanout1626/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist1/net1626 (net)
+                  0.24    0.00    7.34 ^ mprj/u_mbist1/fanout1623/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.23    7.58 ^ mprj/u_mbist1/fanout1623/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.02                           mprj/u_mbist1/net1623 (net)
+                  0.13    0.00    7.58 ^ mprj/u_mbist1/_4303_/RESET_B (sky130_fd_sc_hd__dfrtp_2)
+                                  7.58   data arrival time
 
                          10.00   10.00   clock wbs_clk_i (rise edge)
                           0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.27   10.27 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00   10.27 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.22   10.49 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.29   10.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00   10.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.22   10.51 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
      2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01   10.50 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.20   10.70 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+                  0.20    0.03   10.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.20   10.74 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
      2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02   10.72 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12   10.84 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.02   10.76 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11   10.87 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00   10.84 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.15   10.99 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+                  0.06    0.00   10.87 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.16   11.02 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
      2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00   10.99 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14   11.14 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.00   11.03 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   11.17 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00   11.14 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12   11.25 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00   11.17 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00   11.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.36 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.00   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00   11.36 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.13   11.49 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00   11.49 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.60 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00   11.60 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.71 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00   11.71 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.82 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00   11.82 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.92 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00   11.92 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12   12.04 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00   12.04 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11   12.15 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00   12.15 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   12.28 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00   11.39 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
      1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00   12.28 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   12.41 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.87 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00   12.41 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.80   13.21 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05   13.26 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31   13.57 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00   11.87 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.10 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00   12.10 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.33 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00   12.34 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.53 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00   12.53 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.69 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00   12.70 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.86 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00   13.57 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   13.70 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00   12.86 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   12.98 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00   13.71 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.12   13.83 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00   13.83 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.11   13.94 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.00   12.98 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.10 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.10 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.21 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00   13.94 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.38   14.32 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
-                  0.45    0.03   14.35 ^ mprj/u_mbist1/clkbuf_leaf_11_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.12    0.29   14.64 ^ mprj/u_mbist1/clkbuf_leaf_11_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.10                           mprj/u_mbist1/clknet_leaf_11_wb_clk_i (net)
-                  0.12    0.00   14.64 ^ mprj/u_mbist1/_4541_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                         -0.25   14.39   clock uncertainty
-                          0.28   14.66   clock reconvergence pessimism
-                          0.19   14.85   library recovery time
-                                 14.85   data required time
+                  0.03    0.00   13.21 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.39 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.40 ^ mprj/u_mbist1/clkbuf_leaf_7_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.22   13.62 ^ mprj/u_mbist1/clkbuf_leaf_7_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.12                           mprj/u_mbist1/clknet_leaf_7_wb_clk_i (net)
+                  0.14    0.00   13.62 ^ mprj/u_mbist1/_4303_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                         -0.25   13.37   clock uncertainty
+                          0.22   13.59   clock reconvergence pessimism
+                          0.22   13.81   library recovery time
+                                 13.81   data required time
 -----------------------------------------------------------------------------
-                                 14.85   data required time
-                                 -8.49   data arrival time
+                                 13.81   data required time
+                                 -7.58   data arrival time
 -----------------------------------------------------------------------------
-                                  6.37   slack (MET)
-
-
-Startpoint: mprj/u_mbist0/_4498_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist0/_4581_
-          (recovery check against rising-edge clock wbs_clk_i)
-Path Group: **async_default**
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.27    0.36    0.36 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     2    0.03                           mprj/u_intercon/net2 (net)
-                  0.27    0.00    0.36 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.13    0.50 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net319 (net)
-                  0.06    0.00    0.50 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
-                  0.15    0.19    0.69 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
-                  0.15    0.00    0.69 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    0.86 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net198 (net)
-                  0.12    0.00    0.86 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.70 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    1.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d03 (net)
-                  0.04    0.00    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
-                  0.04    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.23 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/net427 (net)
-                  0.05    0.00    2.23 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.09 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist0_skew (net)
-                  1.11    0.05    3.14 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.48 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.48 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.63 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_0_0_wb_clk_i (net)
-                  0.05    0.00    3.63 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    3.77 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_0_1_wb_clk_i (net)
-                  0.05    0.00    3.77 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    3.89 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist0/clknet_1_0_2_wb_clk_i (net)
-                  0.03    0.00    3.89 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.37    0.36    4.25 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.22                           mprj/u_mbist0/clknet_1_0_3_wb_clk_i (net)
-                  0.37    0.01    4.26 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.22    4.48 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_2_0_wb_clk_i (net)
-                  0.05    0.00    4.48 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.61 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_2_1_wb_clk_i (net)
-                  0.04    0.00    4.61 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.74 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_2_2_wb_clk_i (net)
-                  0.04    0.00    4.74 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.87 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_mbist0/clknet_opt_2_3_wb_clk_i (net)
-                  0.05    0.00    4.87 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    4.99 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.01                           mprj/u_mbist0/clknet_leaf_24_wb_clk_i (net)
-                  0.03    0.00    4.99 ^ mprj/u_mbist0/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.09    0.36    5.35 ^ mprj/u_mbist0/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.01                           mprj/u_mbist0/u_mbist.mem_no[0].u_cmp.rst_n (net)
-                  0.09    0.00    5.35 ^ mprj/u_mbist0/fanout1728/A (sky130_fd_sc_hd__buf_4)
-                  0.14    0.21    5.56 ^ mprj/u_mbist0/fanout1728/X (sky130_fd_sc_hd__buf_4)
-     4    0.05                           mprj/u_mbist0/net1728 (net)
-                  0.14    0.00    5.56 ^ mprj/u_mbist0/wire1729/A (sky130_fd_sc_hd__buf_4)
-                  0.24    0.28    5.84 ^ mprj/u_mbist0/wire1729/X (sky130_fd_sc_hd__buf_4)
-     2    0.09                           mprj/u_mbist0/net1729 (net)
-                  0.24    0.02    5.86 ^ mprj/u_mbist0/fanout1727/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.42    6.28 ^ mprj/u_mbist0/fanout1727/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_mbist0/net1727 (net)
-                  0.38    0.00    6.29 ^ mprj/u_mbist0/fanout1726/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.25    0.35    6.63 ^ mprj/u_mbist0/fanout1726/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.05                           mprj/u_mbist0/net1726 (net)
-                  0.25    0.00    6.64 ^ mprj/u_mbist0/fanout1725/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.32    0.35    6.98 ^ mprj/u_mbist0/fanout1725/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.03                           mprj/u_mbist0/net1725 (net)
-                  0.32    0.00    6.98 ^ mprj/u_mbist0/fanout1697/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.19    7.17 ^ mprj/u_mbist0/fanout1697/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net1697 (net)
-                  0.12    0.00    7.17 ^ mprj/u_mbist0/fanout1696/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.25    0.26    7.42 ^ mprj/u_mbist0/fanout1696/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.02                           mprj/u_mbist0/net1696 (net)
-                  0.25    0.00    7.42 ^ mprj/u_mbist0/fanout1690/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.18    0.23    7.65 ^ mprj/u_mbist0/fanout1690/X (sky130_fd_sc_hd__clkbuf_1)
-     3    0.02                           mprj/u_mbist0/net1690 (net)
-                  0.18    0.00    7.65 ^ mprj/u_mbist0/fanout1689/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.22    0.26    7.91 ^ mprj/u_mbist0/fanout1689/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.02                           mprj/u_mbist0/net1689 (net)
-                  0.22    0.00    7.91 ^ mprj/u_mbist0/fanout1686/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.12    0.22    8.13 ^ mprj/u_mbist0/fanout1686/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.02                           mprj/u_mbist0/net1686 (net)
-                  0.12    0.00    8.13 ^ mprj/u_mbist0/_4581_/RESET_B (sky130_fd_sc_hd__dfrtp_4)
-                                  8.13   data arrival time
-
-                         10.00   10.00   clock wbs_clk_i (rise edge)
-                          0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.27    0.33   10.33 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     2    0.03                           mprj/u_intercon/net2 (net)
-                  0.27    0.00   10.34 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.12   10.46 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net319 (net)
-                  0.06    0.00   10.46 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
-                  0.15    0.18   10.64 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
-                  0.15    0.00   10.64 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.15   10.79 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net198 (net)
-                  0.12    0.00   10.79 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12   10.91 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00   10.91 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.01 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00   11.02 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.13   11.15 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00   11.15 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.26 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00   11.26 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.37 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00   11.37 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00   11.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.58 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00   11.58 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12   11.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d03 (net)
-                  0.04    0.00   11.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11   11.81 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
-                  0.04    0.00   11.81 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   11.94 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
-                  0.07    0.00   11.94 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   12.07 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/net427 (net)
-                  0.05    0.00   12.07 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.80   12.87 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist0_skew (net)
-                  1.11    0.05   12.91 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31   13.23 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
-                  0.08    0.00   13.23 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   13.36 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00   13.36 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.12   13.49 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00   13.49 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.11   13.60 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00   13.60 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.38   13.98 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
-                  0.45    0.03   14.01 ^ mprj/u_mbist0/clkbuf_leaf_14_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.28   14.28 ^ mprj/u_mbist0/clkbuf_leaf_14_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_mbist0/clknet_leaf_14_wb_clk_i (net)
-                  0.11    0.00   14.29 ^ mprj/u_mbist0/_4581_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                         -0.25   14.04   clock uncertainty
-                          0.25   14.29   clock reconvergence pessimism
-                          0.21   14.50   library recovery time
-                                 14.50   data required time
------------------------------------------------------------------------------
-                                 14.50   data required time
-                                 -8.13   data arrival time
------------------------------------------------------------------------------
-                                  6.37   slack (MET)
-
-
-Startpoint: mprj/u_mbist1/_4498_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist1/_4581_
-          (recovery check against rising-edge clock wbs_clk_i)
-Path Group: **async_default**
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.24    0.53 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
-     2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.21    0.76 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02    0.77 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.13    0.90 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00    0.90 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.17    1.07 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
-     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00    1.07 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    1.22 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00    1.22 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    2.07 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    2.07 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.60 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00    2.60 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.46 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05    3.51 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.84 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.85 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    4.00 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_0_0_wb_clk_i (net)
-                  0.05    0.00    4.00 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    4.13 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_0_1_wb_clk_i (net)
-                  0.05    0.00    4.13 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.25 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist1/clknet_1_0_2_wb_clk_i (net)
-                  0.03    0.00    4.25 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.37    0.36    4.61 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.22                           mprj/u_mbist1/clknet_1_0_3_wb_clk_i (net)
-                  0.37    0.01    4.62 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.22    4.85 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_2_0_wb_clk_i (net)
-                  0.05    0.00    4.85 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.98 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_2_1_wb_clk_i (net)
-                  0.04    0.00    4.98 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.11 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_2_2_wb_clk_i (net)
-                  0.04    0.00    5.11 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    5.24 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_mbist1/clknet_opt_2_3_wb_clk_i (net)
-                  0.05    0.00    5.24 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    5.36 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.01                           mprj/u_mbist1/clknet_leaf_24_wb_clk_i (net)
-                  0.03    0.00    5.36 ^ mprj/u_mbist1/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.09    0.36    5.72 ^ mprj/u_mbist1/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.01                           mprj/u_mbist1/u_mbist.mem_no[0].u_cmp.rst_n (net)
-                  0.09    0.00    5.72 ^ mprj/u_mbist1/fanout1728/A (sky130_fd_sc_hd__buf_4)
-                  0.14    0.21    5.92 ^ mprj/u_mbist1/fanout1728/X (sky130_fd_sc_hd__buf_4)
-     4    0.05                           mprj/u_mbist1/net1728 (net)
-                  0.14    0.00    5.93 ^ mprj/u_mbist1/wire1729/A (sky130_fd_sc_hd__buf_4)
-                  0.24    0.28    6.21 ^ mprj/u_mbist1/wire1729/X (sky130_fd_sc_hd__buf_4)
-     2    0.09                           mprj/u_mbist1/net1729 (net)
-                  0.24    0.02    6.23 ^ mprj/u_mbist1/fanout1727/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.42    6.65 ^ mprj/u_mbist1/fanout1727/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_mbist1/net1727 (net)
-                  0.38    0.00    6.65 ^ mprj/u_mbist1/fanout1726/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.25    0.35    7.00 ^ mprj/u_mbist1/fanout1726/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.05                           mprj/u_mbist1/net1726 (net)
-                  0.25    0.00    7.01 ^ mprj/u_mbist1/fanout1725/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.32    0.35    7.35 ^ mprj/u_mbist1/fanout1725/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.03                           mprj/u_mbist1/net1725 (net)
-                  0.32    0.00    7.35 ^ mprj/u_mbist1/fanout1697/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.19    7.54 ^ mprj/u_mbist1/fanout1697/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net1697 (net)
-                  0.12    0.00    7.54 ^ mprj/u_mbist1/fanout1696/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.25    0.26    7.79 ^ mprj/u_mbist1/fanout1696/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.02                           mprj/u_mbist1/net1696 (net)
-                  0.25    0.00    7.79 ^ mprj/u_mbist1/fanout1690/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.18    0.23    8.02 ^ mprj/u_mbist1/fanout1690/X (sky130_fd_sc_hd__clkbuf_1)
-     3    0.02                           mprj/u_mbist1/net1690 (net)
-                  0.18    0.00    8.02 ^ mprj/u_mbist1/fanout1689/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.22    0.26    8.28 ^ mprj/u_mbist1/fanout1689/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.02                           mprj/u_mbist1/net1689 (net)
-                  0.22    0.00    8.28 ^ mprj/u_mbist1/fanout1686/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.12    0.22    8.50 ^ mprj/u_mbist1/fanout1686/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.02                           mprj/u_mbist1/net1686 (net)
-                  0.12    0.00    8.50 ^ mprj/u_mbist1/_4581_/RESET_B (sky130_fd_sc_hd__dfrtp_4)
-                                  8.50   data arrival time
-
-                         10.00   10.00   clock wbs_clk_i (rise edge)
-                          0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.27   10.27 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00   10.27 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.22   10.49 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
-     2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01   10.50 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.20   10.70 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02   10.72 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12   10.84 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00   10.84 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.15   10.99 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
-     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00   10.99 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14   11.14 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00   11.14 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12   11.25 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00   11.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.36 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00   11.36 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.13   11.49 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00   11.49 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.60 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00   11.60 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.71 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00   11.71 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.82 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00   11.82 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.92 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00   11.92 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12   12.04 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00   12.04 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11   12.15 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00   12.15 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   12.28 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00   12.28 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   12.41 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00   12.41 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.80   13.21 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05   13.26 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31   13.57 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00   13.57 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   13.70 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00   13.71 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.12   13.83 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00   13.83 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.11   13.94 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00   13.94 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.38   14.32 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
-                  0.45    0.03   14.35 ^ mprj/u_mbist1/clkbuf_leaf_14_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.28   14.63 ^ mprj/u_mbist1/clkbuf_leaf_14_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_mbist1/clknet_leaf_14_wb_clk_i (net)
-                  0.11    0.00   14.63 ^ mprj/u_mbist1/_4581_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                         -0.25   14.38   clock uncertainty
-                          0.28   14.66   clock reconvergence pessimism
-                          0.21   14.87   library recovery time
-                                 14.87   data required time
------------------------------------------------------------------------------
-                                 14.87   data required time
-                                 -8.50   data arrival time
------------------------------------------------------------------------------
-                                  6.37   slack (MET)
-
-
-Startpoint: mprj/u_mbist0/_4498_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist0/_4537_
-          (recovery check against rising-edge clock wbs_clk_i)
-Path Group: **async_default**
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.27    0.36    0.36 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     2    0.03                           mprj/u_intercon/net2 (net)
-                  0.27    0.00    0.36 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.13    0.50 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net319 (net)
-                  0.06    0.00    0.50 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
-                  0.15    0.19    0.69 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
-                  0.15    0.00    0.69 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    0.86 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net198 (net)
-                  0.12    0.00    0.86 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.70 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    1.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d03 (net)
-                  0.04    0.00    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
-                  0.04    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.23 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/net427 (net)
-                  0.05    0.00    2.23 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.09 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist0_skew (net)
-                  1.11    0.05    3.14 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.48 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.48 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.63 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_0_0_wb_clk_i (net)
-                  0.05    0.00    3.63 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    3.77 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_0_1_wb_clk_i (net)
-                  0.05    0.00    3.77 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    3.89 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist0/clknet_1_0_2_wb_clk_i (net)
-                  0.03    0.00    3.89 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.37    0.36    4.25 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.22                           mprj/u_mbist0/clknet_1_0_3_wb_clk_i (net)
-                  0.37    0.01    4.26 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.22    4.48 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_2_0_wb_clk_i (net)
-                  0.05    0.00    4.48 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.61 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_2_1_wb_clk_i (net)
-                  0.04    0.00    4.61 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.74 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_2_2_wb_clk_i (net)
-                  0.04    0.00    4.74 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.87 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_mbist0/clknet_opt_2_3_wb_clk_i (net)
-                  0.05    0.00    4.87 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    4.99 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.01                           mprj/u_mbist0/clknet_leaf_24_wb_clk_i (net)
-                  0.03    0.00    4.99 ^ mprj/u_mbist0/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.09    0.36    5.35 ^ mprj/u_mbist0/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.01                           mprj/u_mbist0/u_mbist.mem_no[0].u_cmp.rst_n (net)
-                  0.09    0.00    5.35 ^ mprj/u_mbist0/fanout1728/A (sky130_fd_sc_hd__buf_4)
-                  0.14    0.21    5.56 ^ mprj/u_mbist0/fanout1728/X (sky130_fd_sc_hd__buf_4)
-     4    0.05                           mprj/u_mbist0/net1728 (net)
-                  0.14    0.00    5.56 ^ mprj/u_mbist0/wire1729/A (sky130_fd_sc_hd__buf_4)
-                  0.24    0.28    5.84 ^ mprj/u_mbist0/wire1729/X (sky130_fd_sc_hd__buf_4)
-     2    0.09                           mprj/u_mbist0/net1729 (net)
-                  0.24    0.02    5.86 ^ mprj/u_mbist0/fanout1727/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.42    6.28 ^ mprj/u_mbist0/fanout1727/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_mbist0/net1727 (net)
-                  0.38    0.00    6.29 ^ mprj/u_mbist0/fanout1726/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.25    0.35    6.63 ^ mprj/u_mbist0/fanout1726/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.05                           mprj/u_mbist0/net1726 (net)
-                  0.25    0.00    6.64 ^ mprj/u_mbist0/fanout1725/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.32    0.35    6.98 ^ mprj/u_mbist0/fanout1725/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.03                           mprj/u_mbist0/net1725 (net)
-                  0.32    0.00    6.98 ^ mprj/u_mbist0/fanout1697/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.19    7.17 ^ mprj/u_mbist0/fanout1697/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net1697 (net)
-                  0.12    0.00    7.17 ^ mprj/u_mbist0/fanout1696/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.25    0.26    7.42 ^ mprj/u_mbist0/fanout1696/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.02                           mprj/u_mbist0/net1696 (net)
-                  0.25    0.00    7.42 ^ mprj/u_mbist0/fanout1695/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.13    0.19    7.62 ^ mprj/u_mbist0/fanout1695/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net1695 (net)
-                  0.13    0.00    7.62 ^ mprj/u_mbist0/fanout1694/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.20    0.24    7.85 ^ mprj/u_mbist0/fanout1694/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.02                           mprj/u_mbist0/net1694 (net)
-                  0.20    0.00    7.85 ^ mprj/u_mbist0/fanout1693/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.21    0.26    8.11 ^ mprj/u_mbist0/fanout1693/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.02                           mprj/u_mbist0/net1693 (net)
-                  0.21    0.00    8.11 ^ mprj/u_mbist0/_4537_/RESET_B (sky130_fd_sc_hd__dfrtp_2)
-                                  8.11   data arrival time
-
-                         10.00   10.00   clock wbs_clk_i (rise edge)
-                          0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.27    0.33   10.33 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     2    0.03                           mprj/u_intercon/net2 (net)
-                  0.27    0.00   10.34 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.12   10.46 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net319 (net)
-                  0.06    0.00   10.46 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
-                  0.15    0.18   10.64 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
-                  0.15    0.00   10.64 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.15   10.79 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net198 (net)
-                  0.12    0.00   10.79 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12   10.91 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00   10.91 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.01 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00   11.02 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.13   11.15 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00   11.15 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.26 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00   11.26 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.37 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00   11.37 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00   11.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.58 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00   11.58 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12   11.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d03 (net)
-                  0.04    0.00   11.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11   11.81 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
-                  0.04    0.00   11.81 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   11.94 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
-                  0.07    0.00   11.94 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   12.07 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/net427 (net)
-                  0.05    0.00   12.07 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.80   12.87 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist0_skew (net)
-                  1.11    0.05   12.91 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31   13.23 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
-                  0.08    0.00   13.23 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   13.36 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00   13.36 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.12   13.49 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00   13.49 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.11   13.60 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00   13.60 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.38   13.98 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
-                  0.45    0.03   14.01 ^ mprj/u_mbist0/clkbuf_leaf_14_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.28   14.28 ^ mprj/u_mbist0/clkbuf_leaf_14_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_mbist0/clknet_leaf_14_wb_clk_i (net)
-                  0.11    0.00   14.29 ^ mprj/u_mbist0/_4537_/CLK (sky130_fd_sc_hd__dfrtp_2)
-                         -0.25   14.04   clock uncertainty
-                          0.25   14.29   clock reconvergence pessimism
-                          0.19   14.48   library recovery time
-                                 14.48   data required time
------------------------------------------------------------------------------
-                                 14.48   data required time
-                                 -8.11   data arrival time
------------------------------------------------------------------------------
-                                  6.37   slack (MET)
-
-
-Startpoint: mprj/u_mbist1/_4498_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist1/_4537_
-          (recovery check against rising-edge clock wbs_clk_i)
-Path Group: **async_default**
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.24    0.53 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
-     2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.21    0.76 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02    0.77 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.13    0.90 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00    0.90 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.17    1.07 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
-     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00    1.07 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    1.22 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00    1.22 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    2.07 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    2.07 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.60 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00    2.60 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.46 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05    3.51 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.84 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.85 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    4.00 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_0_0_wb_clk_i (net)
-                  0.05    0.00    4.00 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    4.13 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_0_1_wb_clk_i (net)
-                  0.05    0.00    4.13 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.25 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist1/clknet_1_0_2_wb_clk_i (net)
-                  0.03    0.00    4.25 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.37    0.36    4.61 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.22                           mprj/u_mbist1/clknet_1_0_3_wb_clk_i (net)
-                  0.37    0.01    4.62 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.22    4.85 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_2_0_wb_clk_i (net)
-                  0.05    0.00    4.85 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.98 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_2_1_wb_clk_i (net)
-                  0.04    0.00    4.98 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.11 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_2_2_wb_clk_i (net)
-                  0.04    0.00    5.11 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    5.24 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_mbist1/clknet_opt_2_3_wb_clk_i (net)
-                  0.05    0.00    5.24 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    5.36 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.01                           mprj/u_mbist1/clknet_leaf_24_wb_clk_i (net)
-                  0.03    0.00    5.36 ^ mprj/u_mbist1/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.09    0.36    5.72 ^ mprj/u_mbist1/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.01                           mprj/u_mbist1/u_mbist.mem_no[0].u_cmp.rst_n (net)
-                  0.09    0.00    5.72 ^ mprj/u_mbist1/fanout1728/A (sky130_fd_sc_hd__buf_4)
-                  0.14    0.21    5.92 ^ mprj/u_mbist1/fanout1728/X (sky130_fd_sc_hd__buf_4)
-     4    0.05                           mprj/u_mbist1/net1728 (net)
-                  0.14    0.00    5.93 ^ mprj/u_mbist1/wire1729/A (sky130_fd_sc_hd__buf_4)
-                  0.24    0.28    6.21 ^ mprj/u_mbist1/wire1729/X (sky130_fd_sc_hd__buf_4)
-     2    0.09                           mprj/u_mbist1/net1729 (net)
-                  0.24    0.02    6.23 ^ mprj/u_mbist1/fanout1727/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.42    6.65 ^ mprj/u_mbist1/fanout1727/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_mbist1/net1727 (net)
-                  0.38    0.00    6.65 ^ mprj/u_mbist1/fanout1726/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.25    0.35    7.00 ^ mprj/u_mbist1/fanout1726/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.05                           mprj/u_mbist1/net1726 (net)
-                  0.25    0.00    7.01 ^ mprj/u_mbist1/fanout1725/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.32    0.35    7.35 ^ mprj/u_mbist1/fanout1725/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.03                           mprj/u_mbist1/net1725 (net)
-                  0.32    0.00    7.35 ^ mprj/u_mbist1/fanout1697/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.19    7.54 ^ mprj/u_mbist1/fanout1697/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net1697 (net)
-                  0.12    0.00    7.54 ^ mprj/u_mbist1/fanout1696/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.25    0.26    7.79 ^ mprj/u_mbist1/fanout1696/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.02                           mprj/u_mbist1/net1696 (net)
-                  0.25    0.00    7.79 ^ mprj/u_mbist1/fanout1695/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.13    0.19    7.98 ^ mprj/u_mbist1/fanout1695/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net1695 (net)
-                  0.13    0.00    7.98 ^ mprj/u_mbist1/fanout1694/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.20    0.24    8.22 ^ mprj/u_mbist1/fanout1694/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.02                           mprj/u_mbist1/net1694 (net)
-                  0.20    0.00    8.22 ^ mprj/u_mbist1/fanout1693/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.21    0.26    8.48 ^ mprj/u_mbist1/fanout1693/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.02                           mprj/u_mbist1/net1693 (net)
-                  0.21    0.00    8.48 ^ mprj/u_mbist1/_4537_/RESET_B (sky130_fd_sc_hd__dfrtp_2)
-                                  8.48   data arrival time
-
-                         10.00   10.00   clock wbs_clk_i (rise edge)
-                          0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.27   10.27 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00   10.27 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.22   10.49 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
-     2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01   10.50 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.20   10.70 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02   10.72 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12   10.84 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00   10.84 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.15   10.99 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
-     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00   10.99 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14   11.14 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00   11.14 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12   11.25 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00   11.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.36 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00   11.36 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.13   11.49 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00   11.49 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.60 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00   11.60 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.71 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00   11.71 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.82 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00   11.82 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.92 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00   11.92 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12   12.04 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00   12.04 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11   12.15 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00   12.15 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   12.28 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00   12.28 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   12.41 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00   12.41 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.80   13.21 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05   13.26 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31   13.57 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00   13.57 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   13.70 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00   13.71 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.12   13.83 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00   13.83 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.11   13.94 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00   13.94 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.38   14.32 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
-                  0.45    0.03   14.35 ^ mprj/u_mbist1/clkbuf_leaf_14_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.28   14.63 ^ mprj/u_mbist1/clkbuf_leaf_14_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_mbist1/clknet_leaf_14_wb_clk_i (net)
-                  0.11    0.00   14.63 ^ mprj/u_mbist1/_4537_/CLK (sky130_fd_sc_hd__dfrtp_2)
-                         -0.25   14.38   clock uncertainty
-                          0.28   14.66   clock reconvergence pessimism
-                          0.19   14.85   library recovery time
-                                 14.85   data required time
------------------------------------------------------------------------------
-                                 14.85   data required time
-                                 -8.48   data arrival time
------------------------------------------------------------------------------
-                                  6.37   slack (MET)
-
-
-Startpoint: mprj/u_mbist0/_4498_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist0/_4424_
-          (recovery check against rising-edge clock wbs_clk_i)
-Path Group: **async_default**
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.27    0.36    0.36 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     2    0.03                           mprj/u_intercon/net2 (net)
-                  0.27    0.00    0.36 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.13    0.50 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net319 (net)
-                  0.06    0.00    0.50 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
-                  0.15    0.19    0.69 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
-                  0.15    0.00    0.69 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    0.86 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net198 (net)
-                  0.12    0.00    0.86 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.70 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    1.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d03 (net)
-                  0.04    0.00    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
-                  0.04    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.23 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/net427 (net)
-                  0.05    0.00    2.23 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.09 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist0_skew (net)
-                  1.11    0.05    3.14 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.48 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.48 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.63 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_0_0_wb_clk_i (net)
-                  0.05    0.00    3.63 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    3.77 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_0_1_wb_clk_i (net)
-                  0.05    0.00    3.77 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    3.89 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist0/clknet_1_0_2_wb_clk_i (net)
-                  0.03    0.00    3.89 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.37    0.36    4.25 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.22                           mprj/u_mbist0/clknet_1_0_3_wb_clk_i (net)
-                  0.37    0.01    4.26 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.22    4.48 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_2_0_wb_clk_i (net)
-                  0.05    0.00    4.48 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.61 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_2_1_wb_clk_i (net)
-                  0.04    0.00    4.61 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.74 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_2_2_wb_clk_i (net)
-                  0.04    0.00    4.74 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.87 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_mbist0/clknet_opt_2_3_wb_clk_i (net)
-                  0.05    0.00    4.87 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    4.99 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.01                           mprj/u_mbist0/clknet_leaf_24_wb_clk_i (net)
-                  0.03    0.00    4.99 ^ mprj/u_mbist0/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.09    0.36    5.35 ^ mprj/u_mbist0/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.01                           mprj/u_mbist0/u_mbist.mem_no[0].u_cmp.rst_n (net)
-                  0.09    0.00    5.35 ^ mprj/u_mbist0/fanout1728/A (sky130_fd_sc_hd__buf_4)
-                  0.14    0.21    5.56 ^ mprj/u_mbist0/fanout1728/X (sky130_fd_sc_hd__buf_4)
-     4    0.05                           mprj/u_mbist0/net1728 (net)
-                  0.14    0.00    5.56 ^ mprj/u_mbist0/wire1729/A (sky130_fd_sc_hd__buf_4)
-                  0.24    0.28    5.84 ^ mprj/u_mbist0/wire1729/X (sky130_fd_sc_hd__buf_4)
-     2    0.09                           mprj/u_mbist0/net1729 (net)
-                  0.24    0.02    5.86 ^ mprj/u_mbist0/fanout1727/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.42    6.28 ^ mprj/u_mbist0/fanout1727/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_mbist0/net1727 (net)
-                  0.38    0.00    6.29 ^ mprj/u_mbist0/fanout1726/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.25    0.35    6.63 ^ mprj/u_mbist0/fanout1726/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.05                           mprj/u_mbist0/net1726 (net)
-                  0.25    0.00    6.63 ^ mprj/u_mbist0/fanout1676/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.29    0.33    6.96 ^ mprj/u_mbist0/fanout1676/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.03                           mprj/u_mbist0/net1676 (net)
-                  0.29    0.00    6.97 ^ mprj/u_mbist0/fanout1675/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.20    0.27    7.23 ^ mprj/u_mbist0/fanout1675/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     3    0.02                           mprj/u_mbist0/net1675 (net)
-                  0.20    0.00    7.23 ^ mprj/u_mbist0/fanout1674/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.16    0.20    7.43 ^ mprj/u_mbist0/fanout1674/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net1674 (net)
-                  0.16    0.00    7.43 ^ mprj/u_mbist0/fanout1673/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.14    0.18    7.62 ^ mprj/u_mbist0/fanout1673/X (sky130_fd_sc_hd__clkbuf_1)
-     3    0.01                           mprj/u_mbist0/net1673 (net)
-                  0.14    0.00    7.62 ^ mprj/u_mbist0/fanout1672/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.20    0.24    7.85 ^ mprj/u_mbist0/fanout1672/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     3    0.02                           mprj/u_mbist0/net1672 (net)
-                  0.20    0.00    7.85 ^ mprj/u_mbist0/fanout1670/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.22    0.26    8.12 ^ mprj/u_mbist0/fanout1670/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.02                           mprj/u_mbist0/net1670 (net)
-                  0.22    0.00    8.12 ^ mprj/u_mbist0/_4424_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  8.12   data arrival time
-
-                         10.00   10.00   clock wbs_clk_i (rise edge)
-                          0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.27    0.33   10.33 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     2    0.03                           mprj/u_intercon/net2 (net)
-                  0.27    0.00   10.34 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.12   10.46 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net319 (net)
-                  0.06    0.00   10.46 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
-                  0.15    0.18   10.64 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
-                  0.15    0.00   10.64 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.15   10.79 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net198 (net)
-                  0.12    0.00   10.79 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12   10.91 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00   10.91 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.01 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00   11.02 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.13   11.15 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00   11.15 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.26 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00   11.26 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.37 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00   11.37 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00   11.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.58 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00   11.58 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12   11.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d03 (net)
-                  0.04    0.00   11.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11   11.81 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
-                  0.04    0.00   11.81 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   11.94 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
-                  0.07    0.00   11.94 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   12.07 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/net427 (net)
-                  0.05    0.00   12.07 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.80   12.87 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist0_skew (net)
-                  1.11    0.05   12.91 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31   13.23 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
-                  0.08    0.00   13.23 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   13.36 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00   13.36 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.12   13.49 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00   13.49 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.11   13.60 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00   13.60 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.38   13.98 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
-                  0.45    0.03   14.01 ^ mprj/u_mbist0/clkbuf_leaf_14_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.28   14.28 ^ mprj/u_mbist0/clkbuf_leaf_14_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_mbist0/clknet_leaf_14_wb_clk_i (net)
-                  0.11    0.00   14.29 ^ mprj/u_mbist0/_4424_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   14.04   clock uncertainty
-                          0.25   14.29   clock reconvergence pessimism
-                          0.21   14.49   library recovery time
-                                 14.49   data required time
------------------------------------------------------------------------------
-                                 14.49   data required time
-                                 -8.12   data arrival time
------------------------------------------------------------------------------
-                                  6.38   slack (MET)
-
-
-Startpoint: mprj/u_mbist1/_4498_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist1/_4424_
-          (recovery check against rising-edge clock wbs_clk_i)
-Path Group: **async_default**
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.24    0.53 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
-     2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.21    0.76 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02    0.77 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.13    0.90 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00    0.90 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.17    1.07 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
-     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00    1.07 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    1.22 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00    1.22 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    2.07 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    2.07 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.60 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00    2.60 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.46 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05    3.51 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.84 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.85 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    4.00 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_0_0_wb_clk_i (net)
-                  0.05    0.00    4.00 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    4.13 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_0_1_wb_clk_i (net)
-                  0.05    0.00    4.13 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.25 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist1/clknet_1_0_2_wb_clk_i (net)
-                  0.03    0.00    4.25 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.37    0.36    4.61 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.22                           mprj/u_mbist1/clknet_1_0_3_wb_clk_i (net)
-                  0.37    0.01    4.62 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.22    4.85 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_2_0_wb_clk_i (net)
-                  0.05    0.00    4.85 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.98 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_2_1_wb_clk_i (net)
-                  0.04    0.00    4.98 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.11 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_2_2_wb_clk_i (net)
-                  0.04    0.00    5.11 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    5.24 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_mbist1/clknet_opt_2_3_wb_clk_i (net)
-                  0.05    0.00    5.24 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    5.36 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.01                           mprj/u_mbist1/clknet_leaf_24_wb_clk_i (net)
-                  0.03    0.00    5.36 ^ mprj/u_mbist1/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.09    0.36    5.72 ^ mprj/u_mbist1/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.01                           mprj/u_mbist1/u_mbist.mem_no[0].u_cmp.rst_n (net)
-                  0.09    0.00    5.72 ^ mprj/u_mbist1/fanout1728/A (sky130_fd_sc_hd__buf_4)
-                  0.14    0.21    5.92 ^ mprj/u_mbist1/fanout1728/X (sky130_fd_sc_hd__buf_4)
-     4    0.05                           mprj/u_mbist1/net1728 (net)
-                  0.14    0.00    5.93 ^ mprj/u_mbist1/wire1729/A (sky130_fd_sc_hd__buf_4)
-                  0.24    0.28    6.21 ^ mprj/u_mbist1/wire1729/X (sky130_fd_sc_hd__buf_4)
-     2    0.09                           mprj/u_mbist1/net1729 (net)
-                  0.24    0.02    6.23 ^ mprj/u_mbist1/fanout1727/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.42    6.65 ^ mprj/u_mbist1/fanout1727/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_mbist1/net1727 (net)
-                  0.38    0.00    6.65 ^ mprj/u_mbist1/fanout1726/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.25    0.35    7.00 ^ mprj/u_mbist1/fanout1726/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.05                           mprj/u_mbist1/net1726 (net)
-                  0.25    0.00    7.00 ^ mprj/u_mbist1/fanout1676/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.29    0.33    7.33 ^ mprj/u_mbist1/fanout1676/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.03                           mprj/u_mbist1/net1676 (net)
-                  0.29    0.00    7.33 ^ mprj/u_mbist1/fanout1675/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.20    0.27    7.60 ^ mprj/u_mbist1/fanout1675/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     3    0.02                           mprj/u_mbist1/net1675 (net)
-                  0.20    0.00    7.60 ^ mprj/u_mbist1/fanout1674/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.16    0.20    7.80 ^ mprj/u_mbist1/fanout1674/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net1674 (net)
-                  0.16    0.00    7.80 ^ mprj/u_mbist1/fanout1673/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.14    0.18    7.98 ^ mprj/u_mbist1/fanout1673/X (sky130_fd_sc_hd__clkbuf_1)
-     3    0.01                           mprj/u_mbist1/net1673 (net)
-                  0.14    0.00    7.99 ^ mprj/u_mbist1/fanout1672/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.20    0.24    8.22 ^ mprj/u_mbist1/fanout1672/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     3    0.02                           mprj/u_mbist1/net1672 (net)
-                  0.20    0.00    8.22 ^ mprj/u_mbist1/fanout1670/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.22    0.26    8.48 ^ mprj/u_mbist1/fanout1670/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.02                           mprj/u_mbist1/net1670 (net)
-                  0.22    0.00    8.49 ^ mprj/u_mbist1/_4424_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  8.49   data arrival time
-
-                         10.00   10.00   clock wbs_clk_i (rise edge)
-                          0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.27   10.27 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00   10.27 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.22   10.49 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
-     2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01   10.50 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.20   10.70 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02   10.72 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12   10.84 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00   10.84 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.15   10.99 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
-     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00   10.99 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14   11.14 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00   11.14 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12   11.25 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00   11.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.36 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00   11.36 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.13   11.49 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00   11.49 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.60 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00   11.60 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.71 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00   11.71 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.82 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00   11.82 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.92 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00   11.92 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12   12.04 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00   12.04 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11   12.15 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00   12.15 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   12.28 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00   12.28 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   12.41 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00   12.41 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.80   13.21 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05   13.26 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31   13.57 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00   13.57 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   13.70 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00   13.71 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.12   13.83 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00   13.83 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.11   13.94 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00   13.94 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.38   14.32 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
-                  0.45    0.03   14.35 ^ mprj/u_mbist1/clkbuf_leaf_14_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.28   14.63 ^ mprj/u_mbist1/clkbuf_leaf_14_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_mbist1/clknet_leaf_14_wb_clk_i (net)
-                  0.11    0.00   14.63 ^ mprj/u_mbist1/_4424_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   14.38   clock uncertainty
-                          0.28   14.65   clock reconvergence pessimism
-                          0.21   14.86   library recovery time
-                                 14.86   data required time
------------------------------------------------------------------------------
-                                 14.86   data required time
-                                 -8.49   data arrival time
------------------------------------------------------------------------------
-                                  6.38   slack (MET)
-
-
-Startpoint: mprj/u_mbist0/_4498_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist0/_4469_
-          (recovery check against rising-edge clock wbs_clk_i)
-Path Group: **async_default**
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.27    0.36    0.36 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     2    0.03                           mprj/u_intercon/net2 (net)
-                  0.27    0.00    0.36 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.13    0.50 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net319 (net)
-                  0.06    0.00    0.50 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
-                  0.15    0.19    0.69 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
-                  0.15    0.00    0.69 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    0.86 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net198 (net)
-                  0.12    0.00    0.86 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.70 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    1.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d03 (net)
-                  0.04    0.00    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
-                  0.04    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.23 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/net427 (net)
-                  0.05    0.00    2.23 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.09 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist0_skew (net)
-                  1.11    0.05    3.14 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.48 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.48 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.63 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_0_0_wb_clk_i (net)
-                  0.05    0.00    3.63 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    3.77 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_0_1_wb_clk_i (net)
-                  0.05    0.00    3.77 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    3.89 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist0/clknet_1_0_2_wb_clk_i (net)
-                  0.03    0.00    3.89 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.37    0.36    4.25 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.22                           mprj/u_mbist0/clknet_1_0_3_wb_clk_i (net)
-                  0.37    0.01    4.26 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.22    4.48 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_2_0_wb_clk_i (net)
-                  0.05    0.00    4.48 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.61 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_2_1_wb_clk_i (net)
-                  0.04    0.00    4.61 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.74 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_2_2_wb_clk_i (net)
-                  0.04    0.00    4.74 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.87 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_mbist0/clknet_opt_2_3_wb_clk_i (net)
-                  0.05    0.00    4.87 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    4.99 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.01                           mprj/u_mbist0/clknet_leaf_24_wb_clk_i (net)
-                  0.03    0.00    4.99 ^ mprj/u_mbist0/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.09    0.36    5.35 ^ mprj/u_mbist0/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.01                           mprj/u_mbist0/u_mbist.mem_no[0].u_cmp.rst_n (net)
-                  0.09    0.00    5.35 ^ mprj/u_mbist0/fanout1728/A (sky130_fd_sc_hd__buf_4)
-                  0.14    0.21    5.56 ^ mprj/u_mbist0/fanout1728/X (sky130_fd_sc_hd__buf_4)
-     4    0.05                           mprj/u_mbist0/net1728 (net)
-                  0.14    0.00    5.56 ^ mprj/u_mbist0/wire1729/A (sky130_fd_sc_hd__buf_4)
-                  0.24    0.28    5.84 ^ mprj/u_mbist0/wire1729/X (sky130_fd_sc_hd__buf_4)
-     2    0.09                           mprj/u_mbist0/net1729 (net)
-                  0.24    0.02    5.86 ^ mprj/u_mbist0/fanout1727/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.42    6.28 ^ mprj/u_mbist0/fanout1727/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_mbist0/net1727 (net)
-                  0.38    0.00    6.29 ^ mprj/u_mbist0/fanout1726/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.25    0.35    6.63 ^ mprj/u_mbist0/fanout1726/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.05                           mprj/u_mbist0/net1726 (net)
-                  0.25    0.00    6.63 ^ mprj/u_mbist0/fanout1676/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.29    0.33    6.96 ^ mprj/u_mbist0/fanout1676/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.03                           mprj/u_mbist0/net1676 (net)
-                  0.29    0.00    6.97 ^ mprj/u_mbist0/fanout1675/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.20    0.27    7.23 ^ mprj/u_mbist0/fanout1675/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     3    0.02                           mprj/u_mbist0/net1675 (net)
-                  0.20    0.00    7.23 ^ mprj/u_mbist0/fanout1674/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.16    0.20    7.43 ^ mprj/u_mbist0/fanout1674/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net1674 (net)
-                  0.16    0.00    7.43 ^ mprj/u_mbist0/fanout1673/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.14    0.18    7.62 ^ mprj/u_mbist0/fanout1673/X (sky130_fd_sc_hd__clkbuf_1)
-     3    0.01                           mprj/u_mbist0/net1673 (net)
-                  0.14    0.00    7.62 ^ mprj/u_mbist0/fanout1672/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.20    0.24    7.85 ^ mprj/u_mbist0/fanout1672/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     3    0.02                           mprj/u_mbist0/net1672 (net)
-                  0.20    0.00    7.85 ^ mprj/u_mbist0/fanout1670/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.22    0.26    8.12 ^ mprj/u_mbist0/fanout1670/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.02                           mprj/u_mbist0/net1670 (net)
-                  0.22    0.00    8.12 ^ mprj/u_mbist0/_4469_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  8.12   data arrival time
-
-                         10.00   10.00   clock wbs_clk_i (rise edge)
-                          0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.27    0.33   10.33 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     2    0.03                           mprj/u_intercon/net2 (net)
-                  0.27    0.00   10.34 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.12   10.46 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net319 (net)
-                  0.06    0.00   10.46 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
-                  0.15    0.18   10.64 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
-                  0.15    0.00   10.64 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.15   10.79 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net198 (net)
-                  0.12    0.00   10.79 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12   10.91 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00   10.91 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.01 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00   11.02 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.13   11.15 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00   11.15 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.26 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00   11.26 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.37 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00   11.37 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00   11.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.58 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00   11.58 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12   11.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d03 (net)
-                  0.04    0.00   11.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11   11.81 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
-                  0.04    0.00   11.81 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   11.94 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
-                  0.07    0.00   11.94 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   12.07 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/net427 (net)
-                  0.05    0.00   12.07 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.80   12.87 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist0_skew (net)
-                  1.11    0.05   12.91 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31   13.23 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
-                  0.08    0.00   13.23 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   13.36 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00   13.36 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.12   13.49 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00   13.49 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.11   13.60 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00   13.60 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.38   13.98 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
-                  0.45    0.03   14.01 ^ mprj/u_mbist0/clkbuf_leaf_14_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.28   14.28 ^ mprj/u_mbist0/clkbuf_leaf_14_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_mbist0/clknet_leaf_14_wb_clk_i (net)
-                  0.11    0.00   14.29 ^ mprj/u_mbist0/_4469_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   14.04   clock uncertainty
-                          0.25   14.29   clock reconvergence pessimism
-                          0.21   14.49   library recovery time
-                                 14.49   data required time
------------------------------------------------------------------------------
-                                 14.49   data required time
-                                 -8.12   data arrival time
------------------------------------------------------------------------------
-                                  6.38   slack (MET)
-
-
-Startpoint: mprj/u_mbist1/_4498_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist1/_4469_
-          (recovery check against rising-edge clock wbs_clk_i)
-Path Group: **async_default**
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.24    0.53 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
-     2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.21    0.76 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02    0.77 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.13    0.90 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00    0.90 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.17    1.07 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
-     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00    1.07 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    1.22 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00    1.22 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    2.07 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    2.07 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.60 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00    2.60 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.46 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05    3.51 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.84 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.85 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    4.00 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_0_0_wb_clk_i (net)
-                  0.05    0.00    4.00 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    4.13 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_0_1_wb_clk_i (net)
-                  0.05    0.00    4.13 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.25 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist1/clknet_1_0_2_wb_clk_i (net)
-                  0.03    0.00    4.25 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.37    0.36    4.61 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.22                           mprj/u_mbist1/clknet_1_0_3_wb_clk_i (net)
-                  0.37    0.01    4.62 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.22    4.85 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_2_0_wb_clk_i (net)
-                  0.05    0.00    4.85 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.98 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_2_1_wb_clk_i (net)
-                  0.04    0.00    4.98 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.11 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_2_2_wb_clk_i (net)
-                  0.04    0.00    5.11 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    5.24 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_mbist1/clknet_opt_2_3_wb_clk_i (net)
-                  0.05    0.00    5.24 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    5.36 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.01                           mprj/u_mbist1/clknet_leaf_24_wb_clk_i (net)
-                  0.03    0.00    5.36 ^ mprj/u_mbist1/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.09    0.36    5.72 ^ mprj/u_mbist1/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.01                           mprj/u_mbist1/u_mbist.mem_no[0].u_cmp.rst_n (net)
-                  0.09    0.00    5.72 ^ mprj/u_mbist1/fanout1728/A (sky130_fd_sc_hd__buf_4)
-                  0.14    0.21    5.92 ^ mprj/u_mbist1/fanout1728/X (sky130_fd_sc_hd__buf_4)
-     4    0.05                           mprj/u_mbist1/net1728 (net)
-                  0.14    0.00    5.93 ^ mprj/u_mbist1/wire1729/A (sky130_fd_sc_hd__buf_4)
-                  0.24    0.28    6.21 ^ mprj/u_mbist1/wire1729/X (sky130_fd_sc_hd__buf_4)
-     2    0.09                           mprj/u_mbist1/net1729 (net)
-                  0.24    0.02    6.23 ^ mprj/u_mbist1/fanout1727/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.42    6.65 ^ mprj/u_mbist1/fanout1727/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_mbist1/net1727 (net)
-                  0.38    0.00    6.65 ^ mprj/u_mbist1/fanout1726/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.25    0.35    7.00 ^ mprj/u_mbist1/fanout1726/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.05                           mprj/u_mbist1/net1726 (net)
-                  0.25    0.00    7.00 ^ mprj/u_mbist1/fanout1676/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.29    0.33    7.33 ^ mprj/u_mbist1/fanout1676/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.03                           mprj/u_mbist1/net1676 (net)
-                  0.29    0.00    7.33 ^ mprj/u_mbist1/fanout1675/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.20    0.27    7.60 ^ mprj/u_mbist1/fanout1675/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     3    0.02                           mprj/u_mbist1/net1675 (net)
-                  0.20    0.00    7.60 ^ mprj/u_mbist1/fanout1674/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.16    0.20    7.80 ^ mprj/u_mbist1/fanout1674/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net1674 (net)
-                  0.16    0.00    7.80 ^ mprj/u_mbist1/fanout1673/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.14    0.18    7.98 ^ mprj/u_mbist1/fanout1673/X (sky130_fd_sc_hd__clkbuf_1)
-     3    0.01                           mprj/u_mbist1/net1673 (net)
-                  0.14    0.00    7.99 ^ mprj/u_mbist1/fanout1672/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.20    0.24    8.22 ^ mprj/u_mbist1/fanout1672/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     3    0.02                           mprj/u_mbist1/net1672 (net)
-                  0.20    0.00    8.22 ^ mprj/u_mbist1/fanout1670/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.22    0.26    8.48 ^ mprj/u_mbist1/fanout1670/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.02                           mprj/u_mbist1/net1670 (net)
-                  0.22    0.00    8.49 ^ mprj/u_mbist1/_4469_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  8.49   data arrival time
-
-                         10.00   10.00   clock wbs_clk_i (rise edge)
-                          0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.27   10.27 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00   10.27 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.22   10.49 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
-     2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01   10.50 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.20   10.70 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02   10.72 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12   10.84 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00   10.84 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.15   10.99 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
-     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00   10.99 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14   11.14 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00   11.14 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12   11.25 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00   11.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.36 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00   11.36 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.13   11.49 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00   11.49 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.60 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00   11.60 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.71 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00   11.71 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.82 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00   11.82 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.92 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00   11.92 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12   12.04 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00   12.04 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11   12.15 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00   12.15 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   12.28 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00   12.28 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   12.41 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00   12.41 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.80   13.21 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05   13.26 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31   13.57 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00   13.57 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   13.70 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00   13.71 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.12   13.83 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00   13.83 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.11   13.94 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00   13.94 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.38   14.32 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
-                  0.45    0.03   14.35 ^ mprj/u_mbist1/clkbuf_leaf_14_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.28   14.63 ^ mprj/u_mbist1/clkbuf_leaf_14_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_mbist1/clknet_leaf_14_wb_clk_i (net)
-                  0.11    0.00   14.63 ^ mprj/u_mbist1/_4469_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   14.38   clock uncertainty
-                          0.28   14.66   clock reconvergence pessimism
-                          0.21   14.86   library recovery time
-                                 14.86   data required time
------------------------------------------------------------------------------
-                                 14.86   data required time
-                                 -8.49   data arrival time
------------------------------------------------------------------------------
-                                  6.38   slack (MET)
-
-
-Startpoint: mprj/u_mbist0/_4498_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist0/_4430_
-          (recovery check against rising-edge clock wbs_clk_i)
-Path Group: **async_default**
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.27    0.36    0.36 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     2    0.03                           mprj/u_intercon/net2 (net)
-                  0.27    0.00    0.36 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.13    0.50 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net319 (net)
-                  0.06    0.00    0.50 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
-                  0.15    0.19    0.69 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
-                  0.15    0.00    0.69 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    0.86 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net198 (net)
-                  0.12    0.00    0.86 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.70 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    1.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d03 (net)
-                  0.04    0.00    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
-                  0.04    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.23 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/net427 (net)
-                  0.05    0.00    2.23 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.09 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist0_skew (net)
-                  1.11    0.05    3.14 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.48 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.48 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.63 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_0_0_wb_clk_i (net)
-                  0.05    0.00    3.63 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    3.77 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_0_1_wb_clk_i (net)
-                  0.05    0.00    3.77 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    3.89 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist0/clknet_1_0_2_wb_clk_i (net)
-                  0.03    0.00    3.89 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.37    0.36    4.25 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.22                           mprj/u_mbist0/clknet_1_0_3_wb_clk_i (net)
-                  0.37    0.01    4.26 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.22    4.48 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_2_0_wb_clk_i (net)
-                  0.05    0.00    4.48 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.61 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_2_1_wb_clk_i (net)
-                  0.04    0.00    4.61 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.74 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_2_2_wb_clk_i (net)
-                  0.04    0.00    4.74 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.87 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_mbist0/clknet_opt_2_3_wb_clk_i (net)
-                  0.05    0.00    4.87 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    4.99 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.01                           mprj/u_mbist0/clknet_leaf_24_wb_clk_i (net)
-                  0.03    0.00    4.99 ^ mprj/u_mbist0/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.09    0.36    5.35 ^ mprj/u_mbist0/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.01                           mprj/u_mbist0/u_mbist.mem_no[0].u_cmp.rst_n (net)
-                  0.09    0.00    5.35 ^ mprj/u_mbist0/fanout1728/A (sky130_fd_sc_hd__buf_4)
-                  0.14    0.21    5.56 ^ mprj/u_mbist0/fanout1728/X (sky130_fd_sc_hd__buf_4)
-     4    0.05                           mprj/u_mbist0/net1728 (net)
-                  0.14    0.00    5.56 ^ mprj/u_mbist0/wire1729/A (sky130_fd_sc_hd__buf_4)
-                  0.24    0.28    5.84 ^ mprj/u_mbist0/wire1729/X (sky130_fd_sc_hd__buf_4)
-     2    0.09                           mprj/u_mbist0/net1729 (net)
-                  0.24    0.02    5.86 ^ mprj/u_mbist0/fanout1727/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.42    6.28 ^ mprj/u_mbist0/fanout1727/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_mbist0/net1727 (net)
-                  0.38    0.00    6.29 ^ mprj/u_mbist0/fanout1726/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.25    0.35    6.63 ^ mprj/u_mbist0/fanout1726/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.05                           mprj/u_mbist0/net1726 (net)
-                  0.25    0.00    6.63 ^ mprj/u_mbist0/fanout1676/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.29    0.33    6.96 ^ mprj/u_mbist0/fanout1676/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.03                           mprj/u_mbist0/net1676 (net)
-                  0.29    0.00    6.97 ^ mprj/u_mbist0/fanout1675/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.20    0.27    7.23 ^ mprj/u_mbist0/fanout1675/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     3    0.02                           mprj/u_mbist0/net1675 (net)
-                  0.20    0.00    7.23 ^ mprj/u_mbist0/fanout1674/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.16    0.20    7.43 ^ mprj/u_mbist0/fanout1674/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net1674 (net)
-                  0.16    0.00    7.43 ^ mprj/u_mbist0/fanout1667/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.22    0.26    7.69 ^ mprj/u_mbist0/fanout1667/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.02                           mprj/u_mbist0/net1667 (net)
-                  0.22    0.00    7.69 ^ mprj/u_mbist0/fanout1663/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.24    0.28    7.97 ^ mprj/u_mbist0/fanout1663/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.02                           mprj/u_mbist0/net1663 (net)
-                  0.24    0.00    7.97 ^ mprj/u_mbist0/fanout1662/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.18    8.15 ^ mprj/u_mbist0/fanout1662/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net1662 (net)
-                  0.12    0.00    8.15 ^ mprj/u_mbist0/_4430_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  8.15   data arrival time
-
-                         10.00   10.00   clock wbs_clk_i (rise edge)
-                          0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.27    0.33   10.33 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     2    0.03                           mprj/u_intercon/net2 (net)
-                  0.27    0.00   10.34 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.12   10.46 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net319 (net)
-                  0.06    0.00   10.46 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
-                  0.15    0.18   10.64 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
-                  0.15    0.00   10.64 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.15   10.79 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net198 (net)
-                  0.12    0.00   10.79 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12   10.91 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00   10.91 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.01 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00   11.02 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.13   11.15 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00   11.15 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.26 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00   11.26 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.37 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00   11.37 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00   11.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.58 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00   11.58 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12   11.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d03 (net)
-                  0.04    0.00   11.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11   11.81 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
-                  0.04    0.00   11.81 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   11.94 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
-                  0.07    0.00   11.94 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   12.07 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/net427 (net)
-                  0.05    0.00   12.07 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.80   12.87 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist0_skew (net)
-                  1.11    0.05   12.91 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31   13.23 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
-                  0.08    0.00   13.23 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   13.36 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00   13.36 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.12   13.49 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00   13.49 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.11   13.60 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00   13.60 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.38   13.98 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
-                  0.45    0.03   14.01 ^ mprj/u_mbist0/clkbuf_leaf_15_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.12    0.28   14.29 ^ mprj/u_mbist0/clkbuf_leaf_15_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.10                           mprj/u_mbist0/clknet_leaf_15_wb_clk_i (net)
-                  0.12    0.00   14.29 ^ mprj/u_mbist0/_4430_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   14.04   clock uncertainty
-                          0.25   14.29   clock reconvergence pessimism
-                          0.23   14.53   library recovery time
-                                 14.53   data required time
------------------------------------------------------------------------------
-                                 14.53   data required time
-                                 -8.15   data arrival time
------------------------------------------------------------------------------
-                                  6.38   slack (MET)
-
-
-Startpoint: mprj/u_mbist1/_4498_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist1/_4430_
-          (recovery check against rising-edge clock wbs_clk_i)
-Path Group: **async_default**
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.24    0.53 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
-     2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.21    0.76 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02    0.77 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.13    0.90 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00    0.90 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.17    1.07 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
-     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00    1.07 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    1.22 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00    1.22 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    2.07 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    2.07 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.60 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00    2.60 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.46 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05    3.51 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.84 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.85 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    4.00 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_0_0_wb_clk_i (net)
-                  0.05    0.00    4.00 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    4.13 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_0_1_wb_clk_i (net)
-                  0.05    0.00    4.13 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.25 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist1/clknet_1_0_2_wb_clk_i (net)
-                  0.03    0.00    4.25 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.37    0.36    4.61 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.22                           mprj/u_mbist1/clknet_1_0_3_wb_clk_i (net)
-                  0.37    0.01    4.62 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.22    4.85 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_2_0_wb_clk_i (net)
-                  0.05    0.00    4.85 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.98 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_2_1_wb_clk_i (net)
-                  0.04    0.00    4.98 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.11 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_2_2_wb_clk_i (net)
-                  0.04    0.00    5.11 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    5.24 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_mbist1/clknet_opt_2_3_wb_clk_i (net)
-                  0.05    0.00    5.24 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    5.36 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.01                           mprj/u_mbist1/clknet_leaf_24_wb_clk_i (net)
-                  0.03    0.00    5.36 ^ mprj/u_mbist1/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.09    0.36    5.72 ^ mprj/u_mbist1/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.01                           mprj/u_mbist1/u_mbist.mem_no[0].u_cmp.rst_n (net)
-                  0.09    0.00    5.72 ^ mprj/u_mbist1/fanout1728/A (sky130_fd_sc_hd__buf_4)
-                  0.14    0.21    5.92 ^ mprj/u_mbist1/fanout1728/X (sky130_fd_sc_hd__buf_4)
-     4    0.05                           mprj/u_mbist1/net1728 (net)
-                  0.14    0.00    5.93 ^ mprj/u_mbist1/wire1729/A (sky130_fd_sc_hd__buf_4)
-                  0.24    0.28    6.21 ^ mprj/u_mbist1/wire1729/X (sky130_fd_sc_hd__buf_4)
-     2    0.09                           mprj/u_mbist1/net1729 (net)
-                  0.24    0.02    6.23 ^ mprj/u_mbist1/fanout1727/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.42    6.65 ^ mprj/u_mbist1/fanout1727/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_mbist1/net1727 (net)
-                  0.38    0.00    6.65 ^ mprj/u_mbist1/fanout1726/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.25    0.35    7.00 ^ mprj/u_mbist1/fanout1726/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.05                           mprj/u_mbist1/net1726 (net)
-                  0.25    0.00    7.00 ^ mprj/u_mbist1/fanout1676/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.29    0.33    7.33 ^ mprj/u_mbist1/fanout1676/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.03                           mprj/u_mbist1/net1676 (net)
-                  0.29    0.00    7.33 ^ mprj/u_mbist1/fanout1675/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.20    0.27    7.60 ^ mprj/u_mbist1/fanout1675/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     3    0.02                           mprj/u_mbist1/net1675 (net)
-                  0.20    0.00    7.60 ^ mprj/u_mbist1/fanout1674/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.16    0.20    7.80 ^ mprj/u_mbist1/fanout1674/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net1674 (net)
-                  0.16    0.00    7.80 ^ mprj/u_mbist1/fanout1667/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.22    0.26    8.06 ^ mprj/u_mbist1/fanout1667/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.02                           mprj/u_mbist1/net1667 (net)
-                  0.22    0.00    8.06 ^ mprj/u_mbist1/fanout1663/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.24    0.28    8.34 ^ mprj/u_mbist1/fanout1663/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.02                           mprj/u_mbist1/net1663 (net)
-                  0.24    0.00    8.34 ^ mprj/u_mbist1/fanout1662/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.18    8.52 ^ mprj/u_mbist1/fanout1662/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net1662 (net)
-                  0.12    0.00    8.52 ^ mprj/u_mbist1/_4430_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  8.52   data arrival time
-
-                         10.00   10.00   clock wbs_clk_i (rise edge)
-                          0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.27   10.27 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00   10.27 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.22   10.49 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
-     2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01   10.50 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.20   10.70 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02   10.72 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12   10.84 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00   10.84 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.15   10.99 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
-     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00   10.99 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14   11.14 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00   11.14 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12   11.25 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00   11.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.36 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00   11.36 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.13   11.49 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00   11.49 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.60 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00   11.60 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.71 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00   11.71 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.82 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00   11.82 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.92 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00   11.92 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12   12.04 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00   12.04 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11   12.15 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00   12.15 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   12.28 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00   12.28 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   12.41 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00   12.41 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.80   13.21 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05   13.26 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31   13.57 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00   13.57 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   13.70 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00   13.71 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.12   13.83 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00   13.83 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.11   13.94 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00   13.94 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.38   14.32 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
-                  0.45    0.03   14.35 ^ mprj/u_mbist1/clkbuf_leaf_15_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.12    0.28   14.63 ^ mprj/u_mbist1/clkbuf_leaf_15_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.10                           mprj/u_mbist1/clknet_leaf_15_wb_clk_i (net)
-                  0.12    0.00   14.63 ^ mprj/u_mbist1/_4430_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   14.38   clock uncertainty
-                          0.28   14.66   clock reconvergence pessimism
-                          0.23   14.90   library recovery time
-                                 14.90   data required time
------------------------------------------------------------------------------
-                                 14.90   data required time
-                                 -8.52   data arrival time
------------------------------------------------------------------------------
-                                  6.38   slack (MET)
-
-
-Startpoint: mprj/u_mbist0/_4498_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist0/_4578_
-          (recovery check against rising-edge clock wbs_clk_i)
-Path Group: **async_default**
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.27    0.36    0.36 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     2    0.03                           mprj/u_intercon/net2 (net)
-                  0.27    0.00    0.36 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.13    0.50 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net319 (net)
-                  0.06    0.00    0.50 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
-                  0.15    0.19    0.69 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
-                  0.15    0.00    0.69 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    0.86 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net198 (net)
-                  0.12    0.00    0.86 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.70 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    1.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d03 (net)
-                  0.04    0.00    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
-                  0.04    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.23 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/net427 (net)
-                  0.05    0.00    2.23 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.09 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist0_skew (net)
-                  1.11    0.05    3.14 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.48 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.48 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.63 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_0_0_wb_clk_i (net)
-                  0.05    0.00    3.63 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    3.77 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_0_1_wb_clk_i (net)
-                  0.05    0.00    3.77 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    3.89 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist0/clknet_1_0_2_wb_clk_i (net)
-                  0.03    0.00    3.89 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.37    0.36    4.25 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.22                           mprj/u_mbist0/clknet_1_0_3_wb_clk_i (net)
-                  0.37    0.01    4.26 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.22    4.48 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_2_0_wb_clk_i (net)
-                  0.05    0.00    4.48 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.61 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_2_1_wb_clk_i (net)
-                  0.04    0.00    4.61 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.74 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_2_2_wb_clk_i (net)
-                  0.04    0.00    4.74 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.87 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_mbist0/clknet_opt_2_3_wb_clk_i (net)
-                  0.05    0.00    4.87 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    4.99 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.01                           mprj/u_mbist0/clknet_leaf_24_wb_clk_i (net)
-                  0.03    0.00    4.99 ^ mprj/u_mbist0/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.09    0.36    5.35 ^ mprj/u_mbist0/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.01                           mprj/u_mbist0/u_mbist.mem_no[0].u_cmp.rst_n (net)
-                  0.09    0.00    5.35 ^ mprj/u_mbist0/fanout1728/A (sky130_fd_sc_hd__buf_4)
-                  0.14    0.21    5.56 ^ mprj/u_mbist0/fanout1728/X (sky130_fd_sc_hd__buf_4)
-     4    0.05                           mprj/u_mbist0/net1728 (net)
-                  0.14    0.00    5.56 ^ mprj/u_mbist0/wire1729/A (sky130_fd_sc_hd__buf_4)
-                  0.24    0.28    5.84 ^ mprj/u_mbist0/wire1729/X (sky130_fd_sc_hd__buf_4)
-     2    0.09                           mprj/u_mbist0/net1729 (net)
-                  0.24    0.02    5.86 ^ mprj/u_mbist0/fanout1727/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.42    6.28 ^ mprj/u_mbist0/fanout1727/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_mbist0/net1727 (net)
-                  0.38    0.00    6.29 ^ mprj/u_mbist0/fanout1726/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.25    0.35    6.63 ^ mprj/u_mbist0/fanout1726/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.05                           mprj/u_mbist0/net1726 (net)
-                  0.25    0.00    6.64 ^ mprj/u_mbist0/fanout1725/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.32    0.35    6.98 ^ mprj/u_mbist0/fanout1725/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.03                           mprj/u_mbist0/net1725 (net)
-                  0.32    0.00    6.98 ^ mprj/u_mbist0/fanout1697/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.19    7.17 ^ mprj/u_mbist0/fanout1697/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net1697 (net)
-                  0.12    0.00    7.17 ^ mprj/u_mbist0/fanout1696/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.25    0.26    7.42 ^ mprj/u_mbist0/fanout1696/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.02                           mprj/u_mbist0/net1696 (net)
-                  0.25    0.00    7.42 ^ mprj/u_mbist0/fanout1690/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.18    0.23    7.65 ^ mprj/u_mbist0/fanout1690/X (sky130_fd_sc_hd__clkbuf_1)
-     3    0.02                           mprj/u_mbist0/net1690 (net)
-                  0.18    0.00    7.65 ^ mprj/u_mbist0/fanout1689/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.22    0.26    7.91 ^ mprj/u_mbist0/fanout1689/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.02                           mprj/u_mbist0/net1689 (net)
-                  0.22    0.00    7.91 ^ mprj/u_mbist0/fanout1686/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.12    0.22    8.13 ^ mprj/u_mbist0/fanout1686/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.02                           mprj/u_mbist0/net1686 (net)
-                  0.12    0.00    8.13 ^ mprj/u_mbist0/_4578_/RESET_B (sky130_fd_sc_hd__dfrtp_2)
-                                  8.13   data arrival time
-
-                         10.00   10.00   clock wbs_clk_i (rise edge)
-                          0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.27    0.33   10.33 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     2    0.03                           mprj/u_intercon/net2 (net)
-                  0.27    0.00   10.34 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.12   10.46 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net319 (net)
-                  0.06    0.00   10.46 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
-                  0.15    0.18   10.64 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
-                  0.15    0.00   10.64 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.15   10.79 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net198 (net)
-                  0.12    0.00   10.79 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12   10.91 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00   10.91 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.01 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00   11.02 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.13   11.15 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00   11.15 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.26 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00   11.26 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.37 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00   11.37 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00   11.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.58 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00   11.58 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12   11.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d03 (net)
-                  0.04    0.00   11.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11   11.81 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
-                  0.04    0.00   11.81 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   11.94 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
-                  0.07    0.00   11.94 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   12.07 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/net427 (net)
-                  0.05    0.00   12.07 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.80   12.87 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist0_skew (net)
-                  1.11    0.05   12.91 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31   13.23 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
-                  0.08    0.00   13.23 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   13.36 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00   13.36 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.12   13.49 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00   13.49 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.11   13.60 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00   13.60 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.38   13.98 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
-                  0.45    0.03   14.01 ^ mprj/u_mbist0/clkbuf_leaf_11_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.12    0.29   14.29 ^ mprj/u_mbist0/clkbuf_leaf_11_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.10                           mprj/u_mbist0/clknet_leaf_11_wb_clk_i (net)
-                  0.12    0.00   14.29 ^ mprj/u_mbist0/_4578_/CLK (sky130_fd_sc_hd__dfrtp_2)
-                         -0.25   14.04   clock uncertainty
-                          0.25   14.30   clock reconvergence pessimism
-                          0.22   14.52   library recovery time
-                                 14.52   data required time
------------------------------------------------------------------------------
-                                 14.52   data required time
-                                 -8.13   data arrival time
------------------------------------------------------------------------------
-                                  6.38   slack (MET)
-
-
-Startpoint: mprj/u_mbist1/_4498_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist1/_4578_
-          (recovery check against rising-edge clock wbs_clk_i)
-Path Group: **async_default**
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.24    0.53 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
-     2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.21    0.76 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02    0.77 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.13    0.90 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00    0.90 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.17    1.07 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
-     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00    1.07 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    1.22 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00    1.22 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    2.07 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    2.07 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.60 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00    2.60 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.46 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05    3.51 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.84 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.85 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    4.00 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_0_0_wb_clk_i (net)
-                  0.05    0.00    4.00 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    4.13 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_0_1_wb_clk_i (net)
-                  0.05    0.00    4.13 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.25 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist1/clknet_1_0_2_wb_clk_i (net)
-                  0.03    0.00    4.25 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.37    0.36    4.61 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.22                           mprj/u_mbist1/clknet_1_0_3_wb_clk_i (net)
-                  0.37    0.01    4.62 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.22    4.85 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_2_0_wb_clk_i (net)
-                  0.05    0.00    4.85 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.98 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_2_1_wb_clk_i (net)
-                  0.04    0.00    4.98 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.11 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_2_2_wb_clk_i (net)
-                  0.04    0.00    5.11 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    5.24 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_mbist1/clknet_opt_2_3_wb_clk_i (net)
-                  0.05    0.00    5.24 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    5.36 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.01                           mprj/u_mbist1/clknet_leaf_24_wb_clk_i (net)
-                  0.03    0.00    5.36 ^ mprj/u_mbist1/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.09    0.36    5.72 ^ mprj/u_mbist1/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.01                           mprj/u_mbist1/u_mbist.mem_no[0].u_cmp.rst_n (net)
-                  0.09    0.00    5.72 ^ mprj/u_mbist1/fanout1728/A (sky130_fd_sc_hd__buf_4)
-                  0.14    0.21    5.92 ^ mprj/u_mbist1/fanout1728/X (sky130_fd_sc_hd__buf_4)
-     4    0.05                           mprj/u_mbist1/net1728 (net)
-                  0.14    0.00    5.93 ^ mprj/u_mbist1/wire1729/A (sky130_fd_sc_hd__buf_4)
-                  0.24    0.28    6.21 ^ mprj/u_mbist1/wire1729/X (sky130_fd_sc_hd__buf_4)
-     2    0.09                           mprj/u_mbist1/net1729 (net)
-                  0.24    0.02    6.23 ^ mprj/u_mbist1/fanout1727/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.42    6.65 ^ mprj/u_mbist1/fanout1727/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_mbist1/net1727 (net)
-                  0.38    0.00    6.65 ^ mprj/u_mbist1/fanout1726/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.25    0.35    7.00 ^ mprj/u_mbist1/fanout1726/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.05                           mprj/u_mbist1/net1726 (net)
-                  0.25    0.00    7.01 ^ mprj/u_mbist1/fanout1725/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.32    0.35    7.35 ^ mprj/u_mbist1/fanout1725/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.03                           mprj/u_mbist1/net1725 (net)
-                  0.32    0.00    7.35 ^ mprj/u_mbist1/fanout1697/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.19    7.54 ^ mprj/u_mbist1/fanout1697/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net1697 (net)
-                  0.12    0.00    7.54 ^ mprj/u_mbist1/fanout1696/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.25    0.26    7.79 ^ mprj/u_mbist1/fanout1696/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.02                           mprj/u_mbist1/net1696 (net)
-                  0.25    0.00    7.79 ^ mprj/u_mbist1/fanout1690/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.18    0.23    8.02 ^ mprj/u_mbist1/fanout1690/X (sky130_fd_sc_hd__clkbuf_1)
-     3    0.02                           mprj/u_mbist1/net1690 (net)
-                  0.18    0.00    8.02 ^ mprj/u_mbist1/fanout1689/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.22    0.26    8.28 ^ mprj/u_mbist1/fanout1689/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.02                           mprj/u_mbist1/net1689 (net)
-                  0.22    0.00    8.28 ^ mprj/u_mbist1/fanout1686/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.12    0.22    8.50 ^ mprj/u_mbist1/fanout1686/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.02                           mprj/u_mbist1/net1686 (net)
-                  0.12    0.00    8.50 ^ mprj/u_mbist1/_4578_/RESET_B (sky130_fd_sc_hd__dfrtp_2)
-                                  8.50   data arrival time
-
-                         10.00   10.00   clock wbs_clk_i (rise edge)
-                          0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.27   10.27 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00   10.27 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.22   10.49 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
-     2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01   10.50 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.20   10.70 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02   10.72 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12   10.84 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00   10.84 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.15   10.99 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
-     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00   10.99 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14   11.14 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00   11.14 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12   11.25 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00   11.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.36 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00   11.36 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.13   11.49 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00   11.49 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.60 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00   11.60 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.71 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00   11.71 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.82 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00   11.82 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.92 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00   11.92 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12   12.04 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00   12.04 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11   12.15 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00   12.15 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   12.28 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00   12.28 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   12.41 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00   12.41 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.80   13.21 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05   13.26 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31   13.57 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00   13.57 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   13.70 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00   13.71 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.12   13.83 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00   13.83 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.11   13.94 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00   13.94 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.38   14.32 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
-                  0.45    0.03   14.35 ^ mprj/u_mbist1/clkbuf_leaf_11_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.12    0.29   14.64 ^ mprj/u_mbist1/clkbuf_leaf_11_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.10                           mprj/u_mbist1/clknet_leaf_11_wb_clk_i (net)
-                  0.12    0.00   14.64 ^ mprj/u_mbist1/_4578_/CLK (sky130_fd_sc_hd__dfrtp_2)
-                         -0.25   14.39   clock uncertainty
-                          0.28   14.66   clock reconvergence pessimism
-                          0.22   14.88   library recovery time
-                                 14.88   data required time
------------------------------------------------------------------------------
-                                 14.88   data required time
-                                 -8.50   data arrival time
------------------------------------------------------------------------------
-                                  6.38   slack (MET)
+                                  6.24   slack (MET)
 
 
 Startpoint: mprj/u_mbist0/_4498_
@@ -86783,202 +100219,208 @@
 -----------------------------------------------------------------------------
                           0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.27    0.36    0.36 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     2    0.03                           mprj/u_intercon/net2 (net)
-                  0.27    0.00    0.36 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.13    0.50 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net319 (net)
-                  0.06    0.00    0.50 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
-                  0.15    0.19    0.69 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.44    0.44 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00    0.45 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.20    0.65 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00    0.65 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.23    0.87 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
      2    0.03                           mprj/wbd_clk_mbist0_rp (net)
-                  0.15    0.00    0.69 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    0.86 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.00    0.88 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.17    1.04 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/net198 (net)
-                  0.12    0.00    0.86 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00    1.04 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.00    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.00    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.12    1.55 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.70 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    1.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d03 (net)
-                  0.04    0.00    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00    1.55 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
-                  0.04    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
      1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.23 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.08 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist0/net427 (net)
-                  0.05    0.00    2.23 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.09 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist0_skew (net)
-                  1.11    0.05    3.14 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.48 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00    2.08 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.33 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00    2.33 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.25    2.58 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00    2.58 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.20    2.79 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00    2.79 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.17    2.97 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00    2.97 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17    3.14 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.48 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.63 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    3.14 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.28 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.02                           mprj/u_mbist0/clknet_1_0_0_wb_clk_i (net)
-                  0.05    0.00    3.63 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    3.77 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    3.28 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.42 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.02                           mprj/u_mbist0/clknet_1_0_1_wb_clk_i (net)
-                  0.05    0.00    3.77 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    3.89 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    3.43 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    3.55 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.01                           mprj/u_mbist0/clknet_1_0_2_wb_clk_i (net)
-                  0.03    0.00    3.89 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.37    0.36    4.25 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.22                           mprj/u_mbist0/clknet_1_0_3_wb_clk_i (net)
-                  0.37    0.01    4.26 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.22    4.48 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    3.55 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    3.73 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist0/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.73 ^ mprj/u_mbist0/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.27    4.00 ^ mprj/u_mbist0/wire5/X (sky130_fd_sc_hd__buf_4)
+     6    0.08                           mprj/u_mbist0/net2098 (net)
+                  0.21    0.00    4.00 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.19    4.19 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.02                           mprj/u_mbist0/clknet_opt_2_0_wb_clk_i (net)
-                  0.05    0.00    4.48 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.61 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.19 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.32 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.02                           mprj/u_mbist0/clknet_opt_2_1_wb_clk_i (net)
-                  0.04    0.00    4.61 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.74 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.32 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.45 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.02                           mprj/u_mbist0/clknet_opt_2_2_wb_clk_i (net)
-                  0.04    0.00    4.74 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.87 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.45 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.58 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_mbist0/clknet_opt_2_3_wb_clk_i (net)
-                  0.05    0.00    4.87 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    4.99 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00    4.59 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    4.70 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.01                           mprj/u_mbist0/clknet_leaf_24_wb_clk_i (net)
-                  0.03    0.00    4.99 ^ mprj/u_mbist0/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.09    0.36    5.35 ^ mprj/u_mbist0/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
+                  0.03    0.00    4.70 ^ mprj/u_mbist0/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.36    5.06 ^ mprj/u_mbist0/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
      1    0.01                           mprj/u_mbist0/u_mbist.mem_no[0].u_cmp.rst_n (net)
-                  0.09    0.00    5.35 ^ mprj/u_mbist0/fanout1728/A (sky130_fd_sc_hd__buf_4)
-                  0.14    0.21    5.56 ^ mprj/u_mbist0/fanout1728/X (sky130_fd_sc_hd__buf_4)
+                  0.09    0.00    5.06 ^ mprj/u_mbist0/fanout1728/A (sky130_fd_sc_hd__buf_4)
+                  0.15    0.21    5.27 ^ mprj/u_mbist0/fanout1728/X (sky130_fd_sc_hd__buf_4)
      4    0.05                           mprj/u_mbist0/net1728 (net)
-                  0.14    0.00    5.56 ^ mprj/u_mbist0/wire1729/A (sky130_fd_sc_hd__buf_4)
-                  0.24    0.28    5.84 ^ mprj/u_mbist0/wire1729/X (sky130_fd_sc_hd__buf_4)
+                  0.15    0.00    5.27 ^ mprj/u_mbist0/wire1729/A (sky130_fd_sc_hd__buf_4)
+                  0.26    0.29    5.57 ^ mprj/u_mbist0/wire1729/X (sky130_fd_sc_hd__buf_4)
      2    0.09                           mprj/u_mbist0/net1729 (net)
-                  0.24    0.02    5.86 ^ mprj/u_mbist0/fanout1727/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.42    6.28 ^ mprj/u_mbist0/fanout1727/X (sky130_fd_sc_hd__buf_2)
+                  0.26    0.03    5.60 ^ mprj/u_mbist0/fanout1727/A (sky130_fd_sc_hd__buf_2)
+                  0.41    0.44    6.04 ^ mprj/u_mbist0/fanout1727/X (sky130_fd_sc_hd__buf_2)
      4    0.08                           mprj/u_mbist0/net1727 (net)
-                  0.38    0.00    6.29 ^ mprj/u_mbist0/fanout1726/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.25    0.35    6.63 ^ mprj/u_mbist0/fanout1726/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.05                           mprj/u_mbist0/net1726 (net)
-                  0.25    0.00    6.63 ^ mprj/u_mbist0/fanout1676/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.29    0.33    6.96 ^ mprj/u_mbist0/fanout1676/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.41    0.00    6.04 ^ mprj/u_mbist0/fanout1726/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.23    0.34    6.38 ^ mprj/u_mbist0/fanout1726/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.04                           mprj/u_mbist0/net1726 (net)
+                  0.23    0.00    6.38 ^ mprj/u_mbist0/fanout1676/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.29    0.32    6.70 ^ mprj/u_mbist0/fanout1676/X (sky130_fd_sc_hd__dlymetal6s2s_1)
      4    0.03                           mprj/u_mbist0/net1676 (net)
-                  0.29    0.00    6.97 ^ mprj/u_mbist0/fanout1675/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.20    0.27    7.23 ^ mprj/u_mbist0/fanout1675/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.29    0.00    6.70 ^ mprj/u_mbist0/fanout1675/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.21    0.27    6.97 ^ mprj/u_mbist0/fanout1675/X (sky130_fd_sc_hd__dlymetal6s2s_1)
      3    0.02                           mprj/u_mbist0/net1675 (net)
-                  0.20    0.00    7.23 ^ mprj/u_mbist0/fanout1674/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.16    0.20    7.43 ^ mprj/u_mbist0/fanout1674/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.21    0.00    6.97 ^ mprj/u_mbist0/fanout1674/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.21    7.18 ^ mprj/u_mbist0/fanout1674/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/net1674 (net)
-                  0.16    0.00    7.43 ^ mprj/u_mbist0/fanout1667/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.22    0.26    7.69 ^ mprj/u_mbist0/fanout1667/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.17    0.00    7.19 ^ mprj/u_mbist0/fanout1667/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.22    0.26    7.45 ^ mprj/u_mbist0/fanout1667/X (sky130_fd_sc_hd__dlymetal6s2s_1)
      4    0.02                           mprj/u_mbist0/net1667 (net)
-                  0.22    0.00    7.69 ^ mprj/u_mbist0/fanout1663/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.24    0.28    7.97 ^ mprj/u_mbist0/fanout1663/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.22    0.00    7.45 ^ mprj/u_mbist0/fanout1663/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.23    0.28    7.73 ^ mprj/u_mbist0/fanout1663/X (sky130_fd_sc_hd__dlymetal6s2s_1)
      4    0.02                           mprj/u_mbist0/net1663 (net)
-                  0.24    0.00    7.97 ^ mprj/u_mbist0/fanout1662/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.18    8.15 ^ mprj/u_mbist0/fanout1662/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.23    0.00    7.73 ^ mprj/u_mbist0/fanout1662/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.18    7.90 ^ mprj/u_mbist0/fanout1662/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/net1662 (net)
-                  0.12    0.00    8.15 ^ mprj/u_mbist0/_4488_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  8.15   data arrival time
+                  0.12    0.00    7.90 ^ mprj/u_mbist0/_4488_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
+                                  7.90   data arrival time
 
                          10.00   10.00   clock wbs_clk_i (rise edge)
                           0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.27    0.33   10.33 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     2    0.03                           mprj/u_intercon/net2 (net)
-                  0.27    0.00   10.34 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.12   10.46 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net319 (net)
-                  0.06    0.00   10.46 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
-                  0.15    0.18   10.64 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.41   10.41 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00   10.41 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.19   10.60 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00   10.60 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.21   10.81 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
      2    0.03                           mprj/wbd_clk_mbist0_rp (net)
-                  0.15    0.00   10.64 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.15   10.79 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.00   10.81 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   10.97 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/net198 (net)
-                  0.12    0.00   10.79 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12   10.91 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00   10.97 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00   10.91 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.01 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.00   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00   11.02 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.13   11.15 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.00   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00   11.15 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.26 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11   11.44 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00   11.26 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.37 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00   11.37 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00   11.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.58 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00   11.58 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12   11.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d03 (net)
-                  0.04    0.00   11.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11   11.81 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00   11.44 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
-                  0.04    0.00   11.81 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   11.94 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
      1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
-                  0.07    0.00   11.94 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   12.07 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.93 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist0/net427 (net)
-                  0.05    0.00   12.07 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.80   12.87 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist0_skew (net)
-                  1.11    0.05   12.91 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31   13.23 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00   11.93 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.16 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00   12.16 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.39 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00   12.40 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.59 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00   12.59 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.75 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00   12.75 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.92 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
-                  0.08    0.00   13.23 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   13.36 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00   12.92 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   13.04 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00   13.36 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.12   13.49 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00   13.49 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.11   13.60 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.00   13.04 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.16 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.16 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.27 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00   13.60 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.38   13.98 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
-                  0.44    0.01   13.99 ^ mprj/u_mbist0/clkbuf_leaf_19_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.14    0.30   14.28 ^ mprj/u_mbist0/clkbuf_leaf_19_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    30    0.12                           mprj/u_mbist0/clknet_leaf_19_wb_clk_i (net)
-                  0.14    0.00   14.29 ^ mprj/u_mbist0/_4488_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   14.04   clock uncertainty
-                          0.25   14.29   clock reconvergence pessimism
-                          0.24   14.53   library recovery time
-                                 14.53   data required time
+                  0.03    0.00   13.27 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.45 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.45 ^ mprj/u_mbist0/wire7/A (sky130_fd_sc_hd__buf_8)
+                  0.20    0.22   13.67 ^ mprj/u_mbist0/wire7/X (sky130_fd_sc_hd__buf_8)
+    18    0.12                           mprj/u_mbist0/net2100 (net)
+                  0.20    0.01   13.68 ^ mprj/u_mbist0/clkbuf_leaf_19_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.25   13.92 ^ mprj/u_mbist0/clkbuf_leaf_19_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    30    0.13                           mprj/u_mbist0/clknet_leaf_19_wb_clk_i (net)
+                  0.14    0.01   13.93 ^ mprj/u_mbist0/_4488_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   13.68   clock uncertainty
+                          0.23   13.91   clock reconvergence pessimism
+                          0.24   14.15   library recovery time
+                                 14.15   data required time
 -----------------------------------------------------------------------------
-                                 14.53   data required time
-                                 -8.15   data arrival time
+                                 14.15   data required time
+                                 -7.90   data arrival time
 -----------------------------------------------------------------------------
-                                  6.38   slack (MET)
+                                  6.25   slack (MET)
 
 
 Startpoint: mprj/u_mbist1/_4498_
@@ -86992,219 +100434,213 @@
 -----------------------------------------------------------------------------
                           0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.24    0.53 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.31    0.31 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00    0.32 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.23    0.55 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
      2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.21    0.76 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+                  0.20    0.03    0.58 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.21    0.80 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
      2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02    0.77 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.13    0.90 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.02    0.81 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.12    0.94 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00    0.90 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.17    1.07 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+                  0.06    0.00    0.94 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.17    1.10 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
      2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00    1.07 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    1.22 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.00    1.11 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.16    1.26 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00    1.22 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00    1.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.00    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.50 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    2.07 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    2.07 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00    1.50 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
      1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.60 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.02 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00    2.60 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.46 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05    3.51 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.84 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00    2.02 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.26 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00    2.27 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.25    2.52 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00    2.52 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.20    2.72 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00    2.73 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.17    2.90 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00    2.91 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17    3.08 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.85 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    4.00 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    3.08 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.22 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.02                           mprj/u_mbist1/clknet_1_0_0_wb_clk_i (net)
-                  0.05    0.00    4.00 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    4.13 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    3.22 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.36 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.02                           mprj/u_mbist1/clknet_1_0_1_wb_clk_i (net)
-                  0.05    0.00    4.13 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.25 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    3.36 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    3.48 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.01                           mprj/u_mbist1/clknet_1_0_2_wb_clk_i (net)
-                  0.03    0.00    4.25 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.37    0.36    4.61 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.22                           mprj/u_mbist1/clknet_1_0_3_wb_clk_i (net)
-                  0.37    0.01    4.62 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.22    4.85 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    3.48 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    3.67 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist1/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.67 ^ mprj/u_mbist1/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.27    3.94 ^ mprj/u_mbist1/wire5/X (sky130_fd_sc_hd__buf_4)
+     6    0.08                           mprj/u_mbist1/net2098 (net)
+                  0.21    0.00    3.94 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.19    4.13 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.02                           mprj/u_mbist1/clknet_opt_2_0_wb_clk_i (net)
-                  0.05    0.00    4.85 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.98 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.13 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.26 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.02                           mprj/u_mbist1/clknet_opt_2_1_wb_clk_i (net)
-                  0.04    0.00    4.98 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.11 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.26 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.39 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.02                           mprj/u_mbist1/clknet_opt_2_2_wb_clk_i (net)
-                  0.04    0.00    5.11 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    5.24 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.39 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.52 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_mbist1/clknet_opt_2_3_wb_clk_i (net)
-                  0.05    0.00    5.24 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    5.36 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00    4.52 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    4.64 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.01                           mprj/u_mbist1/clknet_leaf_24_wb_clk_i (net)
-                  0.03    0.00    5.36 ^ mprj/u_mbist1/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.09    0.36    5.72 ^ mprj/u_mbist1/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
+                  0.03    0.00    4.64 ^ mprj/u_mbist1/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.36    5.00 ^ mprj/u_mbist1/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
      1    0.01                           mprj/u_mbist1/u_mbist.mem_no[0].u_cmp.rst_n (net)
-                  0.09    0.00    5.72 ^ mprj/u_mbist1/fanout1728/A (sky130_fd_sc_hd__buf_4)
-                  0.14    0.21    5.92 ^ mprj/u_mbist1/fanout1728/X (sky130_fd_sc_hd__buf_4)
+                  0.09    0.00    5.00 ^ mprj/u_mbist1/fanout1728/A (sky130_fd_sc_hd__buf_4)
+                  0.15    0.21    5.21 ^ mprj/u_mbist1/fanout1728/X (sky130_fd_sc_hd__buf_4)
      4    0.05                           mprj/u_mbist1/net1728 (net)
-                  0.14    0.00    5.93 ^ mprj/u_mbist1/wire1729/A (sky130_fd_sc_hd__buf_4)
-                  0.24    0.28    6.21 ^ mprj/u_mbist1/wire1729/X (sky130_fd_sc_hd__buf_4)
+                  0.15    0.00    5.21 ^ mprj/u_mbist1/wire1729/A (sky130_fd_sc_hd__buf_4)
+                  0.26    0.29    5.50 ^ mprj/u_mbist1/wire1729/X (sky130_fd_sc_hd__buf_4)
      2    0.09                           mprj/u_mbist1/net1729 (net)
-                  0.24    0.02    6.23 ^ mprj/u_mbist1/fanout1727/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.42    6.65 ^ mprj/u_mbist1/fanout1727/X (sky130_fd_sc_hd__buf_2)
+                  0.26    0.03    5.53 ^ mprj/u_mbist1/fanout1727/A (sky130_fd_sc_hd__buf_2)
+                  0.41    0.44    5.97 ^ mprj/u_mbist1/fanout1727/X (sky130_fd_sc_hd__buf_2)
      4    0.08                           mprj/u_mbist1/net1727 (net)
-                  0.38    0.00    6.65 ^ mprj/u_mbist1/fanout1726/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.25    0.35    7.00 ^ mprj/u_mbist1/fanout1726/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.05                           mprj/u_mbist1/net1726 (net)
-                  0.25    0.00    7.00 ^ mprj/u_mbist1/fanout1676/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.29    0.33    7.33 ^ mprj/u_mbist1/fanout1676/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.41    0.00    5.98 ^ mprj/u_mbist1/fanout1726/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.23    0.34    6.32 ^ mprj/u_mbist1/fanout1726/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.04                           mprj/u_mbist1/net1726 (net)
+                  0.23    0.00    6.32 ^ mprj/u_mbist1/fanout1676/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.29    0.32    6.64 ^ mprj/u_mbist1/fanout1676/X (sky130_fd_sc_hd__dlymetal6s2s_1)
      4    0.03                           mprj/u_mbist1/net1676 (net)
-                  0.29    0.00    7.33 ^ mprj/u_mbist1/fanout1675/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.20    0.27    7.60 ^ mprj/u_mbist1/fanout1675/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.29    0.00    6.64 ^ mprj/u_mbist1/fanout1675/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.21    0.27    6.91 ^ mprj/u_mbist1/fanout1675/X (sky130_fd_sc_hd__dlymetal6s2s_1)
      3    0.02                           mprj/u_mbist1/net1675 (net)
-                  0.20    0.00    7.60 ^ mprj/u_mbist1/fanout1674/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.16    0.20    7.80 ^ mprj/u_mbist1/fanout1674/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.21    0.00    6.91 ^ mprj/u_mbist1/fanout1674/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.21    7.12 ^ mprj/u_mbist1/fanout1674/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist1/net1674 (net)
-                  0.16    0.00    7.80 ^ mprj/u_mbist1/fanout1667/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.22    0.26    8.06 ^ mprj/u_mbist1/fanout1667/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.17    0.00    7.12 ^ mprj/u_mbist1/fanout1667/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.22    0.26    7.38 ^ mprj/u_mbist1/fanout1667/X (sky130_fd_sc_hd__dlymetal6s2s_1)
      4    0.02                           mprj/u_mbist1/net1667 (net)
-                  0.22    0.00    8.06 ^ mprj/u_mbist1/fanout1663/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.24    0.28    8.34 ^ mprj/u_mbist1/fanout1663/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.22    0.00    7.38 ^ mprj/u_mbist1/fanout1663/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.23    0.28    7.66 ^ mprj/u_mbist1/fanout1663/X (sky130_fd_sc_hd__dlymetal6s2s_1)
      4    0.02                           mprj/u_mbist1/net1663 (net)
-                  0.24    0.00    8.34 ^ mprj/u_mbist1/fanout1662/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.18    8.52 ^ mprj/u_mbist1/fanout1662/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.23    0.00    7.66 ^ mprj/u_mbist1/fanout1662/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.18    7.84 ^ mprj/u_mbist1/fanout1662/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist1/net1662 (net)
-                  0.12    0.00    8.52 ^ mprj/u_mbist1/_4488_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  8.52   data arrival time
+                  0.12    0.00    7.84 ^ mprj/u_mbist1/_4488_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
+                                  7.84   data arrival time
 
                          10.00   10.00   clock wbs_clk_i (rise edge)
                           0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.27   10.27 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00   10.27 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.22   10.49 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.29   10.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00   10.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.22   10.51 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
      2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01   10.50 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.20   10.70 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+                  0.20    0.03   10.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.20   10.74 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
      2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02   10.72 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12   10.84 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.02   10.76 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11   10.87 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00   10.84 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.15   10.99 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+                  0.06    0.00   10.87 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.16   11.02 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
      2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00   10.99 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14   11.14 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.00   11.03 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   11.17 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00   11.14 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12   11.25 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00   11.17 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00   11.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.36 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.00   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00   11.36 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.13   11.49 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00   11.49 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.60 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00   11.60 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.71 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00   11.71 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.82 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00   11.82 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.92 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00   11.92 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12   12.04 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00   12.04 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11   12.15 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00   12.15 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   12.28 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00   11.39 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
      1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00   12.28 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   12.41 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.87 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00   12.41 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.80   13.21 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05   13.26 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31   13.57 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00   11.87 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.10 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00   12.10 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.33 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00   12.34 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.53 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00   12.53 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.69 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00   12.70 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.86 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00   13.57 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   13.70 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00   12.86 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   12.98 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00   13.71 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.12   13.83 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00   13.83 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.11   13.94 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.00   12.98 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.10 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.10 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.21 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00   13.94 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.38   14.32 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
-                  0.44    0.01   14.33 ^ mprj/u_mbist1/clkbuf_leaf_19_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.14    0.30   14.63 ^ mprj/u_mbist1/clkbuf_leaf_19_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    30    0.12                           mprj/u_mbist1/clknet_leaf_19_wb_clk_i (net)
-                  0.14    0.00   14.63 ^ mprj/u_mbist1/_4488_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   14.38   clock uncertainty
-                          0.28   14.66   clock reconvergence pessimism
-                          0.24   14.90   library recovery time
-                                 14.90   data required time
+                  0.03    0.00   13.21 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.39 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.39 ^ mprj/u_mbist1/wire7/A (sky130_fd_sc_hd__buf_8)
+                  0.20    0.22   13.61 ^ mprj/u_mbist1/wire7/X (sky130_fd_sc_hd__buf_8)
+    18    0.12                           mprj/u_mbist1/net2100 (net)
+                  0.20    0.01   13.62 ^ mprj/u_mbist1/clkbuf_leaf_19_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.25   13.87 ^ mprj/u_mbist1/clkbuf_leaf_19_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    30    0.13                           mprj/u_mbist1/clknet_leaf_19_wb_clk_i (net)
+                  0.14    0.01   13.87 ^ mprj/u_mbist1/_4488_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   13.62   clock uncertainty
+                          0.22   13.84   clock reconvergence pessimism
+                          0.24   14.09   library recovery time
+                                 14.09   data required time
 -----------------------------------------------------------------------------
-                                 14.90   data required time
-                                 -8.52   data arrival time
+                                 14.09   data required time
+                                 -7.84   data arrival time
 -----------------------------------------------------------------------------
-                                  6.38   slack (MET)
+                                  6.25   slack (MET)
 
 
 Startpoint: mprj/u_mbist0/_4498_
             (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist0/_4422_
+Endpoint: mprj/u_mbist0/_4298_
           (recovery check against rising-edge clock wbs_clk_i)
 Path Group: **async_default**
 Path Type: max
@@ -87213,1061 +100649,207 @@
 -----------------------------------------------------------------------------
                           0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.27    0.36    0.36 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     2    0.03                           mprj/u_intercon/net2 (net)
-                  0.27    0.00    0.36 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.13    0.50 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net319 (net)
-                  0.06    0.00    0.50 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
-                  0.15    0.19    0.69 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.44    0.44 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00    0.45 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.20    0.65 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00    0.65 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.23    0.87 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
      2    0.03                           mprj/wbd_clk_mbist0_rp (net)
-                  0.15    0.00    0.69 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    0.86 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.00    0.88 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.17    1.04 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/net198 (net)
-                  0.12    0.00    0.86 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00    1.04 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.00    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.00    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.12    1.55 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.70 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    1.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d03 (net)
-                  0.04    0.00    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00    1.55 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
-                  0.04    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
      1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.23 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.08 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist0/net427 (net)
-                  0.05    0.00    2.23 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.09 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist0_skew (net)
-                  1.11    0.05    3.14 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.48 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00    2.08 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.33 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00    2.33 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.25    2.58 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00    2.58 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.20    2.79 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00    2.79 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.17    2.97 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00    2.97 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17    3.14 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.48 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.63 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    3.14 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.28 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.02                           mprj/u_mbist0/clknet_1_0_0_wb_clk_i (net)
-                  0.05    0.00    3.63 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    3.77 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    3.28 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.42 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.02                           mprj/u_mbist0/clknet_1_0_1_wb_clk_i (net)
-                  0.05    0.00    3.77 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    3.89 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    3.43 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    3.55 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.01                           mprj/u_mbist0/clknet_1_0_2_wb_clk_i (net)
-                  0.03    0.00    3.89 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.37    0.36    4.25 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.22                           mprj/u_mbist0/clknet_1_0_3_wb_clk_i (net)
-                  0.37    0.01    4.26 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.22    4.48 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    3.55 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    3.73 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist0/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.73 ^ mprj/u_mbist0/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.27    4.00 ^ mprj/u_mbist0/wire5/X (sky130_fd_sc_hd__buf_4)
+     6    0.08                           mprj/u_mbist0/net2098 (net)
+                  0.21    0.00    4.00 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.19    4.19 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.02                           mprj/u_mbist0/clknet_opt_2_0_wb_clk_i (net)
-                  0.05    0.00    4.48 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.61 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.19 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.32 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.02                           mprj/u_mbist0/clknet_opt_2_1_wb_clk_i (net)
-                  0.04    0.00    4.61 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.74 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.32 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.45 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.02                           mprj/u_mbist0/clknet_opt_2_2_wb_clk_i (net)
-                  0.04    0.00    4.74 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.87 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.45 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.58 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_mbist0/clknet_opt_2_3_wb_clk_i (net)
-                  0.05    0.00    4.87 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    4.99 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00    4.59 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    4.70 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.01                           mprj/u_mbist0/clknet_leaf_24_wb_clk_i (net)
-                  0.03    0.00    4.99 ^ mprj/u_mbist0/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.09    0.36    5.35 ^ mprj/u_mbist0/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
+                  0.03    0.00    4.70 ^ mprj/u_mbist0/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.36    5.06 ^ mprj/u_mbist0/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
      1    0.01                           mprj/u_mbist0/u_mbist.mem_no[0].u_cmp.rst_n (net)
-                  0.09    0.00    5.35 ^ mprj/u_mbist0/fanout1728/A (sky130_fd_sc_hd__buf_4)
-                  0.14    0.21    5.56 ^ mprj/u_mbist0/fanout1728/X (sky130_fd_sc_hd__buf_4)
+                  0.09    0.00    5.06 ^ mprj/u_mbist0/fanout1728/A (sky130_fd_sc_hd__buf_4)
+                  0.15    0.21    5.27 ^ mprj/u_mbist0/fanout1728/X (sky130_fd_sc_hd__buf_4)
      4    0.05                           mprj/u_mbist0/net1728 (net)
-                  0.14    0.00    5.56 ^ mprj/u_mbist0/wire1729/A (sky130_fd_sc_hd__buf_4)
-                  0.24    0.28    5.84 ^ mprj/u_mbist0/wire1729/X (sky130_fd_sc_hd__buf_4)
+                  0.15    0.00    5.27 ^ mprj/u_mbist0/wire1729/A (sky130_fd_sc_hd__buf_4)
+                  0.26    0.29    5.57 ^ mprj/u_mbist0/wire1729/X (sky130_fd_sc_hd__buf_4)
      2    0.09                           mprj/u_mbist0/net1729 (net)
-                  0.24    0.02    5.86 ^ mprj/u_mbist0/fanout1727/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.42    6.28 ^ mprj/u_mbist0/fanout1727/X (sky130_fd_sc_hd__buf_2)
+                  0.26    0.03    5.60 ^ mprj/u_mbist0/fanout1727/A (sky130_fd_sc_hd__buf_2)
+                  0.41    0.44    6.04 ^ mprj/u_mbist0/fanout1727/X (sky130_fd_sc_hd__buf_2)
      4    0.08                           mprj/u_mbist0/net1727 (net)
-                  0.38    0.00    6.29 ^ mprj/u_mbist0/fanout1726/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.25    0.35    6.63 ^ mprj/u_mbist0/fanout1726/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.05                           mprj/u_mbist0/net1726 (net)
-                  0.25    0.00    6.64 ^ mprj/u_mbist0/fanout1725/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.32    0.35    6.98 ^ mprj/u_mbist0/fanout1725/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.03                           mprj/u_mbist0/net1725 (net)
-                  0.32    0.00    6.98 ^ mprj/u_mbist0/fanout1697/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.19    7.17 ^ mprj/u_mbist0/fanout1697/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net1697 (net)
-                  0.12    0.00    7.17 ^ mprj/u_mbist0/fanout1696/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.25    0.26    7.42 ^ mprj/u_mbist0/fanout1696/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.02                           mprj/u_mbist0/net1696 (net)
-                  0.25    0.00    7.42 ^ mprj/u_mbist0/fanout1690/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.18    0.23    7.65 ^ mprj/u_mbist0/fanout1690/X (sky130_fd_sc_hd__clkbuf_1)
-     3    0.02                           mprj/u_mbist0/net1690 (net)
-                  0.18    0.00    7.65 ^ mprj/u_mbist0/fanout1689/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.22    0.26    7.91 ^ mprj/u_mbist0/fanout1689/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.02                           mprj/u_mbist0/net1689 (net)
-                  0.22    0.00    7.91 ^ mprj/u_mbist0/fanout1686/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.12    0.22    8.13 ^ mprj/u_mbist0/fanout1686/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.02                           mprj/u_mbist0/net1686 (net)
-                  0.12    0.00    8.13 ^ mprj/u_mbist0/_4422_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  8.13   data arrival time
-
-                         10.00   10.00   clock wbs_clk_i (rise edge)
-                          0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.27    0.33   10.33 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     2    0.03                           mprj/u_intercon/net2 (net)
-                  0.27    0.00   10.34 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.12   10.46 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net319 (net)
-                  0.06    0.00   10.46 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
-                  0.15    0.18   10.64 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
-                  0.15    0.00   10.64 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.15   10.79 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net198 (net)
-                  0.12    0.00   10.79 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12   10.91 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00   10.91 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.01 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00   11.02 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.13   11.15 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00   11.15 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.26 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00   11.26 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.37 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00   11.37 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00   11.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.58 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00   11.58 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12   11.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d03 (net)
-                  0.04    0.00   11.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11   11.81 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
-                  0.04    0.00   11.81 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   11.94 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
-                  0.07    0.00   11.94 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   12.07 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/net427 (net)
-                  0.05    0.00   12.07 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.80   12.87 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist0_skew (net)
-                  1.11    0.05   12.91 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31   13.23 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
-                  0.08    0.00   13.23 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   13.36 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00   13.36 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.12   13.49 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00   13.49 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.11   13.60 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00   13.60 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.38   13.98 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
-                  0.45    0.03   14.01 ^ mprj/u_mbist0/clkbuf_leaf_14_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.28   14.28 ^ mprj/u_mbist0/clkbuf_leaf_14_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_mbist0/clknet_leaf_14_wb_clk_i (net)
-                  0.11    0.00   14.29 ^ mprj/u_mbist0/_4422_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   14.04   clock uncertainty
-                          0.25   14.29   clock reconvergence pessimism
-                          0.23   14.52   library recovery time
-                                 14.52   data required time
------------------------------------------------------------------------------
-                                 14.52   data required time
-                                 -8.13   data arrival time
------------------------------------------------------------------------------
-                                  6.38   slack (MET)
-
-
-Startpoint: mprj/u_mbist1/_4498_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist1/_4422_
-          (recovery check against rising-edge clock wbs_clk_i)
-Path Group: **async_default**
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.24    0.53 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
-     2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.21    0.76 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02    0.77 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.13    0.90 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00    0.90 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.17    1.07 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
-     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00    1.07 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    1.22 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00    1.22 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    2.07 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    2.07 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.60 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00    2.60 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.46 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05    3.51 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.84 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.85 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    4.00 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_0_0_wb_clk_i (net)
-                  0.05    0.00    4.00 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    4.13 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_0_1_wb_clk_i (net)
-                  0.05    0.00    4.13 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.25 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist1/clknet_1_0_2_wb_clk_i (net)
-                  0.03    0.00    4.25 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.37    0.36    4.61 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.22                           mprj/u_mbist1/clknet_1_0_3_wb_clk_i (net)
-                  0.37    0.01    4.62 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.22    4.85 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_2_0_wb_clk_i (net)
-                  0.05    0.00    4.85 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.98 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_2_1_wb_clk_i (net)
-                  0.04    0.00    4.98 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.11 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_2_2_wb_clk_i (net)
-                  0.04    0.00    5.11 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    5.24 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_mbist1/clknet_opt_2_3_wb_clk_i (net)
-                  0.05    0.00    5.24 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    5.36 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.01                           mprj/u_mbist1/clknet_leaf_24_wb_clk_i (net)
-                  0.03    0.00    5.36 ^ mprj/u_mbist1/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.09    0.36    5.72 ^ mprj/u_mbist1/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.01                           mprj/u_mbist1/u_mbist.mem_no[0].u_cmp.rst_n (net)
-                  0.09    0.00    5.72 ^ mprj/u_mbist1/fanout1728/A (sky130_fd_sc_hd__buf_4)
-                  0.14    0.21    5.92 ^ mprj/u_mbist1/fanout1728/X (sky130_fd_sc_hd__buf_4)
-     4    0.05                           mprj/u_mbist1/net1728 (net)
-                  0.14    0.00    5.93 ^ mprj/u_mbist1/wire1729/A (sky130_fd_sc_hd__buf_4)
-                  0.24    0.28    6.21 ^ mprj/u_mbist1/wire1729/X (sky130_fd_sc_hd__buf_4)
-     2    0.09                           mprj/u_mbist1/net1729 (net)
-                  0.24    0.02    6.23 ^ mprj/u_mbist1/fanout1727/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.42    6.65 ^ mprj/u_mbist1/fanout1727/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_mbist1/net1727 (net)
-                  0.38    0.00    6.65 ^ mprj/u_mbist1/fanout1726/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.25    0.35    7.00 ^ mprj/u_mbist1/fanout1726/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.05                           mprj/u_mbist1/net1726 (net)
-                  0.25    0.00    7.01 ^ mprj/u_mbist1/fanout1725/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.32    0.35    7.35 ^ mprj/u_mbist1/fanout1725/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.03                           mprj/u_mbist1/net1725 (net)
-                  0.32    0.00    7.35 ^ mprj/u_mbist1/fanout1697/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.19    7.54 ^ mprj/u_mbist1/fanout1697/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net1697 (net)
-                  0.12    0.00    7.54 ^ mprj/u_mbist1/fanout1696/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.25    0.26    7.79 ^ mprj/u_mbist1/fanout1696/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.02                           mprj/u_mbist1/net1696 (net)
-                  0.25    0.00    7.79 ^ mprj/u_mbist1/fanout1690/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.18    0.23    8.02 ^ mprj/u_mbist1/fanout1690/X (sky130_fd_sc_hd__clkbuf_1)
-     3    0.02                           mprj/u_mbist1/net1690 (net)
-                  0.18    0.00    8.02 ^ mprj/u_mbist1/fanout1689/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.22    0.26    8.28 ^ mprj/u_mbist1/fanout1689/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.02                           mprj/u_mbist1/net1689 (net)
-                  0.22    0.00    8.28 ^ mprj/u_mbist1/fanout1686/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.12    0.22    8.50 ^ mprj/u_mbist1/fanout1686/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.02                           mprj/u_mbist1/net1686 (net)
-                  0.12    0.00    8.50 ^ mprj/u_mbist1/_4422_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  8.50   data arrival time
-
-                         10.00   10.00   clock wbs_clk_i (rise edge)
-                          0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.27   10.27 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00   10.27 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.22   10.49 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
-     2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01   10.50 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.20   10.70 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02   10.72 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12   10.84 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00   10.84 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.15   10.99 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
-     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00   10.99 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14   11.14 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00   11.14 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12   11.25 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00   11.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.36 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00   11.36 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.13   11.49 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00   11.49 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.60 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00   11.60 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.71 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00   11.71 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.82 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00   11.82 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.92 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00   11.92 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12   12.04 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00   12.04 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11   12.15 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00   12.15 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   12.28 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00   12.28 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   12.41 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00   12.41 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.80   13.21 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05   13.26 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31   13.57 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00   13.57 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   13.70 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00   13.71 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.12   13.83 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00   13.83 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.11   13.94 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00   13.94 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.38   14.32 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
-                  0.45    0.03   14.35 ^ mprj/u_mbist1/clkbuf_leaf_14_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.28   14.63 ^ mprj/u_mbist1/clkbuf_leaf_14_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_mbist1/clknet_leaf_14_wb_clk_i (net)
-                  0.11    0.00   14.63 ^ mprj/u_mbist1/_4422_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   14.38   clock uncertainty
-                          0.28   14.66   clock reconvergence pessimism
-                          0.23   14.89   library recovery time
-                                 14.89   data required time
------------------------------------------------------------------------------
-                                 14.89   data required time
-                                 -8.50   data arrival time
------------------------------------------------------------------------------
-                                  6.38   slack (MET)
-
-
-Startpoint: mprj/u_mbist0/_4498_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist0/_4465_
-          (recovery check against rising-edge clock wbs_clk_i)
-Path Group: **async_default**
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.27    0.36    0.36 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     2    0.03                           mprj/u_intercon/net2 (net)
-                  0.27    0.00    0.36 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.13    0.50 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net319 (net)
-                  0.06    0.00    0.50 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
-                  0.15    0.19    0.69 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
-                  0.15    0.00    0.69 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    0.86 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net198 (net)
-                  0.12    0.00    0.86 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.70 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    1.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d03 (net)
-                  0.04    0.00    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
-                  0.04    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.23 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/net427 (net)
-                  0.05    0.00    2.23 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.09 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist0_skew (net)
-                  1.11    0.05    3.14 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.48 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.48 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.63 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_0_0_wb_clk_i (net)
-                  0.05    0.00    3.63 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    3.77 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_0_1_wb_clk_i (net)
-                  0.05    0.00    3.77 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    3.89 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist0/clknet_1_0_2_wb_clk_i (net)
-                  0.03    0.00    3.89 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.37    0.36    4.25 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.22                           mprj/u_mbist0/clknet_1_0_3_wb_clk_i (net)
-                  0.37    0.01    4.26 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.22    4.48 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_2_0_wb_clk_i (net)
-                  0.05    0.00    4.48 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.61 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_2_1_wb_clk_i (net)
-                  0.04    0.00    4.61 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.74 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_2_2_wb_clk_i (net)
-                  0.04    0.00    4.74 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.87 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_mbist0/clknet_opt_2_3_wb_clk_i (net)
-                  0.05    0.00    4.87 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    4.99 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.01                           mprj/u_mbist0/clknet_leaf_24_wb_clk_i (net)
-                  0.03    0.00    4.99 ^ mprj/u_mbist0/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.09    0.36    5.35 ^ mprj/u_mbist0/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.01                           mprj/u_mbist0/u_mbist.mem_no[0].u_cmp.rst_n (net)
-                  0.09    0.00    5.35 ^ mprj/u_mbist0/fanout1728/A (sky130_fd_sc_hd__buf_4)
-                  0.14    0.21    5.56 ^ mprj/u_mbist0/fanout1728/X (sky130_fd_sc_hd__buf_4)
-     4    0.05                           mprj/u_mbist0/net1728 (net)
-                  0.14    0.00    5.56 ^ mprj/u_mbist0/wire1729/A (sky130_fd_sc_hd__buf_4)
-                  0.24    0.28    5.84 ^ mprj/u_mbist0/wire1729/X (sky130_fd_sc_hd__buf_4)
-     2    0.09                           mprj/u_mbist0/net1729 (net)
-                  0.24    0.02    5.86 ^ mprj/u_mbist0/fanout1727/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.42    6.28 ^ mprj/u_mbist0/fanout1727/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_mbist0/net1727 (net)
-                  0.38    0.00    6.29 ^ mprj/u_mbist0/fanout1726/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.25    0.35    6.63 ^ mprj/u_mbist0/fanout1726/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.05                           mprj/u_mbist0/net1726 (net)
-                  0.25    0.00    6.63 ^ mprj/u_mbist0/fanout1676/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.29    0.33    6.96 ^ mprj/u_mbist0/fanout1676/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.03                           mprj/u_mbist0/net1676 (net)
-                  0.29    0.00    6.97 ^ mprj/u_mbist0/fanout1675/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.20    0.27    7.23 ^ mprj/u_mbist0/fanout1675/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     3    0.02                           mprj/u_mbist0/net1675 (net)
-                  0.20    0.00    7.23 ^ mprj/u_mbist0/fanout1674/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.16    0.20    7.43 ^ mprj/u_mbist0/fanout1674/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net1674 (net)
-                  0.16    0.00    7.43 ^ mprj/u_mbist0/fanout1673/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.14    0.18    7.62 ^ mprj/u_mbist0/fanout1673/X (sky130_fd_sc_hd__clkbuf_1)
-     3    0.01                           mprj/u_mbist0/net1673 (net)
-                  0.14    0.00    7.62 ^ mprj/u_mbist0/fanout1672/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.20    0.24    7.85 ^ mprj/u_mbist0/fanout1672/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     3    0.02                           mprj/u_mbist0/net1672 (net)
-                  0.20    0.00    7.85 ^ mprj/u_mbist0/fanout1670/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.22    0.26    8.12 ^ mprj/u_mbist0/fanout1670/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.02                           mprj/u_mbist0/net1670 (net)
-                  0.22    0.00    8.12 ^ mprj/u_mbist0/_4465_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  8.12   data arrival time
-
-                         10.00   10.00   clock wbs_clk_i (rise edge)
-                          0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.27    0.33   10.33 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     2    0.03                           mprj/u_intercon/net2 (net)
-                  0.27    0.00   10.34 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.12   10.46 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net319 (net)
-                  0.06    0.00   10.46 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
-                  0.15    0.18   10.64 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
-                  0.15    0.00   10.64 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.15   10.79 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net198 (net)
-                  0.12    0.00   10.79 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12   10.91 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00   10.91 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.01 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00   11.02 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.13   11.15 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00   11.15 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.26 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00   11.26 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.37 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00   11.37 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00   11.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.58 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00   11.58 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12   11.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d03 (net)
-                  0.04    0.00   11.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11   11.81 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
-                  0.04    0.00   11.81 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   11.94 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
-                  0.07    0.00   11.94 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   12.07 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/net427 (net)
-                  0.05    0.00   12.07 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.80   12.87 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist0_skew (net)
-                  1.11    0.05   12.91 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31   13.23 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
-                  0.08    0.00   13.23 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   13.36 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00   13.36 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.12   13.49 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00   13.49 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.11   13.60 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00   13.60 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.38   13.98 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
-                  0.45    0.03   14.01 ^ mprj/u_mbist0/clkbuf_leaf_15_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.12    0.28   14.29 ^ mprj/u_mbist0/clkbuf_leaf_15_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.10                           mprj/u_mbist0/clknet_leaf_15_wb_clk_i (net)
-                  0.12    0.00   14.29 ^ mprj/u_mbist0/_4465_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   14.04   clock uncertainty
-                          0.25   14.29   clock reconvergence pessimism
-                          0.21   14.50   library recovery time
-                                 14.50   data required time
------------------------------------------------------------------------------
-                                 14.50   data required time
-                                 -8.12   data arrival time
------------------------------------------------------------------------------
-                                  6.38   slack (MET)
-
-
-Startpoint: mprj/u_mbist1/_4498_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist1/_4465_
-          (recovery check against rising-edge clock wbs_clk_i)
-Path Group: **async_default**
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.24    0.53 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
-     2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.21    0.76 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02    0.77 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.13    0.90 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00    0.90 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.17    1.07 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
-     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00    1.07 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    1.22 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00    1.22 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    2.07 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    2.07 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.60 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00    2.60 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.46 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05    3.51 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.84 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.85 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    4.00 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_0_0_wb_clk_i (net)
-                  0.05    0.00    4.00 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    4.13 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_0_1_wb_clk_i (net)
-                  0.05    0.00    4.13 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.25 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist1/clknet_1_0_2_wb_clk_i (net)
-                  0.03    0.00    4.25 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.37    0.36    4.61 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.22                           mprj/u_mbist1/clknet_1_0_3_wb_clk_i (net)
-                  0.37    0.01    4.62 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.22    4.85 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_2_0_wb_clk_i (net)
-                  0.05    0.00    4.85 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.98 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_2_1_wb_clk_i (net)
-                  0.04    0.00    4.98 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.11 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_2_2_wb_clk_i (net)
-                  0.04    0.00    5.11 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    5.24 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_mbist1/clknet_opt_2_3_wb_clk_i (net)
-                  0.05    0.00    5.24 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    5.36 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.01                           mprj/u_mbist1/clknet_leaf_24_wb_clk_i (net)
-                  0.03    0.00    5.36 ^ mprj/u_mbist1/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.09    0.36    5.72 ^ mprj/u_mbist1/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.01                           mprj/u_mbist1/u_mbist.mem_no[0].u_cmp.rst_n (net)
-                  0.09    0.00    5.72 ^ mprj/u_mbist1/fanout1728/A (sky130_fd_sc_hd__buf_4)
-                  0.14    0.21    5.92 ^ mprj/u_mbist1/fanout1728/X (sky130_fd_sc_hd__buf_4)
-     4    0.05                           mprj/u_mbist1/net1728 (net)
-                  0.14    0.00    5.93 ^ mprj/u_mbist1/wire1729/A (sky130_fd_sc_hd__buf_4)
-                  0.24    0.28    6.21 ^ mprj/u_mbist1/wire1729/X (sky130_fd_sc_hd__buf_4)
-     2    0.09                           mprj/u_mbist1/net1729 (net)
-                  0.24    0.02    6.23 ^ mprj/u_mbist1/fanout1727/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.42    6.65 ^ mprj/u_mbist1/fanout1727/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_mbist1/net1727 (net)
-                  0.38    0.00    6.65 ^ mprj/u_mbist1/fanout1726/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.25    0.35    7.00 ^ mprj/u_mbist1/fanout1726/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.05                           mprj/u_mbist1/net1726 (net)
-                  0.25    0.00    7.00 ^ mprj/u_mbist1/fanout1676/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.29    0.33    7.33 ^ mprj/u_mbist1/fanout1676/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.03                           mprj/u_mbist1/net1676 (net)
-                  0.29    0.00    7.33 ^ mprj/u_mbist1/fanout1675/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.20    0.27    7.60 ^ mprj/u_mbist1/fanout1675/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     3    0.02                           mprj/u_mbist1/net1675 (net)
-                  0.20    0.00    7.60 ^ mprj/u_mbist1/fanout1674/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.16    0.20    7.80 ^ mprj/u_mbist1/fanout1674/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net1674 (net)
-                  0.16    0.00    7.80 ^ mprj/u_mbist1/fanout1673/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.14    0.18    7.98 ^ mprj/u_mbist1/fanout1673/X (sky130_fd_sc_hd__clkbuf_1)
-     3    0.01                           mprj/u_mbist1/net1673 (net)
-                  0.14    0.00    7.99 ^ mprj/u_mbist1/fanout1672/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.20    0.24    8.22 ^ mprj/u_mbist1/fanout1672/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     3    0.02                           mprj/u_mbist1/net1672 (net)
-                  0.20    0.00    8.22 ^ mprj/u_mbist1/fanout1670/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.22    0.26    8.48 ^ mprj/u_mbist1/fanout1670/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.02                           mprj/u_mbist1/net1670 (net)
-                  0.22    0.00    8.49 ^ mprj/u_mbist1/_4465_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  8.49   data arrival time
-
-                         10.00   10.00   clock wbs_clk_i (rise edge)
-                          0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.27   10.27 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00   10.27 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.22   10.49 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
-     2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01   10.50 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.20   10.70 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02   10.72 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12   10.84 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00   10.84 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.15   10.99 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
-     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00   10.99 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14   11.14 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00   11.14 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12   11.25 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00   11.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.36 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00   11.36 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.13   11.49 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00   11.49 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.60 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00   11.60 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.71 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00   11.71 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.82 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00   11.82 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.92 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00   11.92 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12   12.04 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00   12.04 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11   12.15 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00   12.15 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   12.28 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00   12.28 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   12.41 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00   12.41 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.80   13.21 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05   13.26 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31   13.57 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00   13.57 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   13.70 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00   13.71 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.12   13.83 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00   13.83 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.11   13.94 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00   13.94 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.38   14.32 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
-                  0.45    0.03   14.35 ^ mprj/u_mbist1/clkbuf_leaf_15_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.12    0.28   14.63 ^ mprj/u_mbist1/clkbuf_leaf_15_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.10                           mprj/u_mbist1/clknet_leaf_15_wb_clk_i (net)
-                  0.12    0.00   14.63 ^ mprj/u_mbist1/_4465_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   14.38   clock uncertainty
-                          0.28   14.66   clock reconvergence pessimism
-                          0.21   14.87   library recovery time
-                                 14.87   data required time
------------------------------------------------------------------------------
-                                 14.87   data required time
-                                 -8.49   data arrival time
------------------------------------------------------------------------------
-                                  6.38   slack (MET)
-
-
-Startpoint: mprj/u_mbist0/_4498_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist0/_4179_
-          (recovery check against rising-edge clock wbs_clk_i)
-Path Group: **async_default**
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.27    0.36    0.36 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     2    0.03                           mprj/u_intercon/net2 (net)
-                  0.27    0.00    0.36 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.13    0.50 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net319 (net)
-                  0.06    0.00    0.50 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
-                  0.15    0.19    0.69 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
-                  0.15    0.00    0.69 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    0.86 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net198 (net)
-                  0.12    0.00    0.86 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    0.98 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.24 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.36 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.59 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.70 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    1.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d03 (net)
-                  0.04    0.00    1.83 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
-                  0.04    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.09 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.23 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/net427 (net)
-                  0.05    0.00    2.23 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.09 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist0_skew (net)
-                  1.11    0.05    3.14 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.48 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.48 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.63 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_0_0_wb_clk_i (net)
-                  0.05    0.00    3.63 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    3.77 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_0_1_wb_clk_i (net)
-                  0.05    0.00    3.77 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    3.89 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist0/clknet_1_0_2_wb_clk_i (net)
-                  0.03    0.00    3.89 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.37    0.36    4.25 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.22                           mprj/u_mbist0/clknet_1_0_3_wb_clk_i (net)
-                  0.37    0.01    4.26 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.22    4.48 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_2_0_wb_clk_i (net)
-                  0.05    0.00    4.48 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.61 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_2_1_wb_clk_i (net)
-                  0.04    0.00    4.61 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.74 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist0/clknet_opt_2_2_wb_clk_i (net)
-                  0.04    0.00    4.74 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    4.87 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_mbist0/clknet_opt_2_3_wb_clk_i (net)
-                  0.05    0.00    4.87 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    4.99 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.01                           mprj/u_mbist0/clknet_leaf_24_wb_clk_i (net)
-                  0.03    0.00    4.99 ^ mprj/u_mbist0/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.09    0.36    5.35 ^ mprj/u_mbist0/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.01                           mprj/u_mbist0/u_mbist.mem_no[0].u_cmp.rst_n (net)
-                  0.09    0.00    5.35 ^ mprj/u_mbist0/fanout1728/A (sky130_fd_sc_hd__buf_4)
-                  0.14    0.21    5.56 ^ mprj/u_mbist0/fanout1728/X (sky130_fd_sc_hd__buf_4)
-     4    0.05                           mprj/u_mbist0/net1728 (net)
-                  0.14    0.00    5.56 ^ mprj/u_mbist0/wire1729/A (sky130_fd_sc_hd__buf_4)
-                  0.24    0.28    5.84 ^ mprj/u_mbist0/wire1729/X (sky130_fd_sc_hd__buf_4)
-     2    0.09                           mprj/u_mbist0/net1729 (net)
-                  0.24    0.02    5.86 ^ mprj/u_mbist0/fanout1727/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.42    6.28 ^ mprj/u_mbist0/fanout1727/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_mbist0/net1727 (net)
-                  0.38    0.00    6.28 ^ mprj/u_mbist0/fanout1639/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.24    0.34    6.62 ^ mprj/u_mbist0/fanout1639/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.04                           mprj/u_mbist0/net1639 (net)
-                  0.24    0.00    6.62 ^ mprj/u_mbist0/fanout1629/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.25    0.29    6.91 ^ mprj/u_mbist0/fanout1629/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.41    0.00    6.04 ^ mprj/u_mbist0/fanout1639/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.25    0.35    6.39 ^ mprj/u_mbist0/fanout1639/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.05                           mprj/u_mbist0/net1639 (net)
+                  0.25    0.00    6.39 ^ mprj/u_mbist0/fanout1629/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.23    0.28    6.67 ^ mprj/u_mbist0/fanout1629/X (sky130_fd_sc_hd__dlymetal6s2s_1)
      4    0.02                           mprj/u_mbist0/net1629 (net)
-                  0.25    0.00    6.92 ^ mprj/u_mbist0/fanout1628/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.20    7.12 ^ mprj/u_mbist0/fanout1628/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.23    0.00    6.67 ^ mprj/u_mbist0/fanout1628/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.20    6.87 ^ mprj/u_mbist0/fanout1628/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/net1628 (net)
-                  0.15    0.00    7.12 ^ mprj/u_mbist0/fanout1621/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.21    0.25    7.36 ^ mprj/u_mbist0/fanout1621/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.02                           mprj/u_mbist0/net1621 (net)
-                  0.21    0.00    7.36 ^ mprj/u_mbist0/fanout1617/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.23    0.27    7.64 ^ mprj/u_mbist0/fanout1617/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.02                           mprj/u_mbist0/net1617 (net)
-                  0.23    0.00    7.64 ^ mprj/u_mbist0/fanout1615/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.13    0.23    7.86 ^ mprj/u_mbist0/fanout1615/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.02                           mprj/u_mbist0/net1615 (net)
-                  0.13    0.00    7.86 ^ mprj/u_mbist0/_4179_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  7.86   data arrival time
+                  0.15    0.00    6.87 ^ mprj/u_mbist0/fanout1627/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.20    0.26    7.13 ^ mprj/u_mbist0/fanout1627/X (sky130_fd_sc_hd__clkbuf_2)
+     8    0.04                           mprj/u_mbist0/net1627 (net)
+                  0.20    0.00    7.13 ^ mprj/u_mbist0/fanout1626/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.24    0.28    7.41 ^ mprj/u_mbist0/fanout1626/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist0/net1626 (net)
+                  0.24    0.00    7.41 ^ mprj/u_mbist0/fanout1623/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.23    7.64 ^ mprj/u_mbist0/fanout1623/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.02                           mprj/u_mbist0/net1623 (net)
+                  0.13    0.00    7.64 ^ mprj/u_mbist0/_4298_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
+                                  7.64   data arrival time
 
                          10.00   10.00   clock wbs_clk_i (rise edge)
                           0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.27    0.33   10.33 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     2    0.03                           mprj/u_intercon/net2 (net)
-                  0.27    0.00   10.34 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.12   10.46 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net319 (net)
-                  0.06    0.00   10.46 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
-                  0.15    0.18   10.64 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.41   10.41 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00   10.41 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.19   10.60 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00   10.60 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.21   10.81 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
      2    0.03                           mprj/wbd_clk_mbist0_rp (net)
-                  0.15    0.00   10.64 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.15   10.79 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.00   10.81 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   10.97 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/net198 (net)
-                  0.12    0.00   10.79 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12   10.91 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00   10.97 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00   10.91 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.01 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.00   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00   11.02 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.13   11.15 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.00   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00   11.15 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.26 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11   11.44 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00   11.26 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.37 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00   11.37 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00   11.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.58 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00   11.58 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12   11.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d03 (net)
-                  0.04    0.00   11.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11   11.81 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00   11.44 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
-                  0.04    0.00   11.81 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   11.94 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
      1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
-                  0.07    0.00   11.94 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   12.07 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.93 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist0/net427 (net)
-                  0.05    0.00   12.07 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.80   12.87 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist0_skew (net)
-                  1.11    0.04   12.90 ^ mprj/u_mbist0/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.29   13.20 ^ mprj/u_mbist0/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_mbist0/clknet_0_wb_clk2_i (net)
-                  0.07    0.00   13.20 ^ mprj/u_mbist0/clkbuf_1_1__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.14    0.20   13.40 ^ mprj/u_mbist0/clkbuf_1_1__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.13                           mprj/u_mbist0/clknet_1_1__leaf_wb_clk2_i (net)
-                  0.14    0.01   13.41 ^ mprj/u_mbist0/u_mbist.mem_no[1].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_8)
-                  0.14    0.26   13.67 ^ mprj/u_mbist0/u_mbist.mem_no[1].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-     2    0.08                           mprj/u_mbist0/u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
-                  0.14    0.00   13.68 ^ mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[1].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.09    0.20   13.87 ^ mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[1].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_mbist0/clknet_0_u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
-                  0.09    0.00   13.87 ^ mprj/u_mbist0/clkbuf_3_6__f_u_mbist.mem_no[1].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.09    0.17   14.05 ^ mprj/u_mbist0/clkbuf_3_6__f_u_mbist.mem_no[1].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    16    0.07                           mprj/u_mbist0/clknet_3_6__leaf_u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
-                  0.09    0.01   14.05 ^ mprj/u_mbist0/_4179_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   13.80   clock uncertainty
-                          0.22   14.03   clock reconvergence pessimism
-                          0.22   14.25   library recovery time
-                                 14.25   data required time
+                  0.05    0.00   11.93 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.16 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00   12.16 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.39 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00   12.40 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.59 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00   12.59 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.75 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00   12.75 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.92 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.92 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   13.04 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   13.04 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.16 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.16 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.27 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.27 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.45 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.45 ^ mprj/u_mbist0/clkbuf_leaf_7_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.22   13.68 ^ mprj/u_mbist0/clkbuf_leaf_7_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.12                           mprj/u_mbist0/clknet_leaf_7_wb_clk_i (net)
+                  0.14    0.00   13.68 ^ mprj/u_mbist0/_4298_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   13.43   clock uncertainty
+                          0.23   13.66   clock reconvergence pessimism
+                          0.24   13.89   library recovery time
+                                 13.89   data required time
 -----------------------------------------------------------------------------
-                                 14.25   data required time
-                                 -7.86   data arrival time
+                                 13.89   data required time
+                                 -7.64   data arrival time
 -----------------------------------------------------------------------------
-                                  6.39   slack (MET)
+                                  6.25   slack (MET)
 
 
 Startpoint: mprj/u_mbist1/_4498_
             (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist1/_4179_
+Endpoint: mprj/u_mbist1/_4298_
           (recovery check against rising-edge clock wbs_clk_i)
 Path Group: **async_default**
 Path Type: max
@@ -88276,208 +100858,2316 @@
 -----------------------------------------------------------------------------
                           0.00    0.00   clock wbs_clk_i (rise edge)
                           0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.24    0.53 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.31    0.31 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00    0.32 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.23    0.55 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
      2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.21    0.76 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+                  0.20    0.03    0.58 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.21    0.80 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
      2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02    0.77 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.13    0.90 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.02    0.81 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.12    0.94 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00    0.90 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.17    1.07 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+                  0.06    0.00    0.94 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.17    1.10 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
      2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00    1.07 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    1.22 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.00    1.11 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.16    1.26 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00    1.22 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00    1.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.00    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.50 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    2.07 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    2.07 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00    1.50 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
      1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.60 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.02 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00    2.60 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.46 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05    3.51 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.84 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00    2.02 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.26 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00    2.27 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.25    2.52 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00    2.52 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.20    2.72 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00    2.73 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.17    2.90 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00    2.91 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17    3.08 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.85 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    4.00 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    3.08 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.22 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.02                           mprj/u_mbist1/clknet_1_0_0_wb_clk_i (net)
-                  0.05    0.00    4.00 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    4.13 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    3.22 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.36 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.02                           mprj/u_mbist1/clknet_1_0_1_wb_clk_i (net)
-                  0.05    0.00    4.13 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.25 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.00    3.36 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    3.48 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.01                           mprj/u_mbist1/clknet_1_0_2_wb_clk_i (net)
-                  0.03    0.00    4.25 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.37    0.36    4.61 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.22                           mprj/u_mbist1/clknet_1_0_3_wb_clk_i (net)
-                  0.37    0.01    4.62 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.22    4.85 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    3.48 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    3.67 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist1/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.67 ^ mprj/u_mbist1/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.27    3.94 ^ mprj/u_mbist1/wire5/X (sky130_fd_sc_hd__buf_4)
+     6    0.08                           mprj/u_mbist1/net2098 (net)
+                  0.21    0.00    3.94 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.19    4.13 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.02                           mprj/u_mbist1/clknet_opt_2_0_wb_clk_i (net)
-                  0.05    0.00    4.85 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    4.98 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.13 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.26 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.02                           mprj/u_mbist1/clknet_opt_2_1_wb_clk_i (net)
-                  0.04    0.00    4.98 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.11 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.26 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.39 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.02                           mprj/u_mbist1/clknet_opt_2_2_wb_clk_i (net)
-                  0.04    0.00    5.11 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    5.24 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.39 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.52 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_mbist1/clknet_opt_2_3_wb_clk_i (net)
-                  0.05    0.00    5.24 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    5.36 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00    4.52 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    4.64 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.01                           mprj/u_mbist1/clknet_leaf_24_wb_clk_i (net)
-                  0.03    0.00    5.36 ^ mprj/u_mbist1/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.09    0.36    5.72 ^ mprj/u_mbist1/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
+                  0.03    0.00    4.64 ^ mprj/u_mbist1/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.36    5.00 ^ mprj/u_mbist1/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
      1    0.01                           mprj/u_mbist1/u_mbist.mem_no[0].u_cmp.rst_n (net)
-                  0.09    0.00    5.72 ^ mprj/u_mbist1/fanout1728/A (sky130_fd_sc_hd__buf_4)
-                  0.14    0.21    5.92 ^ mprj/u_mbist1/fanout1728/X (sky130_fd_sc_hd__buf_4)
+                  0.09    0.00    5.00 ^ mprj/u_mbist1/fanout1728/A (sky130_fd_sc_hd__buf_4)
+                  0.15    0.21    5.21 ^ mprj/u_mbist1/fanout1728/X (sky130_fd_sc_hd__buf_4)
      4    0.05                           mprj/u_mbist1/net1728 (net)
-                  0.14    0.00    5.93 ^ mprj/u_mbist1/wire1729/A (sky130_fd_sc_hd__buf_4)
-                  0.24    0.28    6.21 ^ mprj/u_mbist1/wire1729/X (sky130_fd_sc_hd__buf_4)
+                  0.15    0.00    5.21 ^ mprj/u_mbist1/wire1729/A (sky130_fd_sc_hd__buf_4)
+                  0.26    0.29    5.50 ^ mprj/u_mbist1/wire1729/X (sky130_fd_sc_hd__buf_4)
      2    0.09                           mprj/u_mbist1/net1729 (net)
-                  0.24    0.02    6.23 ^ mprj/u_mbist1/fanout1727/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.42    6.65 ^ mprj/u_mbist1/fanout1727/X (sky130_fd_sc_hd__buf_2)
+                  0.26    0.03    5.53 ^ mprj/u_mbist1/fanout1727/A (sky130_fd_sc_hd__buf_2)
+                  0.41    0.44    5.97 ^ mprj/u_mbist1/fanout1727/X (sky130_fd_sc_hd__buf_2)
      4    0.08                           mprj/u_mbist1/net1727 (net)
-                  0.38    0.00    6.65 ^ mprj/u_mbist1/fanout1639/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.24    0.34    6.99 ^ mprj/u_mbist1/fanout1639/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.04                           mprj/u_mbist1/net1639 (net)
-                  0.24    0.00    6.99 ^ mprj/u_mbist1/fanout1629/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.25    0.29    7.28 ^ mprj/u_mbist1/fanout1629/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.41    0.00    5.98 ^ mprj/u_mbist1/fanout1639/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.25    0.35    6.32 ^ mprj/u_mbist1/fanout1639/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.05                           mprj/u_mbist1/net1639 (net)
+                  0.25    0.00    6.32 ^ mprj/u_mbist1/fanout1629/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.23    0.28    6.61 ^ mprj/u_mbist1/fanout1629/X (sky130_fd_sc_hd__dlymetal6s2s_1)
      4    0.02                           mprj/u_mbist1/net1629 (net)
-                  0.25    0.00    7.28 ^ mprj/u_mbist1/fanout1628/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.20    7.48 ^ mprj/u_mbist1/fanout1628/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.23    0.00    6.61 ^ mprj/u_mbist1/fanout1628/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.20    6.81 ^ mprj/u_mbist1/fanout1628/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist1/net1628 (net)
-                  0.15    0.00    7.49 ^ mprj/u_mbist1/fanout1621/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.21    0.25    7.73 ^ mprj/u_mbist1/fanout1621/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.02                           mprj/u_mbist1/net1621 (net)
-                  0.21    0.00    7.73 ^ mprj/u_mbist1/fanout1617/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.23    0.27    8.00 ^ mprj/u_mbist1/fanout1617/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     4    0.02                           mprj/u_mbist1/net1617 (net)
-                  0.23    0.00    8.00 ^ mprj/u_mbist1/fanout1615/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.13    0.23    8.23 ^ mprj/u_mbist1/fanout1615/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.02                           mprj/u_mbist1/net1615 (net)
-                  0.13    0.00    8.23 ^ mprj/u_mbist1/_4179_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  8.23   data arrival time
+                  0.15    0.00    6.81 ^ mprj/u_mbist1/fanout1627/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.20    0.26    7.07 ^ mprj/u_mbist1/fanout1627/X (sky130_fd_sc_hd__clkbuf_2)
+     8    0.04                           mprj/u_mbist1/net1627 (net)
+                  0.20    0.00    7.07 ^ mprj/u_mbist1/fanout1626/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.24    0.28    7.34 ^ mprj/u_mbist1/fanout1626/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist1/net1626 (net)
+                  0.24    0.00    7.34 ^ mprj/u_mbist1/fanout1623/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.23    7.58 ^ mprj/u_mbist1/fanout1623/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.02                           mprj/u_mbist1/net1623 (net)
+                  0.13    0.00    7.58 ^ mprj/u_mbist1/_4298_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
+                                  7.58   data arrival time
 
                          10.00   10.00   clock wbs_clk_i (rise edge)
                           0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.27   10.27 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00   10.27 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.22   10.49 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.29   10.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00   10.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.22   10.51 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
      2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01   10.50 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.20   10.70 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+                  0.20    0.03   10.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.20   10.74 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
      2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02   10.72 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12   10.84 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.02   10.76 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11   10.87 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00   10.84 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.15   10.99 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+                  0.06    0.00   10.87 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.16   11.02 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
      2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00   10.99 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14   11.14 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.00   11.03 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   11.17 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00   11.14 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12   11.25 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00   11.17 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00   11.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.36 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.00   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00   11.36 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.13   11.49 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00   11.49 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.60 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00   11.60 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.71 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00   11.71 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.82 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00   11.82 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.92 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00   11.92 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12   12.04 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00   12.04 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11   12.15 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00   12.15 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   12.28 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00   11.39 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
      1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00   12.28 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   12.41 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.87 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00   12.41 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.80   13.21 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.04   13.24 ^ mprj/u_mbist1/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.29   13.54 ^ mprj/u_mbist1/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00   11.87 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.10 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00   12.10 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.33 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00   12.34 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.53 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00   12.53 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.69 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00   12.70 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.86 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.86 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   12.98 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   12.98 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.10 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.10 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.21 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.21 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.39 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.40 ^ mprj/u_mbist1/clkbuf_leaf_7_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.22   13.62 ^ mprj/u_mbist1/clkbuf_leaf_7_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.12                           mprj/u_mbist1/clknet_leaf_7_wb_clk_i (net)
+                  0.14    0.00   13.62 ^ mprj/u_mbist1/_4298_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   13.37   clock uncertainty
+                          0.22   13.59   clock reconvergence pessimism
+                          0.24   13.83   library recovery time
+                                 13.83   data required time
+-----------------------------------------------------------------------------
+                                 13.83   data required time
+                                 -7.58   data arrival time
+-----------------------------------------------------------------------------
+                                  6.25   slack (MET)
+
+
+Startpoint: mprj/u_mbist0/_4498_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist0/_4229_
+          (recovery check against rising-edge clock wbs_clk_i)
+Path Group: **async_default**
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.44    0.44 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00    0.45 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.20    0.65 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00    0.65 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.23    0.87 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00    0.88 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.17    1.04 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00    1.04 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.12    1.55 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00    1.55 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.08 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00    2.08 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.33 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00    2.33 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.25    2.58 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00    2.58 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.20    2.79 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00    2.79 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.17    2.97 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00    2.97 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17    3.14 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00    3.14 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.28 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_0_0_wb_clk_i (net)
+                  0.05    0.00    3.28 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.42 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_0_1_wb_clk_i (net)
+                  0.05    0.00    3.43 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    3.55 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_0_2_wb_clk_i (net)
+                  0.03    0.00    3.55 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    3.73 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist0/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.73 ^ mprj/u_mbist0/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.27    4.00 ^ mprj/u_mbist0/wire5/X (sky130_fd_sc_hd__buf_4)
+     6    0.08                           mprj/u_mbist0/net2098 (net)
+                  0.21    0.00    4.00 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.19    4.19 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist0/clknet_opt_2_0_wb_clk_i (net)
+                  0.04    0.00    4.19 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.32 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist0/clknet_opt_2_1_wb_clk_i (net)
+                  0.04    0.00    4.32 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.45 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist0/clknet_opt_2_2_wb_clk_i (net)
+                  0.04    0.00    4.45 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.58 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist0/clknet_opt_2_3_wb_clk_i (net)
+                  0.05    0.00    4.59 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    4.70 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_mbist0/clknet_leaf_24_wb_clk_i (net)
+                  0.03    0.00    4.70 ^ mprj/u_mbist0/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.36    5.06 ^ mprj/u_mbist0/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.01                           mprj/u_mbist0/u_mbist.mem_no[0].u_cmp.rst_n (net)
+                  0.09    0.00    5.06 ^ mprj/u_mbist0/fanout1728/A (sky130_fd_sc_hd__buf_4)
+                  0.15    0.21    5.27 ^ mprj/u_mbist0/fanout1728/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_mbist0/net1728 (net)
+                  0.15    0.00    5.27 ^ mprj/u_mbist0/wire1729/A (sky130_fd_sc_hd__buf_4)
+                  0.26    0.29    5.57 ^ mprj/u_mbist0/wire1729/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_mbist0/net1729 (net)
+                  0.26    0.03    5.60 ^ mprj/u_mbist0/fanout1727/A (sky130_fd_sc_hd__buf_2)
+                  0.41    0.44    6.04 ^ mprj/u_mbist0/fanout1727/X (sky130_fd_sc_hd__buf_2)
+     4    0.08                           mprj/u_mbist0/net1727 (net)
+                  0.41    0.00    6.04 ^ mprj/u_mbist0/fanout1639/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.25    0.35    6.39 ^ mprj/u_mbist0/fanout1639/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.05                           mprj/u_mbist0/net1639 (net)
+                  0.25    0.00    6.39 ^ mprj/u_mbist0/fanout1629/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.23    0.28    6.67 ^ mprj/u_mbist0/fanout1629/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist0/net1629 (net)
+                  0.23    0.00    6.67 ^ mprj/u_mbist0/fanout1614/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.20    6.87 ^ mprj/u_mbist0/fanout1614/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net1614 (net)
+                  0.15    0.00    6.87 ^ mprj/u_mbist0/fanout1604/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.18    0.21    7.08 ^ mprj/u_mbist0/fanout1604/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net1604 (net)
+                  0.18    0.00    7.08 ^ mprj/u_mbist0/fanout1600/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.18    0.21    7.29 ^ mprj/u_mbist0/fanout1600/X (sky130_fd_sc_hd__clkbuf_1)
+     3    0.01                           mprj/u_mbist0/net1600 (net)
+                  0.18    0.00    7.29 ^ mprj/u_mbist0/fanout1599/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.16    7.45 ^ mprj/u_mbist0/fanout1599/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net1599 (net)
+                  0.11    0.00    7.45 ^ mprj/u_mbist0/fanout1597/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    7.62 ^ mprj/u_mbist0/fanout1597/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net1597 (net)
+                  0.13    0.00    7.62 ^ mprj/u_mbist0/_4229_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
+                                  7.62   data arrival time
+
+                         10.00   10.00   clock wbs_clk_i (rise edge)
+                          0.00   10.00   clock source latency
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.41   10.41 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00   10.41 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.19   10.60 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00   10.60 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.21   10.81 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00   10.81 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   10.97 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00   10.97 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11   11.44 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00   11.44 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.93 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00   11.93 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.16 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00   12.16 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.39 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00   12.40 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.59 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00   12.59 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.75 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00   12.75 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.92 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.92 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   13.04 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   13.04 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.16 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.16 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.27 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.27 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.45 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.45 ^ mprj/u_mbist0/clkbuf_leaf_20_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.21   13.66 ^ mprj/u_mbist0/clkbuf_leaf_20_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    24    0.10                           mprj/u_mbist0/clknet_leaf_20_wb_clk_i (net)
+                  0.12    0.00   13.66 ^ mprj/u_mbist0/_4229_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   13.41   clock uncertainty
+                          0.23   13.64   clock reconvergence pessimism
+                          0.23   13.87   library recovery time
+                                 13.87   data required time
+-----------------------------------------------------------------------------
+                                 13.87   data required time
+                                 -7.62   data arrival time
+-----------------------------------------------------------------------------
+                                  6.26   slack (MET)
+
+
+Startpoint: mprj/u_mbist1/_4498_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist1/_4229_
+          (recovery check against rising-edge clock wbs_clk_i)
+Path Group: **async_default**
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.31    0.31 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00    0.32 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.23    0.55 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03    0.58 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.21    0.80 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02    0.81 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.12    0.94 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00    0.94 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.17    1.10 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00    1.11 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.16    1.26 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00    1.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.50 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.50 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.02 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00    2.02 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.26 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00    2.27 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.25    2.52 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00    2.52 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.20    2.72 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00    2.73 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.17    2.90 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00    2.91 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17    3.08 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00    3.08 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.22 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_0_0_wb_clk_i (net)
+                  0.05    0.00    3.22 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.36 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_0_1_wb_clk_i (net)
+                  0.05    0.00    3.36 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    3.48 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_0_2_wb_clk_i (net)
+                  0.03    0.00    3.48 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    3.67 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist1/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.67 ^ mprj/u_mbist1/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.27    3.94 ^ mprj/u_mbist1/wire5/X (sky130_fd_sc_hd__buf_4)
+     6    0.08                           mprj/u_mbist1/net2098 (net)
+                  0.21    0.00    3.94 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.19    4.13 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist1/clknet_opt_2_0_wb_clk_i (net)
+                  0.04    0.00    4.13 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.26 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist1/clknet_opt_2_1_wb_clk_i (net)
+                  0.04    0.00    4.26 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.39 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist1/clknet_opt_2_2_wb_clk_i (net)
+                  0.04    0.00    4.39 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.52 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist1/clknet_opt_2_3_wb_clk_i (net)
+                  0.05    0.00    4.52 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    4.64 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_mbist1/clknet_leaf_24_wb_clk_i (net)
+                  0.03    0.00    4.64 ^ mprj/u_mbist1/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.36    5.00 ^ mprj/u_mbist1/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.01                           mprj/u_mbist1/u_mbist.mem_no[0].u_cmp.rst_n (net)
+                  0.09    0.00    5.00 ^ mprj/u_mbist1/fanout1728/A (sky130_fd_sc_hd__buf_4)
+                  0.15    0.21    5.21 ^ mprj/u_mbist1/fanout1728/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_mbist1/net1728 (net)
+                  0.15    0.00    5.21 ^ mprj/u_mbist1/wire1729/A (sky130_fd_sc_hd__buf_4)
+                  0.26    0.29    5.50 ^ mprj/u_mbist1/wire1729/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_mbist1/net1729 (net)
+                  0.26    0.03    5.53 ^ mprj/u_mbist1/fanout1727/A (sky130_fd_sc_hd__buf_2)
+                  0.41    0.44    5.97 ^ mprj/u_mbist1/fanout1727/X (sky130_fd_sc_hd__buf_2)
+     4    0.08                           mprj/u_mbist1/net1727 (net)
+                  0.41    0.00    5.98 ^ mprj/u_mbist1/fanout1639/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.25    0.35    6.32 ^ mprj/u_mbist1/fanout1639/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.05                           mprj/u_mbist1/net1639 (net)
+                  0.25    0.00    6.32 ^ mprj/u_mbist1/fanout1629/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.23    0.28    6.61 ^ mprj/u_mbist1/fanout1629/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist1/net1629 (net)
+                  0.23    0.00    6.61 ^ mprj/u_mbist1/fanout1614/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.20    6.81 ^ mprj/u_mbist1/fanout1614/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net1614 (net)
+                  0.15    0.00    6.81 ^ mprj/u_mbist1/fanout1604/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.18    0.21    7.01 ^ mprj/u_mbist1/fanout1604/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net1604 (net)
+                  0.18    0.00    7.01 ^ mprj/u_mbist1/fanout1600/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.18    0.21    7.23 ^ mprj/u_mbist1/fanout1600/X (sky130_fd_sc_hd__clkbuf_1)
+     3    0.01                           mprj/u_mbist1/net1600 (net)
+                  0.18    0.00    7.23 ^ mprj/u_mbist1/fanout1599/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.16    7.39 ^ mprj/u_mbist1/fanout1599/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net1599 (net)
+                  0.11    0.00    7.39 ^ mprj/u_mbist1/fanout1597/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16    7.55 ^ mprj/u_mbist1/fanout1597/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net1597 (net)
+                  0.13    0.00    7.55 ^ mprj/u_mbist1/_4229_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
+                                  7.55   data arrival time
+
+                         10.00   10.00   clock wbs_clk_i (rise edge)
+                          0.00   10.00   clock source latency
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.29   10.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00   10.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.22   10.51 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03   10.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.20   10.74 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02   10.76 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11   10.87 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00   10.87 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.16   11.02 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00   11.03 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   11.17 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00   11.17 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.39 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.87 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00   11.87 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.10 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00   12.10 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.33 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00   12.34 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.53 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00   12.53 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.69 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00   12.70 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.86 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.86 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   12.98 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   12.98 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.10 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.10 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.21 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.21 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.39 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.40 ^ mprj/u_mbist1/clkbuf_leaf_20_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.21   13.60 ^ mprj/u_mbist1/clkbuf_leaf_20_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    24    0.10                           mprj/u_mbist1/clknet_leaf_20_wb_clk_i (net)
+                  0.12    0.00   13.61 ^ mprj/u_mbist1/_4229_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   13.36   clock uncertainty
+                          0.22   13.58   clock reconvergence pessimism
+                          0.23   13.81   library recovery time
+                                 13.81   data required time
+-----------------------------------------------------------------------------
+                                 13.81   data required time
+                                 -7.55   data arrival time
+-----------------------------------------------------------------------------
+                                  6.26   slack (MET)
+
+
+Startpoint: mprj/u_mbist0/_4498_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist0/_4446_
+          (recovery check against rising-edge clock wbs_clk_i)
+Path Group: **async_default**
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.44    0.44 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00    0.45 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.20    0.65 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00    0.65 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.23    0.87 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00    0.88 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.17    1.04 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00    1.04 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.12    1.55 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00    1.55 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.08 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00    2.08 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.33 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00    2.33 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.25    2.58 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00    2.58 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.20    2.79 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00    2.79 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.17    2.97 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00    2.97 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17    3.14 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00    3.14 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.28 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_0_0_wb_clk_i (net)
+                  0.05    0.00    3.28 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.42 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_0_1_wb_clk_i (net)
+                  0.05    0.00    3.43 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    3.55 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_0_2_wb_clk_i (net)
+                  0.03    0.00    3.55 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    3.73 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist0/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.73 ^ mprj/u_mbist0/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.27    4.00 ^ mprj/u_mbist0/wire5/X (sky130_fd_sc_hd__buf_4)
+     6    0.08                           mprj/u_mbist0/net2098 (net)
+                  0.21    0.00    4.00 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.19    4.19 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist0/clknet_opt_2_0_wb_clk_i (net)
+                  0.04    0.00    4.19 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.32 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist0/clknet_opt_2_1_wb_clk_i (net)
+                  0.04    0.00    4.32 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.45 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist0/clknet_opt_2_2_wb_clk_i (net)
+                  0.04    0.00    4.45 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.58 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist0/clknet_opt_2_3_wb_clk_i (net)
+                  0.05    0.00    4.59 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    4.70 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_mbist0/clknet_leaf_24_wb_clk_i (net)
+                  0.03    0.00    4.70 ^ mprj/u_mbist0/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.36    5.06 ^ mprj/u_mbist0/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.01                           mprj/u_mbist0/u_mbist.mem_no[0].u_cmp.rst_n (net)
+                  0.09    0.00    5.06 ^ mprj/u_mbist0/fanout1728/A (sky130_fd_sc_hd__buf_4)
+                  0.15    0.21    5.27 ^ mprj/u_mbist0/fanout1728/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_mbist0/net1728 (net)
+                  0.15    0.00    5.27 ^ mprj/u_mbist0/wire1729/A (sky130_fd_sc_hd__buf_4)
+                  0.26    0.29    5.57 ^ mprj/u_mbist0/wire1729/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_mbist0/net1729 (net)
+                  0.26    0.03    5.60 ^ mprj/u_mbist0/fanout1727/A (sky130_fd_sc_hd__buf_2)
+                  0.41    0.44    6.04 ^ mprj/u_mbist0/fanout1727/X (sky130_fd_sc_hd__buf_2)
+     4    0.08                           mprj/u_mbist0/net1727 (net)
+                  0.41    0.00    6.04 ^ mprj/u_mbist0/fanout1639/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.25    0.35    6.39 ^ mprj/u_mbist0/fanout1639/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.05                           mprj/u_mbist0/net1639 (net)
+                  0.25    0.00    6.39 ^ mprj/u_mbist0/fanout1629/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.23    0.28    6.67 ^ mprj/u_mbist0/fanout1629/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist0/net1629 (net)
+                  0.23    0.00    6.67 ^ mprj/u_mbist0/fanout1628/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.20    6.87 ^ mprj/u_mbist0/fanout1628/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net1628 (net)
+                  0.15    0.00    6.87 ^ mprj/u_mbist0/fanout1627/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.20    0.26    7.13 ^ mprj/u_mbist0/fanout1627/X (sky130_fd_sc_hd__clkbuf_2)
+     8    0.04                           mprj/u_mbist0/net1627 (net)
+                  0.20    0.00    7.13 ^ mprj/u_mbist0/fanout1626/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.24    0.28    7.41 ^ mprj/u_mbist0/fanout1626/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist0/net1626 (net)
+                  0.24    0.00    7.41 ^ mprj/u_mbist0/fanout1625/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.19    7.60 ^ mprj/u_mbist0/fanout1625/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net1625 (net)
+                  0.14    0.00    7.60 ^ mprj/u_mbist0/_4446_/RESET_B (sky130_fd_sc_hd__dfrtp_4)
+                                  7.60   data arrival time
+
+                         10.00   10.00   clock wbs_clk_i (rise edge)
+                          0.00   10.00   clock source latency
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.41   10.41 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00   10.41 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.19   10.60 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00   10.60 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.21   10.81 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00   10.81 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   10.97 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00   10.97 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11   11.44 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00   11.44 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.93 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00   11.93 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.16 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00   12.16 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.39 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00   12.40 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.59 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00   12.59 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.75 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00   12.75 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.92 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.92 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   13.04 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   13.04 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.16 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.16 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.27 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.27 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.45 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.45 ^ mprj/u_mbist0/clkbuf_leaf_9_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.22   13.67 ^ mprj/u_mbist0/clkbuf_leaf_9_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.12                           mprj/u_mbist0/clknet_leaf_9_wb_clk_i (net)
+                  0.14    0.01   13.68 ^ mprj/u_mbist0/_4446_/CLK (sky130_fd_sc_hd__dfrtp_4)
+                         -0.25   13.43   clock uncertainty
+                          0.23   13.66   clock reconvergence pessimism
+                          0.22   13.87   library recovery time
+                                 13.87   data required time
+-----------------------------------------------------------------------------
+                                 13.87   data required time
+                                 -7.60   data arrival time
+-----------------------------------------------------------------------------
+                                  6.27   slack (MET)
+
+
+Startpoint: mprj/u_mbist1/_4498_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist1/_4446_
+          (recovery check against rising-edge clock wbs_clk_i)
+Path Group: **async_default**
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.31    0.31 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00    0.32 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.23    0.55 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03    0.58 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.21    0.80 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02    0.81 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.12    0.94 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00    0.94 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.17    1.10 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00    1.11 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.16    1.26 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00    1.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.50 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.50 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.02 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00    2.02 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.26 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00    2.27 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.25    2.52 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00    2.52 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.20    2.72 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00    2.73 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.17    2.90 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00    2.91 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17    3.08 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00    3.08 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.22 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_0_0_wb_clk_i (net)
+                  0.05    0.00    3.22 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.36 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_0_1_wb_clk_i (net)
+                  0.05    0.00    3.36 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    3.48 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_0_2_wb_clk_i (net)
+                  0.03    0.00    3.48 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    3.67 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist1/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.67 ^ mprj/u_mbist1/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.27    3.94 ^ mprj/u_mbist1/wire5/X (sky130_fd_sc_hd__buf_4)
+     6    0.08                           mprj/u_mbist1/net2098 (net)
+                  0.21    0.00    3.94 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.19    4.13 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist1/clknet_opt_2_0_wb_clk_i (net)
+                  0.04    0.00    4.13 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.26 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist1/clknet_opt_2_1_wb_clk_i (net)
+                  0.04    0.00    4.26 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.39 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist1/clknet_opt_2_2_wb_clk_i (net)
+                  0.04    0.00    4.39 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.52 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist1/clknet_opt_2_3_wb_clk_i (net)
+                  0.05    0.00    4.52 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    4.64 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_mbist1/clknet_leaf_24_wb_clk_i (net)
+                  0.03    0.00    4.64 ^ mprj/u_mbist1/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.36    5.00 ^ mprj/u_mbist1/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.01                           mprj/u_mbist1/u_mbist.mem_no[0].u_cmp.rst_n (net)
+                  0.09    0.00    5.00 ^ mprj/u_mbist1/fanout1728/A (sky130_fd_sc_hd__buf_4)
+                  0.15    0.21    5.21 ^ mprj/u_mbist1/fanout1728/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_mbist1/net1728 (net)
+                  0.15    0.00    5.21 ^ mprj/u_mbist1/wire1729/A (sky130_fd_sc_hd__buf_4)
+                  0.26    0.29    5.50 ^ mprj/u_mbist1/wire1729/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_mbist1/net1729 (net)
+                  0.26    0.03    5.53 ^ mprj/u_mbist1/fanout1727/A (sky130_fd_sc_hd__buf_2)
+                  0.41    0.44    5.97 ^ mprj/u_mbist1/fanout1727/X (sky130_fd_sc_hd__buf_2)
+     4    0.08                           mprj/u_mbist1/net1727 (net)
+                  0.41    0.00    5.98 ^ mprj/u_mbist1/fanout1639/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.25    0.35    6.32 ^ mprj/u_mbist1/fanout1639/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.05                           mprj/u_mbist1/net1639 (net)
+                  0.25    0.00    6.32 ^ mprj/u_mbist1/fanout1629/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.23    0.28    6.61 ^ mprj/u_mbist1/fanout1629/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist1/net1629 (net)
+                  0.23    0.00    6.61 ^ mprj/u_mbist1/fanout1628/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.20    6.81 ^ mprj/u_mbist1/fanout1628/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net1628 (net)
+                  0.15    0.00    6.81 ^ mprj/u_mbist1/fanout1627/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.20    0.26    7.07 ^ mprj/u_mbist1/fanout1627/X (sky130_fd_sc_hd__clkbuf_2)
+     8    0.04                           mprj/u_mbist1/net1627 (net)
+                  0.20    0.00    7.07 ^ mprj/u_mbist1/fanout1626/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.24    0.28    7.34 ^ mprj/u_mbist1/fanout1626/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist1/net1626 (net)
+                  0.24    0.00    7.34 ^ mprj/u_mbist1/fanout1625/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.19    7.54 ^ mprj/u_mbist1/fanout1625/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net1625 (net)
+                  0.14    0.00    7.54 ^ mprj/u_mbist1/_4446_/RESET_B (sky130_fd_sc_hd__dfrtp_4)
+                                  7.54   data arrival time
+
+                         10.00   10.00   clock wbs_clk_i (rise edge)
+                          0.00   10.00   clock source latency
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.29   10.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00   10.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.22   10.51 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03   10.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.20   10.74 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02   10.76 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11   10.87 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00   10.87 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.16   11.02 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00   11.03 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   11.17 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00   11.17 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.39 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.87 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00   11.87 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.10 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00   12.10 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.33 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00   12.34 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.53 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00   12.53 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.69 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00   12.70 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.86 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.86 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   12.98 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   12.98 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.10 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.10 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.21 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.21 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.39 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.39 ^ mprj/u_mbist1/clkbuf_leaf_9_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.22   13.61 ^ mprj/u_mbist1/clkbuf_leaf_9_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.12                           mprj/u_mbist1/clknet_leaf_9_wb_clk_i (net)
+                  0.14    0.01   13.62 ^ mprj/u_mbist1/_4446_/CLK (sky130_fd_sc_hd__dfrtp_4)
+                         -0.25   13.37   clock uncertainty
+                          0.22   13.59   clock reconvergence pessimism
+                          0.22   13.81   library recovery time
+                                 13.81   data required time
+-----------------------------------------------------------------------------
+                                 13.81   data required time
+                                 -7.54   data arrival time
+-----------------------------------------------------------------------------
+                                  6.27   slack (MET)
+
+
+Startpoint: mprj/u_mbist0/_4498_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist0/_4481_
+          (recovery check against rising-edge clock wbs_clk_i)
+Path Group: **async_default**
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.44    0.44 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00    0.45 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.20    0.65 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00    0.65 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.23    0.87 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00    0.88 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.17    1.04 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00    1.04 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.12    1.55 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00    1.55 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.08 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00    2.08 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.33 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00    2.33 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.25    2.58 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00    2.58 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.20    2.79 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00    2.79 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.17    2.97 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00    2.97 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17    3.14 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00    3.14 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.28 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_0_0_wb_clk_i (net)
+                  0.05    0.00    3.28 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.42 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_0_1_wb_clk_i (net)
+                  0.05    0.00    3.43 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    3.55 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_0_2_wb_clk_i (net)
+                  0.03    0.00    3.55 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    3.73 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist0/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.73 ^ mprj/u_mbist0/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.27    4.00 ^ mprj/u_mbist0/wire5/X (sky130_fd_sc_hd__buf_4)
+     6    0.08                           mprj/u_mbist0/net2098 (net)
+                  0.21    0.00    4.00 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.19    4.19 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist0/clknet_opt_2_0_wb_clk_i (net)
+                  0.04    0.00    4.19 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.32 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist0/clknet_opt_2_1_wb_clk_i (net)
+                  0.04    0.00    4.32 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.45 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist0/clknet_opt_2_2_wb_clk_i (net)
+                  0.04    0.00    4.45 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.58 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist0/clknet_opt_2_3_wb_clk_i (net)
+                  0.05    0.00    4.59 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    4.70 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_mbist0/clknet_leaf_24_wb_clk_i (net)
+                  0.03    0.00    4.70 ^ mprj/u_mbist0/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.36    5.06 ^ mprj/u_mbist0/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.01                           mprj/u_mbist0/u_mbist.mem_no[0].u_cmp.rst_n (net)
+                  0.09    0.00    5.06 ^ mprj/u_mbist0/fanout1728/A (sky130_fd_sc_hd__buf_4)
+                  0.15    0.21    5.27 ^ mprj/u_mbist0/fanout1728/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_mbist0/net1728 (net)
+                  0.15    0.00    5.27 ^ mprj/u_mbist0/wire1729/A (sky130_fd_sc_hd__buf_4)
+                  0.26    0.29    5.57 ^ mprj/u_mbist0/wire1729/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_mbist0/net1729 (net)
+                  0.26    0.03    5.60 ^ mprj/u_mbist0/fanout1727/A (sky130_fd_sc_hd__buf_2)
+                  0.41    0.44    6.04 ^ mprj/u_mbist0/fanout1727/X (sky130_fd_sc_hd__buf_2)
+     4    0.08                           mprj/u_mbist0/net1727 (net)
+                  0.41    0.00    6.04 ^ mprj/u_mbist0/fanout1726/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.23    0.34    6.38 ^ mprj/u_mbist0/fanout1726/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.04                           mprj/u_mbist0/net1726 (net)
+                  0.23    0.00    6.38 ^ mprj/u_mbist0/fanout1725/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.27    0.31    6.69 ^ mprj/u_mbist0/fanout1725/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.03                           mprj/u_mbist0/net1725 (net)
+                  0.27    0.00    6.69 ^ mprj/u_mbist0/fanout1724/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.16    6.86 ^ mprj/u_mbist0/fanout1724/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net1724 (net)
+                  0.09    0.00    6.86 ^ mprj/u_mbist0/fanout1723/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.19    7.04 ^ mprj/u_mbist0/fanout1723/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net1723 (net)
+                  0.17    0.00    7.04 ^ mprj/u_mbist0/fanout1722/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.19    0.22    7.26 ^ mprj/u_mbist0/fanout1722/X (sky130_fd_sc_hd__clkbuf_1)
+     3    0.02                           mprj/u_mbist0/net1722 (net)
+                  0.19    0.00    7.27 ^ mprj/u_mbist0/fanout1721/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.18    0.23    7.50 ^ mprj/u_mbist0/fanout1721/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     3    0.02                           mprj/u_mbist0/net1721 (net)
+                  0.18    0.00    7.50 ^ mprj/u_mbist0/fanout1720/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.15    7.65 ^ mprj/u_mbist0/fanout1720/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net1720 (net)
+                  0.09    0.00    7.65 ^ mprj/u_mbist0/fanout1716/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.19    7.84 ^ mprj/u_mbist0/fanout1716/X (sky130_fd_sc_hd__clkbuf_1)
+     3    0.01                           mprj/u_mbist0/net1716 (net)
+                  0.17    0.00    7.84 ^ mprj/u_mbist0/fanout1715/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.21    0.25    8.09 ^ mprj/u_mbist0/fanout1715/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist0/net1715 (net)
+                  0.21    0.00    8.09 ^ mprj/u_mbist0/_4481_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
+                                  8.09   data arrival time
+
+                         10.00   10.00   clock wbs_clk_i (rise edge)
+                          0.00   10.00   clock source latency
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.41   10.41 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00   10.41 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.19   10.60 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00   10.60 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.21   10.81 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00   10.81 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   10.97 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00   10.97 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11   11.44 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00   11.44 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.93 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00   11.93 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.16 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00   12.16 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.39 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00   12.40 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.59 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00   12.59 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.75 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00   12.75 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.92 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.92 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   13.04 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   13.04 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.16 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.16 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.27 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.27 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.45 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.45 ^ mprj/u_mbist0/wire7/A (sky130_fd_sc_hd__buf_8)
+                  0.20    0.22   13.67 ^ mprj/u_mbist0/wire7/X (sky130_fd_sc_hd__buf_8)
+    18    0.12                           mprj/u_mbist0/net2100 (net)
+                  0.20    0.01   13.69 ^ mprj/u_mbist0/wire6/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.27   13.95 ^ mprj/u_mbist0/wire6/X (sky130_fd_sc_hd__buf_4)
+     8    0.07                           mprj/u_mbist0/net2099 (net)
+                  0.21    0.01   13.96 ^ mprj/u_mbist0/clkbuf_leaf_15_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.23   14.19 ^ mprj/u_mbist0/clkbuf_leaf_15_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           mprj/u_mbist0/clknet_leaf_15_wb_clk_i (net)
+                  0.11    0.00   14.19 ^ mprj/u_mbist0/_4481_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   13.94   clock uncertainty
+                          0.23   14.17   clock reconvergence pessimism
+                          0.21   14.37   library recovery time
+                                 14.37   data required time
+-----------------------------------------------------------------------------
+                                 14.37   data required time
+                                 -8.09   data arrival time
+-----------------------------------------------------------------------------
+                                  6.28   slack (MET)
+
+
+Startpoint: mprj/u_mbist1/_4498_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist1/_4481_
+          (recovery check against rising-edge clock wbs_clk_i)
+Path Group: **async_default**
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.31    0.31 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00    0.32 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.23    0.55 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03    0.58 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.21    0.80 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02    0.81 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.12    0.94 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00    0.94 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.17    1.10 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00    1.11 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.16    1.26 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00    1.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.50 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.50 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.02 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00    2.02 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.26 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00    2.27 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.25    2.52 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00    2.52 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.20    2.72 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00    2.73 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.17    2.90 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00    2.91 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17    3.08 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00    3.08 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.22 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_0_0_wb_clk_i (net)
+                  0.05    0.00    3.22 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.36 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_0_1_wb_clk_i (net)
+                  0.05    0.00    3.36 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    3.48 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_0_2_wb_clk_i (net)
+                  0.03    0.00    3.48 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    3.67 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist1/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.67 ^ mprj/u_mbist1/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.27    3.94 ^ mprj/u_mbist1/wire5/X (sky130_fd_sc_hd__buf_4)
+     6    0.08                           mprj/u_mbist1/net2098 (net)
+                  0.21    0.00    3.94 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.19    4.13 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist1/clknet_opt_2_0_wb_clk_i (net)
+                  0.04    0.00    4.13 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.26 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist1/clknet_opt_2_1_wb_clk_i (net)
+                  0.04    0.00    4.26 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.39 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist1/clknet_opt_2_2_wb_clk_i (net)
+                  0.04    0.00    4.39 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.52 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist1/clknet_opt_2_3_wb_clk_i (net)
+                  0.05    0.00    4.52 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    4.64 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_mbist1/clknet_leaf_24_wb_clk_i (net)
+                  0.03    0.00    4.64 ^ mprj/u_mbist1/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.36    5.00 ^ mprj/u_mbist1/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.01                           mprj/u_mbist1/u_mbist.mem_no[0].u_cmp.rst_n (net)
+                  0.09    0.00    5.00 ^ mprj/u_mbist1/fanout1728/A (sky130_fd_sc_hd__buf_4)
+                  0.15    0.21    5.21 ^ mprj/u_mbist1/fanout1728/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_mbist1/net1728 (net)
+                  0.15    0.00    5.21 ^ mprj/u_mbist1/wire1729/A (sky130_fd_sc_hd__buf_4)
+                  0.26    0.29    5.50 ^ mprj/u_mbist1/wire1729/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_mbist1/net1729 (net)
+                  0.26    0.03    5.53 ^ mprj/u_mbist1/fanout1727/A (sky130_fd_sc_hd__buf_2)
+                  0.41    0.44    5.97 ^ mprj/u_mbist1/fanout1727/X (sky130_fd_sc_hd__buf_2)
+     4    0.08                           mprj/u_mbist1/net1727 (net)
+                  0.41    0.00    5.98 ^ mprj/u_mbist1/fanout1726/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.23    0.34    6.32 ^ mprj/u_mbist1/fanout1726/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.04                           mprj/u_mbist1/net1726 (net)
+                  0.23    0.00    6.32 ^ mprj/u_mbist1/fanout1725/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.27    0.31    6.63 ^ mprj/u_mbist1/fanout1725/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.03                           mprj/u_mbist1/net1725 (net)
+                  0.27    0.00    6.63 ^ mprj/u_mbist1/fanout1724/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.16    6.79 ^ mprj/u_mbist1/fanout1724/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net1724 (net)
+                  0.09    0.00    6.79 ^ mprj/u_mbist1/fanout1723/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.19    6.98 ^ mprj/u_mbist1/fanout1723/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net1723 (net)
+                  0.17    0.00    6.98 ^ mprj/u_mbist1/fanout1722/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.19    0.22    7.20 ^ mprj/u_mbist1/fanout1722/X (sky130_fd_sc_hd__clkbuf_1)
+     3    0.02                           mprj/u_mbist1/net1722 (net)
+                  0.19    0.00    7.20 ^ mprj/u_mbist1/fanout1721/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.18    0.23    7.43 ^ mprj/u_mbist1/fanout1721/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     3    0.02                           mprj/u_mbist1/net1721 (net)
+                  0.18    0.00    7.43 ^ mprj/u_mbist1/fanout1720/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.15    7.58 ^ mprj/u_mbist1/fanout1720/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net1720 (net)
+                  0.09    0.00    7.58 ^ mprj/u_mbist1/fanout1716/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.19    7.77 ^ mprj/u_mbist1/fanout1716/X (sky130_fd_sc_hd__clkbuf_1)
+     3    0.01                           mprj/u_mbist1/net1716 (net)
+                  0.17    0.00    7.77 ^ mprj/u_mbist1/fanout1715/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.21    0.25    8.03 ^ mprj/u_mbist1/fanout1715/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.02                           mprj/u_mbist1/net1715 (net)
+                  0.21    0.00    8.03 ^ mprj/u_mbist1/_4481_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
+                                  8.03   data arrival time
+
+                         10.00   10.00   clock wbs_clk_i (rise edge)
+                          0.00   10.00   clock source latency
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.29   10.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00   10.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.22   10.51 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03   10.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.20   10.74 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02   10.76 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11   10.87 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00   10.87 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.16   11.02 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00   11.03 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   11.17 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00   11.17 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.39 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.87 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00   11.87 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.10 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00   12.10 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.33 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00   12.34 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.53 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00   12.53 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.69 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00   12.70 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.86 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.86 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   12.98 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   12.98 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.10 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.10 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.21 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.21 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.39 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.39 ^ mprj/u_mbist1/wire7/A (sky130_fd_sc_hd__buf_8)
+                  0.20    0.22   13.61 ^ mprj/u_mbist1/wire7/X (sky130_fd_sc_hd__buf_8)
+    18    0.12                           mprj/u_mbist1/net2100 (net)
+                  0.20    0.01   13.63 ^ mprj/u_mbist1/wire6/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.27   13.90 ^ mprj/u_mbist1/wire6/X (sky130_fd_sc_hd__buf_4)
+     8    0.07                           mprj/u_mbist1/net2099 (net)
+                  0.21    0.01   13.90 ^ mprj/u_mbist1/clkbuf_leaf_15_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.23   14.13 ^ mprj/u_mbist1/clkbuf_leaf_15_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           mprj/u_mbist1/clknet_leaf_15_wb_clk_i (net)
+                  0.11    0.00   14.13 ^ mprj/u_mbist1/_4481_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   13.88   clock uncertainty
+                          0.22   14.10   clock reconvergence pessimism
+                          0.21   14.31   library recovery time
+                                 14.31   data required time
+-----------------------------------------------------------------------------
+                                 14.31   data required time
+                                 -8.03   data arrival time
+-----------------------------------------------------------------------------
+                                  6.28   slack (MET)
+
+
+Startpoint: mprj/u_mbist0/_4498_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist0/_4332_
+          (recovery check against rising-edge clock wbs_clk_i)
+Path Group: **async_default**
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.44    0.44 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00    0.45 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.20    0.65 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00    0.65 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.23    0.87 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00    0.88 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.17    1.04 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00    1.04 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.12    1.55 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00    1.55 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.08 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00    2.08 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.33 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00    2.33 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.25    2.58 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00    2.58 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.20    2.79 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00    2.79 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.17    2.97 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00    2.97 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17    3.14 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00    3.14 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.28 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_0_0_wb_clk_i (net)
+                  0.05    0.00    3.28 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.42 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_0_1_wb_clk_i (net)
+                  0.05    0.00    3.43 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    3.55 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_0_2_wb_clk_i (net)
+                  0.03    0.00    3.55 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    3.73 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist0/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.73 ^ mprj/u_mbist0/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.27    4.00 ^ mprj/u_mbist0/wire5/X (sky130_fd_sc_hd__buf_4)
+     6    0.08                           mprj/u_mbist0/net2098 (net)
+                  0.21    0.00    4.00 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.19    4.19 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist0/clknet_opt_2_0_wb_clk_i (net)
+                  0.04    0.00    4.19 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.32 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist0/clknet_opt_2_1_wb_clk_i (net)
+                  0.04    0.00    4.32 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.45 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist0/clknet_opt_2_2_wb_clk_i (net)
+                  0.04    0.00    4.45 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.58 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist0/clknet_opt_2_3_wb_clk_i (net)
+                  0.05    0.00    4.59 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    4.70 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_mbist0/clknet_leaf_24_wb_clk_i (net)
+                  0.03    0.00    4.70 ^ mprj/u_mbist0/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.36    5.06 ^ mprj/u_mbist0/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.01                           mprj/u_mbist0/u_mbist.mem_no[0].u_cmp.rst_n (net)
+                  0.09    0.00    5.06 ^ mprj/u_mbist0/fanout1728/A (sky130_fd_sc_hd__buf_4)
+                  0.15    0.21    5.27 ^ mprj/u_mbist0/fanout1728/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_mbist0/net1728 (net)
+                  0.15    0.00    5.27 ^ mprj/u_mbist0/fanout1595/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.29    5.56 ^ mprj/u_mbist0/fanout1595/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/u_mbist0/net1595 (net)
+                  0.24    0.01    5.57 ^ mprj/u_mbist0/fanout1594/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.20    0.28    5.85 ^ mprj/u_mbist0/fanout1594/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.04                           mprj/u_mbist0/net1594 (net)
+                  0.20    0.00    5.85 ^ mprj/u_mbist0/fanout1555/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.29    0.31    6.17 ^ mprj/u_mbist0/fanout1555/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.03                           mprj/u_mbist0/net1555 (net)
+                  0.29    0.00    6.17 ^ mprj/u_mbist0/fanout1554/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.19    0.24    6.41 ^ mprj/u_mbist0/fanout1554/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.02                           mprj/u_mbist0/net1554 (net)
+                  0.19    0.00    6.41 ^ mprj/u_mbist0/fanout1553/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.16    0.20    6.61 ^ mprj/u_mbist0/fanout1553/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net1553 (net)
+                  0.16    0.00    6.61 ^ mprj/u_mbist0/fanout1552/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.31    0.32    6.93 ^ mprj/u_mbist0/fanout1552/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     6    0.03                           mprj/u_mbist0/net1552 (net)
+                  0.31    0.00    6.93 ^ mprj/u_mbist0/fanout1550/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.14    0.26    7.19 ^ mprj/u_mbist0/fanout1550/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.02                           mprj/u_mbist0/net1550 (net)
+                  0.14    0.00    7.19 ^ mprj/u_mbist0/fanout1549/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.20    7.39 ^ mprj/u_mbist0/fanout1549/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.02                           mprj/u_mbist0/net1549 (net)
+                  0.12    0.00    7.39 ^ mprj/u_mbist0/_4332_/RESET_B (sky130_fd_sc_hd__dfrtp_2)
+                                  7.39   data arrival time
+
+                         10.00   10.00   clock wbs_clk_i (rise edge)
+                          0.00   10.00   clock source latency
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.41   10.41 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00   10.41 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.19   10.60 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00   10.60 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.21   10.81 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00   10.81 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   10.97 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00   10.97 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11   11.44 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00   11.44 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.93 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00   11.93 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.16 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00   12.16 ^ mprj/u_mbist0/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.17    0.24   12.40 ^ mprj/u_mbist0/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2103 (net)
+                  0.17    0.00   12.40 ^ mprj/u_mbist0/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.59 ^ mprj/u_mbist0/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2102 (net)
+                  0.11    0.00   12.60 ^ mprj/u_mbist0/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.76 ^ mprj/u_mbist0/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2101 (net)
+                  0.11    0.01   12.76 ^ mprj/u_mbist0/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14   12.91 ^ mprj/u_mbist0/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist0/clknet_0_wb_clk2_i (net)
+                  0.04    0.00   12.91 ^ mprj/u_mbist0/clkbuf_1_1__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.09    0.15   13.06 ^ mprj/u_mbist0/clkbuf_1_1__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.07                           mprj/u_mbist0/clknet_1_1__leaf_wb_clk2_i (net)
+                  0.09    0.00   13.07 ^ mprj/u_mbist0/u_mbist.mem_no[3].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
+                  0.05    0.16   13.23 ^ mprj/u_mbist0/u_mbist.mem_no[3].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_mbist0/u_mbist.mem_no[3].u_mem_sel.mem_clk (net)
+                  0.05    0.00   13.23 ^ mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[3].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.17   13.39 ^ mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[3].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.08                           mprj/u_mbist0/clknet_0_u_mbist.mem_no[3].u_mem_sel.mem_clk (net)
+                  0.10    0.00   13.39 ^ mprj/u_mbist0/clkbuf_3_4__f_u_mbist.mem_no[3].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.16   13.56 ^ mprj/u_mbist0/clkbuf_3_4__f_u_mbist.mem_no[3].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    14    0.05                           mprj/u_mbist0/clknet_3_4__leaf_u_mbist.mem_no[3].u_mem_sel.mem_clk (net)
+                  0.07    0.00   13.56 ^ mprj/u_mbist0/_4332_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                         -0.25   13.31   clock uncertainty
+                          0.17   13.47   clock reconvergence pessimism
+                          0.20   13.68   library recovery time
+                                 13.68   data required time
+-----------------------------------------------------------------------------
+                                 13.68   data required time
+                                 -7.39   data arrival time
+-----------------------------------------------------------------------------
+                                  6.29   slack (MET)
+
+
+Startpoint: mprj/u_mbist1/_4498_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist1/_4332_
+          (recovery check against rising-edge clock wbs_clk_i)
+Path Group: **async_default**
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.31    0.31 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00    0.32 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.23    0.55 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03    0.58 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.21    0.80 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02    0.81 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.12    0.94 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00    0.94 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.17    1.10 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00    1.11 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.16    1.26 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00    1.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.50 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.50 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.02 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00    2.02 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.26 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00    2.27 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.25    2.52 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00    2.52 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.20    2.72 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00    2.73 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.17    2.90 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00    2.91 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17    3.08 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00    3.08 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.22 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_0_0_wb_clk_i (net)
+                  0.05    0.00    3.22 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.36 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_0_1_wb_clk_i (net)
+                  0.05    0.00    3.36 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    3.48 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_0_2_wb_clk_i (net)
+                  0.03    0.00    3.48 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    3.67 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist1/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.67 ^ mprj/u_mbist1/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.27    3.94 ^ mprj/u_mbist1/wire5/X (sky130_fd_sc_hd__buf_4)
+     6    0.08                           mprj/u_mbist1/net2098 (net)
+                  0.21    0.00    3.94 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.19    4.13 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist1/clknet_opt_2_0_wb_clk_i (net)
+                  0.04    0.00    4.13 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.26 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist1/clknet_opt_2_1_wb_clk_i (net)
+                  0.04    0.00    4.26 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.39 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist1/clknet_opt_2_2_wb_clk_i (net)
+                  0.04    0.00    4.39 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.52 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist1/clknet_opt_2_3_wb_clk_i (net)
+                  0.05    0.00    4.52 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    4.64 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_mbist1/clknet_leaf_24_wb_clk_i (net)
+                  0.03    0.00    4.64 ^ mprj/u_mbist1/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.36    5.00 ^ mprj/u_mbist1/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.01                           mprj/u_mbist1/u_mbist.mem_no[0].u_cmp.rst_n (net)
+                  0.09    0.00    5.00 ^ mprj/u_mbist1/fanout1728/A (sky130_fd_sc_hd__buf_4)
+                  0.15    0.21    5.21 ^ mprj/u_mbist1/fanout1728/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_mbist1/net1728 (net)
+                  0.15    0.00    5.21 ^ mprj/u_mbist1/fanout1595/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.29    5.50 ^ mprj/u_mbist1/fanout1595/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/u_mbist1/net1595 (net)
+                  0.24    0.01    5.51 ^ mprj/u_mbist1/fanout1594/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.20    0.28    5.79 ^ mprj/u_mbist1/fanout1594/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.04                           mprj/u_mbist1/net1594 (net)
+                  0.20    0.00    5.79 ^ mprj/u_mbist1/fanout1555/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.29    0.31    6.11 ^ mprj/u_mbist1/fanout1555/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.03                           mprj/u_mbist1/net1555 (net)
+                  0.29    0.00    6.11 ^ mprj/u_mbist1/fanout1554/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.19    0.24    6.34 ^ mprj/u_mbist1/fanout1554/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.02                           mprj/u_mbist1/net1554 (net)
+                  0.19    0.00    6.34 ^ mprj/u_mbist1/fanout1553/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.16    0.20    6.55 ^ mprj/u_mbist1/fanout1553/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net1553 (net)
+                  0.16    0.00    6.55 ^ mprj/u_mbist1/fanout1552/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.31    0.32    6.87 ^ mprj/u_mbist1/fanout1552/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     6    0.03                           mprj/u_mbist1/net1552 (net)
+                  0.31    0.00    6.87 ^ mprj/u_mbist1/fanout1550/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.14    0.26    7.13 ^ mprj/u_mbist1/fanout1550/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.02                           mprj/u_mbist1/net1550 (net)
+                  0.14    0.00    7.13 ^ mprj/u_mbist1/fanout1549/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.20    7.33 ^ mprj/u_mbist1/fanout1549/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.02                           mprj/u_mbist1/net1549 (net)
+                  0.12    0.00    7.33 ^ mprj/u_mbist1/_4332_/RESET_B (sky130_fd_sc_hd__dfrtp_2)
+                                  7.33   data arrival time
+
+                         10.00   10.00   clock wbs_clk_i (rise edge)
+                          0.00   10.00   clock source latency
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.29   10.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00   10.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.22   10.51 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03   10.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.20   10.74 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02   10.76 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11   10.87 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00   10.87 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.16   11.02 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00   11.03 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   11.17 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00   11.17 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.39 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.87 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00   11.87 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.10 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00   12.10 ^ mprj/u_mbist1/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.17    0.24   12.34 ^ mprj/u_mbist1/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2103 (net)
+                  0.17    0.00   12.34 ^ mprj/u_mbist1/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.53 ^ mprj/u_mbist1/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2102 (net)
+                  0.11    0.00   12.54 ^ mprj/u_mbist1/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.70 ^ mprj/u_mbist1/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2101 (net)
+                  0.11    0.01   12.71 ^ mprj/u_mbist1/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14   12.85 ^ mprj/u_mbist1/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_mbist1/clknet_0_wb_clk2_i (net)
-                  0.07    0.00   13.54 ^ mprj/u_mbist1/clkbuf_1_1__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.14    0.20   13.74 ^ mprj/u_mbist1/clkbuf_1_1__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.13                           mprj/u_mbist1/clknet_1_1__leaf_wb_clk2_i (net)
-                  0.14    0.01   13.75 ^ mprj/u_mbist1/u_mbist.mem_no[1].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_8)
-                  0.14    0.26   14.01 ^ mprj/u_mbist1/u_mbist.mem_no[1].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-     2    0.08                           mprj/u_mbist1/u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
-                  0.14    0.00   14.02 ^ mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[1].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.09    0.20   14.21 ^ mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[1].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_mbist1/clknet_0_u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
-                  0.09    0.00   14.22 ^ mprj/u_mbist1/clkbuf_3_6__f_u_mbist.mem_no[1].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.09    0.17   14.39 ^ mprj/u_mbist1/clkbuf_3_6__f_u_mbist.mem_no[1].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    16    0.07                           mprj/u_mbist1/clknet_3_6__leaf_u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
-                  0.09    0.01   14.40 ^ mprj/u_mbist1/_4179_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   14.15   clock uncertainty
-                          0.25   14.40   clock reconvergence pessimism
-                          0.22   14.62   library recovery time
-                                 14.62   data required time
+                  0.04    0.00   12.85 ^ mprj/u_mbist1/clkbuf_1_1__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.09    0.15   13.01 ^ mprj/u_mbist1/clkbuf_1_1__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.07                           mprj/u_mbist1/clknet_1_1__leaf_wb_clk2_i (net)
+                  0.09    0.00   13.01 ^ mprj/u_mbist1/u_mbist.mem_no[3].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
+                  0.05    0.16   13.17 ^ mprj/u_mbist1/u_mbist.mem_no[3].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_mbist1/u_mbist.mem_no[3].u_mem_sel.mem_clk (net)
+                  0.05    0.00   13.17 ^ mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[3].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.17   13.34 ^ mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[3].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.08                           mprj/u_mbist1/clknet_0_u_mbist.mem_no[3].u_mem_sel.mem_clk (net)
+                  0.10    0.00   13.34 ^ mprj/u_mbist1/clkbuf_3_4__f_u_mbist.mem_no[3].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.16   13.50 ^ mprj/u_mbist1/clkbuf_3_4__f_u_mbist.mem_no[3].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    14    0.05                           mprj/u_mbist1/clknet_3_4__leaf_u_mbist.mem_no[3].u_mem_sel.mem_clk (net)
+                  0.07    0.00   13.50 ^ mprj/u_mbist1/_4332_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                         -0.25   13.25   clock uncertainty
+                          0.16   13.41   clock reconvergence pessimism
+                          0.20   13.62   library recovery time
+                                 13.62   data required time
 -----------------------------------------------------------------------------
-                                 14.62   data required time
-                                 -8.23   data arrival time
+                                 13.62   data required time
+                                 -7.33   data arrival time
 -----------------------------------------------------------------------------
-                                  6.39   slack (MET)
+                                  6.29   slack (MET)
+
+
+Startpoint: mprj/u_mbist0/_4498_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist0/_3999_
+          (recovery check against rising-edge clock wbs_clk_i)
+Path Group: **async_default**
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.44    0.44 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00    0.45 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.20    0.65 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00    0.65 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.23    0.87 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00    0.88 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.17    1.04 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00    1.04 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.17 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.28 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00    1.43 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.12    1.55 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00    1.55 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00    1.68 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.12    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00    1.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.95 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.08 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00    2.08 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.33 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00    2.33 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.25    2.58 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00    2.58 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.20    2.79 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00    2.79 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.17    2.97 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00    2.97 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17    3.14 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00    3.14 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.28 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_0_0_wb_clk_i (net)
+                  0.05    0.00    3.28 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.42 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_0_1_wb_clk_i (net)
+                  0.05    0.00    3.43 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    3.55 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_0_2_wb_clk_i (net)
+                  0.03    0.00    3.55 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    3.73 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist0/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.73 ^ mprj/u_mbist0/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.27    4.00 ^ mprj/u_mbist0/wire5/X (sky130_fd_sc_hd__buf_4)
+     6    0.08                           mprj/u_mbist0/net2098 (net)
+                  0.21    0.00    4.00 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.19    4.19 ^ mprj/u_mbist0/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist0/clknet_opt_2_0_wb_clk_i (net)
+                  0.04    0.00    4.19 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.32 ^ mprj/u_mbist0/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist0/clknet_opt_2_1_wb_clk_i (net)
+                  0.04    0.00    4.32 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.45 ^ mprj/u_mbist0/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist0/clknet_opt_2_2_wb_clk_i (net)
+                  0.04    0.00    4.45 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.58 ^ mprj/u_mbist0/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist0/clknet_opt_2_3_wb_clk_i (net)
+                  0.05    0.00    4.59 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    4.70 ^ mprj/u_mbist0/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_mbist0/clknet_leaf_24_wb_clk_i (net)
+                  0.03    0.00    4.70 ^ mprj/u_mbist0/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.36    5.06 ^ mprj/u_mbist0/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.01                           mprj/u_mbist0/u_mbist.mem_no[0].u_cmp.rst_n (net)
+                  0.09    0.00    5.06 ^ mprj/u_mbist0/fanout1728/A (sky130_fd_sc_hd__buf_4)
+                  0.15    0.21    5.27 ^ mprj/u_mbist0/fanout1728/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_mbist0/net1728 (net)
+                  0.15    0.00    5.27 ^ mprj/u_mbist0/fanout1595/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.29    5.56 ^ mprj/u_mbist0/fanout1595/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/u_mbist0/net1595 (net)
+                  0.24    0.01    5.57 ^ mprj/u_mbist0/fanout1594/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.20    0.28    5.85 ^ mprj/u_mbist0/fanout1594/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.04                           mprj/u_mbist0/net1594 (net)
+                  0.20    0.00    5.85 ^ mprj/u_mbist0/fanout1555/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.29    0.31    6.17 ^ mprj/u_mbist0/fanout1555/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.03                           mprj/u_mbist0/net1555 (net)
+                  0.29    0.00    6.17 ^ mprj/u_mbist0/fanout1554/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.19    0.24    6.41 ^ mprj/u_mbist0/fanout1554/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.02                           mprj/u_mbist0/net1554 (net)
+                  0.19    0.00    6.41 ^ mprj/u_mbist0/fanout1553/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.16    0.20    6.61 ^ mprj/u_mbist0/fanout1553/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net1553 (net)
+                  0.16    0.00    6.61 ^ mprj/u_mbist0/fanout1552/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.31    0.32    6.93 ^ mprj/u_mbist0/fanout1552/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     6    0.03                           mprj/u_mbist0/net1552 (net)
+                  0.31    0.00    6.93 ^ mprj/u_mbist0/fanout1550/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.14    0.26    7.19 ^ mprj/u_mbist0/fanout1550/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.02                           mprj/u_mbist0/net1550 (net)
+                  0.14    0.00    7.19 ^ mprj/u_mbist0/fanout1549/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.20    7.39 ^ mprj/u_mbist0/fanout1549/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.02                           mprj/u_mbist0/net1549 (net)
+                  0.12    0.00    7.39 ^ mprj/u_mbist0/_3999_/RESET_B (sky130_fd_sc_hd__dfrtp_2)
+                                  7.39   data arrival time
+
+                         10.00   10.00   clock wbs_clk_i (rise edge)
+                          0.00   10.00   clock source latency
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.41   10.41 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00   10.41 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.19   10.60 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00   10.60 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.21   10.81 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00   10.81 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   10.97 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00   10.97 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11   11.44 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00   11.44 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.93 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00   11.93 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.16 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00   12.16 ^ mprj/u_mbist0/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.17    0.24   12.40 ^ mprj/u_mbist0/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2103 (net)
+                  0.17    0.00   12.40 ^ mprj/u_mbist0/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.59 ^ mprj/u_mbist0/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2102 (net)
+                  0.11    0.00   12.60 ^ mprj/u_mbist0/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.76 ^ mprj/u_mbist0/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2101 (net)
+                  0.11    0.01   12.76 ^ mprj/u_mbist0/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14   12.91 ^ mprj/u_mbist0/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist0/clknet_0_wb_clk2_i (net)
+                  0.04    0.00   12.91 ^ mprj/u_mbist0/clkbuf_1_1__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.09    0.15   13.06 ^ mprj/u_mbist0/clkbuf_1_1__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.07                           mprj/u_mbist0/clknet_1_1__leaf_wb_clk2_i (net)
+                  0.09    0.00   13.07 ^ mprj/u_mbist0/u_mbist.mem_no[3].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
+                  0.05    0.16   13.23 ^ mprj/u_mbist0/u_mbist.mem_no[3].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_mbist0/u_mbist.mem_no[3].u_mem_sel.mem_clk (net)
+                  0.05    0.00   13.23 ^ mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[3].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.17   13.39 ^ mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[3].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.08                           mprj/u_mbist0/clknet_0_u_mbist.mem_no[3].u_mem_sel.mem_clk (net)
+                  0.10    0.00   13.39 ^ mprj/u_mbist0/clkbuf_3_4__f_u_mbist.mem_no[3].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.16   13.56 ^ mprj/u_mbist0/clkbuf_3_4__f_u_mbist.mem_no[3].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    14    0.05                           mprj/u_mbist0/clknet_3_4__leaf_u_mbist.mem_no[3].u_mem_sel.mem_clk (net)
+                  0.07    0.00   13.56 ^ mprj/u_mbist0/_3999_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                         -0.25   13.31   clock uncertainty
+                          0.17   13.47   clock reconvergence pessimism
+                          0.20   13.68   library recovery time
+                                 13.68   data required time
+-----------------------------------------------------------------------------
+                                 13.68   data required time
+                                 -7.39   data arrival time
+-----------------------------------------------------------------------------
+                                  6.29   slack (MET)
+
+
+Startpoint: mprj/u_mbist1/_4498_
+            (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist1/_3999_
+          (recovery check against rising-edge clock wbs_clk_i)
+Path Group: **async_default**
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock wbs_clk_i (rise edge)
+                          0.00    0.00   clock source latency
+                  0.87    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.31    0.31 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00    0.32 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.23    0.55 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03    0.58 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.21    0.80 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02    0.81 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.12    0.94 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00    0.94 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.17    1.10 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00    1.11 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.16    1.26 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00    1.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.13    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00    1.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11    1.50 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00    1.50 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00    1.63 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00    1.74 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.14    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    1.88 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.14    2.02 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00    2.02 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.25    2.26 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00    2.27 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.25    2.52 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00    2.52 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.20    2.72 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00    2.73 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.17    2.90 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00    2.91 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17    3.08 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00    3.08 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.22 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_0_0_wb_clk_i (net)
+                  0.05    0.00    3.22 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.36 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_0_1_wb_clk_i (net)
+                  0.05    0.00    3.36 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    3.48 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_0_2_wb_clk_i (net)
+                  0.03    0.00    3.48 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    3.67 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist1/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00    3.67 ^ mprj/u_mbist1/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.27    3.94 ^ mprj/u_mbist1/wire5/X (sky130_fd_sc_hd__buf_4)
+     6    0.08                           mprj/u_mbist1/net2098 (net)
+                  0.21    0.00    3.94 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.19    4.13 ^ mprj/u_mbist1/clkbuf_opt_2_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist1/clknet_opt_2_0_wb_clk_i (net)
+                  0.04    0.00    4.13 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.26 ^ mprj/u_mbist1/clkbuf_opt_2_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist1/clknet_opt_2_1_wb_clk_i (net)
+                  0.04    0.00    4.26 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.39 ^ mprj/u_mbist1/clkbuf_opt_2_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist1/clknet_opt_2_2_wb_clk_i (net)
+                  0.04    0.00    4.39 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    4.52 ^ mprj/u_mbist1/clkbuf_opt_2_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist1/clknet_opt_2_3_wb_clk_i (net)
+                  0.05    0.00    4.52 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    4.64 ^ mprj/u_mbist1/clkbuf_leaf_24_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_mbist1/clknet_leaf_24_wb_clk_i (net)
+                  0.03    0.00    4.64 ^ mprj/u_mbist1/_4498_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.36    5.00 ^ mprj/u_mbist1/_4498_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.01                           mprj/u_mbist1/u_mbist.mem_no[0].u_cmp.rst_n (net)
+                  0.09    0.00    5.00 ^ mprj/u_mbist1/fanout1728/A (sky130_fd_sc_hd__buf_4)
+                  0.15    0.21    5.21 ^ mprj/u_mbist1/fanout1728/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_mbist1/net1728 (net)
+                  0.15    0.00    5.21 ^ mprj/u_mbist1/fanout1595/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.29    5.50 ^ mprj/u_mbist1/fanout1595/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/u_mbist1/net1595 (net)
+                  0.24    0.01    5.51 ^ mprj/u_mbist1/fanout1594/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.20    0.28    5.79 ^ mprj/u_mbist1/fanout1594/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.04                           mprj/u_mbist1/net1594 (net)
+                  0.20    0.00    5.79 ^ mprj/u_mbist1/fanout1555/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.29    0.31    6.11 ^ mprj/u_mbist1/fanout1555/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     4    0.03                           mprj/u_mbist1/net1555 (net)
+                  0.29    0.00    6.11 ^ mprj/u_mbist1/fanout1554/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.19    0.24    6.34 ^ mprj/u_mbist1/fanout1554/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.02                           mprj/u_mbist1/net1554 (net)
+                  0.19    0.00    6.34 ^ mprj/u_mbist1/fanout1553/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.16    0.20    6.55 ^ mprj/u_mbist1/fanout1553/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net1553 (net)
+                  0.16    0.00    6.55 ^ mprj/u_mbist1/fanout1552/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.31    0.32    6.87 ^ mprj/u_mbist1/fanout1552/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     6    0.03                           mprj/u_mbist1/net1552 (net)
+                  0.31    0.00    6.87 ^ mprj/u_mbist1/fanout1550/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.14    0.26    7.13 ^ mprj/u_mbist1/fanout1550/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.02                           mprj/u_mbist1/net1550 (net)
+                  0.14    0.00    7.13 ^ mprj/u_mbist1/fanout1549/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.20    7.33 ^ mprj/u_mbist1/fanout1549/X (sky130_fd_sc_hd__clkbuf_2)
+     4    0.02                           mprj/u_mbist1/net1549 (net)
+                  0.12    0.00    7.33 ^ mprj/u_mbist1/_3999_/RESET_B (sky130_fd_sc_hd__dfrtp_2)
+                                  7.33   data arrival time
+
+                         10.00   10.00   clock wbs_clk_i (rise edge)
+                          0.00   10.00   clock source latency
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.29   10.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00   10.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.22   10.51 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03   10.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.20   10.74 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02   10.76 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11   10.87 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00   10.87 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.16   11.02 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00   11.03 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   11.17 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00   11.17 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.39 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.87 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00   11.87 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.10 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00   12.10 ^ mprj/u_mbist1/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.17    0.24   12.34 ^ mprj/u_mbist1/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2103 (net)
+                  0.17    0.00   12.34 ^ mprj/u_mbist1/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.53 ^ mprj/u_mbist1/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2102 (net)
+                  0.11    0.00   12.54 ^ mprj/u_mbist1/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.70 ^ mprj/u_mbist1/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2101 (net)
+                  0.11    0.01   12.71 ^ mprj/u_mbist1/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14   12.85 ^ mprj/u_mbist1/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist1/clknet_0_wb_clk2_i (net)
+                  0.04    0.00   12.85 ^ mprj/u_mbist1/clkbuf_1_1__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.09    0.15   13.01 ^ mprj/u_mbist1/clkbuf_1_1__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.07                           mprj/u_mbist1/clknet_1_1__leaf_wb_clk2_i (net)
+                  0.09    0.00   13.01 ^ mprj/u_mbist1/u_mbist.mem_no[3].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
+                  0.05    0.16   13.17 ^ mprj/u_mbist1/u_mbist.mem_no[3].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_mbist1/u_mbist.mem_no[3].u_mem_sel.mem_clk (net)
+                  0.05    0.00   13.17 ^ mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[3].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.17   13.34 ^ mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[3].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.08                           mprj/u_mbist1/clknet_0_u_mbist.mem_no[3].u_mem_sel.mem_clk (net)
+                  0.10    0.00   13.34 ^ mprj/u_mbist1/clkbuf_3_4__f_u_mbist.mem_no[3].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.16   13.50 ^ mprj/u_mbist1/clkbuf_3_4__f_u_mbist.mem_no[3].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    14    0.05                           mprj/u_mbist1/clknet_3_4__leaf_u_mbist.mem_no[3].u_mem_sel.mem_clk (net)
+                  0.07    0.00   13.50 ^ mprj/u_mbist1/_3999_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                         -0.25   13.25   clock uncertainty
+                          0.16   13.41   clock reconvergence pessimism
+                          0.20   13.62   library recovery time
+                                 13.62   data required time
+-----------------------------------------------------------------------------
+                                 13.62   data required time
+                                 -7.33   data arrival time
+-----------------------------------------------------------------------------
+                                  6.29   slack (MET)
 
 
 Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
@@ -118985,7 +133675,7 @@
                                  45.65   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_5664_
+Startpoint: mprj/u_wb_host/_5658_
             (rising edge-triggered flip-flop clocked by lbist_clk)
 Endpoint: mprj/u_wb_host/u_lbist.u_lbist_core.u_scan_gate.u_clk_gate
           (rising clock gating-check end-point clocked by lbist_clk)
@@ -119000,114 +133690,144 @@
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
                   0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.71 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00    5.99 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.71 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.84 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
-                  0.04    0.00    5.84 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.13    0.20    6.04 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.07                           mprj/u_wb_host/clknet_4_10_0_lbist_clk_int (net)
-                  0.13    0.00    6.04 ^ mprj/u_wb_host/_5664_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.15    0.63    6.67 v mprj/u_wb_host/_5664_/Q (sky130_fd_sc_hd__dfrtp_4)
-     4    0.08                           mprj/u_wb_host/u_lbist.u_lbist_core.scan_clk_enb (net)
-                  0.15    0.01    6.69 v mprj/u_wb_host/u_lbist.u_lbist_core.u_scan_gate.u_clk_gate/GATE (sky130_fd_sc_hd__sdlclkp_2)
-                                  6.69   data arrival time
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    6.45 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_10_0_lbist_clk_int (net)
+                  0.12    0.00    6.46 ^ mprj/u_wb_host/_5658_/CLK (sky130_fd_sc_hd__dfrtp_4)
+                  0.16    0.65    7.10 v mprj/u_wb_host/_5658_/Q (sky130_fd_sc_hd__dfrtp_4)
+     4    0.09                           mprj/u_wb_host/u_lbist.u_lbist_core.scan_clk_enb (net)
+                  0.16    0.00    7.11 v mprj/u_wb_host/u_lbist.u_lbist_core.u_scan_gate.u_clk_gate/GATE (sky130_fd_sc_hd__sdlclkp_2)
+                                  7.11   data arrival time
 
                          10.00   10.00   clock lbist_clk (rise edge)
                           0.00   10.00   clock source latency
@@ -119123,550 +133843,12 @@
                                   9.63   data required time
 -----------------------------------------------------------------------------
                                   9.63   data required time
-                                 -6.69   data arrival time
+                                 -7.11   data arrival time
 -----------------------------------------------------------------------------
-                                  2.94   slack (MET)
+                                  2.53   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_5644_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5667_
-          (rising edge-triggered flip-flop clocked by lbist_clk)
-Path Group: lbist_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.72 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.72 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_0_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.20    6.05 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    28    0.08                           mprj/u_wb_host/clknet_4_0_0_lbist_clk_int (net)
-                  0.14    0.00    6.05 ^ mprj/u_wb_host/_5644_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.11    0.48    6.53 v mprj/u_wb_host/_5644_/Q (sky130_fd_sc_hd__dfrtp_1)
-     5    0.02                           mprj/u_wb_host/u_lbist.u_lbist_core.scan_pat_cnt[1] (net)
-                  0.11    0.00    6.53 v mprj/u_wb_host/_2747_/B (sky130_fd_sc_hd__or4_2)
-                  0.15    0.76    7.29 v mprj/u_wb_host/_2747_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1161_ (net)
-                  0.15    0.00    7.30 v mprj/u_wb_host/_2749_/D (sky130_fd_sc_hd__or4_2)
-                  0.15    0.67    7.97 v mprj/u_wb_host/_2749_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1163_ (net)
-                  0.15    0.00    7.97 v mprj/u_wb_host/_2751_/D (sky130_fd_sc_hd__or4_2)
-                  0.21    0.75    8.72 v mprj/u_wb_host/_2751_/X (sky130_fd_sc_hd__or4_2)
-     8    0.03                           mprj/u_wb_host/_1165_ (net)
-                  0.21    0.00    8.72 v mprj/u_wb_host/_2753_/D (sky130_fd_sc_hd__or4_1)
-                  0.14    0.54    9.27 v mprj/u_wb_host/_2753_/X (sky130_fd_sc_hd__or4_1)
-     4    0.01                           mprj/u_wb_host/_1167_ (net)
-                  0.14    0.00    9.27 v mprj/u_wb_host/_2755_/D (sky130_fd_sc_hd__or4_2)
-                  0.19    0.72    9.99 v mprj/u_wb_host/_2755_/X (sky130_fd_sc_hd__or4_2)
-     8    0.03                           mprj/u_wb_host/_1169_ (net)
-                  0.19    0.00    9.99 v mprj/u_wb_host/_2768_/C (sky130_fd_sc_hd__or4_4)
-                  0.14    0.63   10.62 v mprj/u_wb_host/_2768_/X (sky130_fd_sc_hd__or4_4)
-     7    0.04                           mprj/u_wb_host/_1182_ (net)
-                  0.14    0.00   10.62 v mprj/u_wb_host/_3648_/C1 (sky130_fd_sc_hd__o211ai_4)
-                  0.65    0.32   10.95 ^ mprj/u_wb_host/_3648_/Y (sky130_fd_sc_hd__o211ai_4)
-    32    0.09                           mprj/u_wb_host/_1749_ (net)
-                  0.65    0.00   10.95 ^ mprj/u_wb_host/_3660_/S (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.40   11.34 v mprj/u_wb_host/_3660_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0199_ (net)
-                  0.06    0.00   11.34 v mprj/u_wb_host/_5667_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 11.34   data arrival time
-
-                         10.00   10.00   clock lbist_clk (rise edge)
-                          0.00   10.00   clock source latency
-                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   10.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00   10.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   10.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00   10.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   10.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00   10.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00   10.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00   11.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00   11.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   11.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00   11.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00   11.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00   11.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00   12.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00   12.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00   12.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00   12.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00   12.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00   12.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00   12.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   13.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00   13.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   13.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00   13.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19   13.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00   13.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   13.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00   13.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   13.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00   13.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13   14.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00   14.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62   14.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01   14.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29   15.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00   15.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13   15.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00   15.17 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.13   15.30 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00   15.30 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   15.42 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
-                  0.04    0.00   15.42 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.12    0.18   15.60 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    26    0.06                           mprj/u_wb_host/clknet_4_11_0_lbist_clk_int (net)
-                  0.12    0.00   15.60 ^ mprj/u_wb_host/_5667_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   15.35   clock uncertainty
-                          0.39   15.74   clock reconvergence pessimism
-                         -0.10   15.64   library setup time
-                                 15.64   data required time
------------------------------------------------------------------------------
-                                 15.64   data required time
-                                -11.34   data arrival time
------------------------------------------------------------------------------
-                                  4.30   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5644_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5643_
-          (rising edge-triggered flip-flop clocked by lbist_clk)
-Path Group: lbist_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.72 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.72 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_0_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.20    6.05 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    28    0.08                           mprj/u_wb_host/clknet_4_0_0_lbist_clk_int (net)
-                  0.14    0.00    6.05 ^ mprj/u_wb_host/_5644_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.11    0.48    6.53 v mprj/u_wb_host/_5644_/Q (sky130_fd_sc_hd__dfrtp_1)
-     5    0.02                           mprj/u_wb_host/u_lbist.u_lbist_core.scan_pat_cnt[1] (net)
-                  0.11    0.00    6.53 v mprj/u_wb_host/_2747_/B (sky130_fd_sc_hd__or4_2)
-                  0.15    0.76    7.29 v mprj/u_wb_host/_2747_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1161_ (net)
-                  0.15    0.00    7.30 v mprj/u_wb_host/_2749_/D (sky130_fd_sc_hd__or4_2)
-                  0.15    0.67    7.97 v mprj/u_wb_host/_2749_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1163_ (net)
-                  0.15    0.00    7.97 v mprj/u_wb_host/_2751_/D (sky130_fd_sc_hd__or4_2)
-                  0.21    0.75    8.72 v mprj/u_wb_host/_2751_/X (sky130_fd_sc_hd__or4_2)
-     8    0.03                           mprj/u_wb_host/_1165_ (net)
-                  0.21    0.00    8.72 v mprj/u_wb_host/_2753_/D (sky130_fd_sc_hd__or4_1)
-                  0.14    0.54    9.27 v mprj/u_wb_host/_2753_/X (sky130_fd_sc_hd__or4_1)
-     4    0.01                           mprj/u_wb_host/_1167_ (net)
-                  0.14    0.00    9.27 v mprj/u_wb_host/_2755_/D (sky130_fd_sc_hd__or4_2)
-                  0.19    0.72    9.99 v mprj/u_wb_host/_2755_/X (sky130_fd_sc_hd__or4_2)
-     8    0.03                           mprj/u_wb_host/_1169_ (net)
-                  0.19    0.00    9.99 v mprj/u_wb_host/_2768_/C (sky130_fd_sc_hd__or4_4)
-                  0.14    0.63   10.62 v mprj/u_wb_host/_2768_/X (sky130_fd_sc_hd__or4_4)
-     7    0.04                           mprj/u_wb_host/_1182_ (net)
-                  0.14    0.00   10.62 v mprj/u_wb_host/_3562_/B (sky130_fd_sc_hd__and3b_4)
-                  0.17    0.35   10.97 v mprj/u_wb_host/_3562_/X (sky130_fd_sc_hd__and3b_4)
-    32    0.12                           mprj/u_wb_host/_1685_ (net)
-                  0.17    0.02   10.99 v mprj/u_wb_host/_3565_/A1 (sky130_fd_sc_hd__a32o_1)
-                  0.04    0.28   11.27 v mprj/u_wb_host/_3565_/X (sky130_fd_sc_hd__a32o_1)
-     1    0.00                           mprj/u_wb_host/_0175_ (net)
-                  0.04    0.00   11.27 v mprj/u_wb_host/_5643_/D (sky130_fd_sc_hd__dfrtp_2)
-                                 11.27   data arrival time
-
-                         10.00   10.00   clock lbist_clk (rise edge)
-                          0.00   10.00   clock source latency
-                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   10.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00   10.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   10.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00   10.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   10.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00   10.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00   10.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00   11.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00   11.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   11.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00   11.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00   11.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00   11.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00   12.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00   12.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00   12.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00   12.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00   12.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00   12.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00   12.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   13.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00   13.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   13.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00   13.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19   13.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00   13.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   13.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00   13.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   13.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00   13.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13   14.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00   14.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62   14.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01   14.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29   15.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00   15.03 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13   15.17 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00   15.17 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14   15.31 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00   15.31 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   15.43 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_0_0_lbist_clk_int (net)
-                  0.04    0.00   15.43 ^ mprj/u_wb_host/clkbuf_4_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.10    0.16   15.59 ^ mprj/u_wb_host/clkbuf_4_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.05                           mprj/u_wb_host/clknet_4_1_0_lbist_clk_int (net)
-                  0.10    0.00   15.59 ^ mprj/u_wb_host/_5643_/CLK (sky130_fd_sc_hd__dfrtp_2)
-                         -0.25   15.34   clock uncertainty
-                          0.42   15.76   clock reconvergence pessimism
-                         -0.10   15.66   library setup time
-                                 15.66   data required time
------------------------------------------------------------------------------
-                                 15.66   data required time
-                                -11.27   data arrival time
------------------------------------------------------------------------------
-                                  4.39   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5644_
+Startpoint: mprj/u_wb_host/_5638_
             (rising edge-triggered flip-flop clocked by lbist_clk)
 Endpoint: mprj/u_wb_host/_5649_
           (rising edge-triggered flip-flop clocked by lbist_clk)
@@ -119681,799 +133863,324 @@
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
                   0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.72 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.72 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_0_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.20    6.05 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    28    0.08                           mprj/u_wb_host/clknet_4_0_0_lbist_clk_int (net)
-                  0.14    0.00    6.05 ^ mprj/u_wb_host/_5644_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.11    0.48    6.53 v mprj/u_wb_host/_5644_/Q (sky130_fd_sc_hd__dfrtp_1)
-     5    0.02                           mprj/u_wb_host/u_lbist.u_lbist_core.scan_pat_cnt[1] (net)
-                  0.11    0.00    6.53 v mprj/u_wb_host/_2747_/B (sky130_fd_sc_hd__or4_2)
-                  0.15    0.76    7.29 v mprj/u_wb_host/_2747_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1161_ (net)
-                  0.15    0.00    7.30 v mprj/u_wb_host/_2749_/D (sky130_fd_sc_hd__or4_2)
-                  0.15    0.67    7.97 v mprj/u_wb_host/_2749_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1163_ (net)
-                  0.15    0.00    7.97 v mprj/u_wb_host/_2751_/D (sky130_fd_sc_hd__or4_2)
-                  0.21    0.75    8.72 v mprj/u_wb_host/_2751_/X (sky130_fd_sc_hd__or4_2)
-     8    0.03                           mprj/u_wb_host/_1165_ (net)
-                  0.21    0.00    8.72 v mprj/u_wb_host/_2753_/D (sky130_fd_sc_hd__or4_1)
-                  0.14    0.54    9.27 v mprj/u_wb_host/_2753_/X (sky130_fd_sc_hd__or4_1)
-     4    0.01                           mprj/u_wb_host/_1167_ (net)
-                  0.14    0.00    9.27 v mprj/u_wb_host/_2755_/D (sky130_fd_sc_hd__or4_2)
-                  0.19    0.72    9.99 v mprj/u_wb_host/_2755_/X (sky130_fd_sc_hd__or4_2)
-     8    0.03                           mprj/u_wb_host/_1169_ (net)
-                  0.19    0.00    9.99 v mprj/u_wb_host/_2768_/C (sky130_fd_sc_hd__or4_4)
-                  0.14    0.63   10.62 v mprj/u_wb_host/_2768_/X (sky130_fd_sc_hd__or4_4)
-     7    0.04                           mprj/u_wb_host/_1182_ (net)
-                  0.14    0.00   10.62 v mprj/u_wb_host/_3562_/B (sky130_fd_sc_hd__and3b_4)
-                  0.17    0.35   10.97 v mprj/u_wb_host/_3562_/X (sky130_fd_sc_hd__and3b_4)
-    32    0.12                           mprj/u_wb_host/_1685_ (net)
-                  0.17    0.02   10.99 v mprj/u_wb_host/_3587_/A1 (sky130_fd_sc_hd__a32o_1)
-                  0.04    0.27   11.26 v mprj/u_wb_host/_3587_/X (sky130_fd_sc_hd__a32o_1)
-     1    0.00                           mprj/u_wb_host/_0181_ (net)
-                  0.04    0.00   11.26 v mprj/u_wb_host/_5649_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 11.26   data arrival time
-
-                         10.00   10.00   clock lbist_clk (rise edge)
-                          0.00   10.00   clock source latency
-                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   10.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00   10.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   10.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00   10.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   10.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00   10.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00   10.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00   11.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00   11.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   11.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00   11.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00   11.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00   11.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00   12.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00   12.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00   12.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00   12.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00   12.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00   12.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00   12.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   13.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00   13.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   13.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00   13.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19   13.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00   13.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   13.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00   13.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   13.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00   13.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13   14.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00   14.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62   14.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01   14.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29   15.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00   15.03 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13   15.17 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00   15.17 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14   15.31 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00   15.31 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   15.43 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
-                  0.04    0.00   15.43 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.11    0.17   15.59 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.06                           mprj/u_wb_host/clknet_4_2_0_lbist_clk_int (net)
-                  0.11    0.00   15.59 ^ mprj/u_wb_host/_5649_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   15.34   clock uncertainty
-                          0.41   15.76   clock reconvergence pessimism
-                         -0.10   15.66   library setup time
-                                 15.66   data required time
------------------------------------------------------------------------------
-                                 15.66   data required time
-                                -11.26   data arrival time
------------------------------------------------------------------------------
-                                  4.40   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5644_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5652_
-          (rising edge-triggered flip-flop clocked by lbist_clk)
-Path Group: lbist_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.72 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.72 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_0_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.20    6.05 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    28    0.08                           mprj/u_wb_host/clknet_4_0_0_lbist_clk_int (net)
-                  0.14    0.00    6.05 ^ mprj/u_wb_host/_5644_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.11    0.48    6.53 v mprj/u_wb_host/_5644_/Q (sky130_fd_sc_hd__dfrtp_1)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    6.45 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_3_0_lbist_clk_int (net)
+                  0.12    0.00    6.45 ^ mprj/u_wb_host/_5638_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.10    0.47    6.92 v mprj/u_wb_host/_5638_/Q (sky130_fd_sc_hd__dfrtp_1)
      5    0.02                           mprj/u_wb_host/u_lbist.u_lbist_core.scan_pat_cnt[1] (net)
-                  0.11    0.00    6.53 v mprj/u_wb_host/_2747_/B (sky130_fd_sc_hd__or4_2)
-                  0.15    0.76    7.29 v mprj/u_wb_host/_2747_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1161_ (net)
-                  0.15    0.00    7.30 v mprj/u_wb_host/_2749_/D (sky130_fd_sc_hd__or4_2)
-                  0.15    0.67    7.97 v mprj/u_wb_host/_2749_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1163_ (net)
-                  0.15    0.00    7.97 v mprj/u_wb_host/_2751_/D (sky130_fd_sc_hd__or4_2)
-                  0.21    0.75    8.72 v mprj/u_wb_host/_2751_/X (sky130_fd_sc_hd__or4_2)
-     8    0.03                           mprj/u_wb_host/_1165_ (net)
-                  0.21    0.00    8.72 v mprj/u_wb_host/_2753_/D (sky130_fd_sc_hd__or4_1)
-                  0.14    0.54    9.27 v mprj/u_wb_host/_2753_/X (sky130_fd_sc_hd__or4_1)
-     4    0.01                           mprj/u_wb_host/_1167_ (net)
-                  0.14    0.00    9.27 v mprj/u_wb_host/_2755_/D (sky130_fd_sc_hd__or4_2)
-                  0.19    0.72    9.99 v mprj/u_wb_host/_2755_/X (sky130_fd_sc_hd__or4_2)
-     8    0.03                           mprj/u_wb_host/_1169_ (net)
-                  0.19    0.00    9.99 v mprj/u_wb_host/_2768_/C (sky130_fd_sc_hd__or4_4)
-                  0.14    0.63   10.62 v mprj/u_wb_host/_2768_/X (sky130_fd_sc_hd__or4_4)
-     7    0.04                           mprj/u_wb_host/_1182_ (net)
-                  0.14    0.00   10.62 v mprj/u_wb_host/_3562_/B (sky130_fd_sc_hd__and3b_4)
-                  0.17    0.35   10.97 v mprj/u_wb_host/_3562_/X (sky130_fd_sc_hd__and3b_4)
-    32    0.12                           mprj/u_wb_host/_1685_ (net)
-                  0.17    0.02   10.99 v mprj/u_wb_host/_3598_/A1 (sky130_fd_sc_hd__a32o_1)
-                  0.04    0.27   11.26 v mprj/u_wb_host/_3598_/X (sky130_fd_sc_hd__a32o_1)
-     1    0.00                           mprj/u_wb_host/_0184_ (net)
-                  0.04    0.00   11.26 v mprj/u_wb_host/_5652_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 11.26   data arrival time
-
-                         10.00   10.00   clock lbist_clk (rise edge)
-                          0.00   10.00   clock source latency
-                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   10.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00   10.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   10.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00   10.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   10.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00   10.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00   10.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00   11.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00   11.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   11.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00   11.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00   11.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00   11.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00   12.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00   12.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00   12.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00   12.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00   12.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00   12.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00   12.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   13.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00   13.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   13.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00   13.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19   13.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00   13.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   13.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00   13.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   13.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00   13.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13   14.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00   14.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62   14.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01   14.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29   15.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00   15.03 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13   15.17 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00   15.17 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14   15.31 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00   15.31 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   15.43 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
-                  0.04    0.00   15.43 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.12    0.17   15.60 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    26    0.06                           mprj/u_wb_host/clknet_4_3_0_lbist_clk_int (net)
-                  0.12    0.00   15.60 ^ mprj/u_wb_host/_5652_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   15.35   clock uncertainty
-                          0.41   15.76   clock reconvergence pessimism
-                         -0.10   15.67   library setup time
-                                 15.67   data required time
------------------------------------------------------------------------------
-                                 15.67   data required time
-                                -11.26   data arrival time
------------------------------------------------------------------------------
-                                  4.41   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5644_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5655_
-          (rising edge-triggered flip-flop clocked by lbist_clk)
-Path Group: lbist_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.72 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.72 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_0_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.20    6.05 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    28    0.08                           mprj/u_wb_host/clknet_4_0_0_lbist_clk_int (net)
-                  0.14    0.00    6.05 ^ mprj/u_wb_host/_5644_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.11    0.48    6.53 v mprj/u_wb_host/_5644_/Q (sky130_fd_sc_hd__dfrtp_1)
-     5    0.02                           mprj/u_wb_host/u_lbist.u_lbist_core.scan_pat_cnt[1] (net)
-                  0.11    0.00    6.53 v mprj/u_wb_host/_2747_/B (sky130_fd_sc_hd__or4_2)
-                  0.15    0.76    7.29 v mprj/u_wb_host/_2747_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1161_ (net)
-                  0.15    0.00    7.30 v mprj/u_wb_host/_2749_/D (sky130_fd_sc_hd__or4_2)
-                  0.15    0.67    7.97 v mprj/u_wb_host/_2749_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1163_ (net)
-                  0.15    0.00    7.97 v mprj/u_wb_host/_2751_/D (sky130_fd_sc_hd__or4_2)
-                  0.21    0.75    8.72 v mprj/u_wb_host/_2751_/X (sky130_fd_sc_hd__or4_2)
-     8    0.03                           mprj/u_wb_host/_1165_ (net)
-                  0.21    0.00    8.72 v mprj/u_wb_host/_2753_/D (sky130_fd_sc_hd__or4_1)
-                  0.14    0.54    9.27 v mprj/u_wb_host/_2753_/X (sky130_fd_sc_hd__or4_1)
-     4    0.01                           mprj/u_wb_host/_1167_ (net)
-                  0.14    0.00    9.27 v mprj/u_wb_host/_2755_/D (sky130_fd_sc_hd__or4_2)
-                  0.19    0.72    9.99 v mprj/u_wb_host/_2755_/X (sky130_fd_sc_hd__or4_2)
-     8    0.03                           mprj/u_wb_host/_1169_ (net)
-                  0.19    0.00    9.99 v mprj/u_wb_host/_2768_/C (sky130_fd_sc_hd__or4_4)
-                  0.14    0.63   10.62 v mprj/u_wb_host/_2768_/X (sky130_fd_sc_hd__or4_4)
-     7    0.04                           mprj/u_wb_host/_1182_ (net)
-                  0.14    0.00   10.62 v mprj/u_wb_host/_3562_/B (sky130_fd_sc_hd__and3b_4)
-                  0.17    0.35   10.97 v mprj/u_wb_host/_3562_/X (sky130_fd_sc_hd__and3b_4)
-    32    0.12                           mprj/u_wb_host/_1685_ (net)
-                  0.17    0.01   10.98 v mprj/u_wb_host/_3609_/A1 (sky130_fd_sc_hd__a32o_1)
-                  0.04    0.27   11.24 v mprj/u_wb_host/_3609_/X (sky130_fd_sc_hd__a32o_1)
+                  0.10    0.00    6.92 v mprj/u_wb_host/_2743_/A (sky130_fd_sc_hd__or4_2)
+                  0.14    0.77    7.69 v mprj/u_wb_host/_2743_/X (sky130_fd_sc_hd__or4_2)
+     4    0.01                           mprj/u_wb_host/_1160_ (net)
+                  0.14    0.00    7.69 v mprj/u_wb_host/_2745_/D (sky130_fd_sc_hd__or4_2)
+                  0.15    0.67    8.36 v mprj/u_wb_host/_2745_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_wb_host/_1162_ (net)
+                  0.15    0.00    8.36 v mprj/u_wb_host/_2747_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    8.91 v mprj/u_wb_host/_2747_/X (sky130_fd_sc_hd__or4_1)
+     4    0.02                           mprj/u_wb_host/_1164_ (net)
+                  0.15    0.00    8.91 v mprj/u_wb_host/_2749_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    9.44 v mprj/u_wb_host/_2749_/X (sky130_fd_sc_hd__or4_1)
+     4    0.01                           mprj/u_wb_host/_1166_ (net)
+                  0.15    0.00    9.44 v mprj/u_wb_host/_2751_/D (sky130_fd_sc_hd__or4_2)
+                  0.16    0.70   10.14 v mprj/u_wb_host/_2751_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_wb_host/_1168_ (net)
+                  0.16    0.00   10.14 v mprj/u_wb_host/_2764_/C (sky130_fd_sc_hd__or4_2)
+                  0.17    0.78   10.92 v mprj/u_wb_host/_2764_/X (sky130_fd_sc_hd__or4_2)
+     7    0.02                           mprj/u_wb_host/_1181_ (net)
+                  0.17    0.00   10.92 v mprj/u_wb_host/_3552_/B (sky130_fd_sc_hd__and3b_1)
+                  0.08    0.27   11.19 v mprj/u_wb_host/_3552_/X (sky130_fd_sc_hd__and3b_1)
+     2    0.01                           mprj/u_wb_host/_1678_ (net)
+                  0.08    0.00   11.19 v mprj/u_wb_host/fanout403/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.15    0.26   11.45 v mprj/u_wb_host/fanout403/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.07                           mprj/u_wb_host/net403 (net)
+                  0.15    0.00   11.45 v mprj/u_wb_host/_3599_/A1 (sky130_fd_sc_hd__a32o_1)
+                  0.04    0.26   11.71 v mprj/u_wb_host/_3599_/X (sky130_fd_sc_hd__a32o_1)
      1    0.00                           mprj/u_wb_host/_0187_ (net)
-                  0.04    0.00   11.24 v mprj/u_wb_host/_5655_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 11.24   data arrival time
+                  0.04    0.00   11.71 v mprj/u_wb_host/_5649_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 11.71   data arrival time
 
                          10.00   10.00   clock lbist_clk (rise edge)
                           0.00   10.00   clock source latency
                   0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   10.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.11   10.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   10.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00   10.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   10.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00   10.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   10.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   10.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   10.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00   10.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17   10.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00   10.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00   10.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   11.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00   10.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00   11.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   11.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   11.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00   11.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00   11.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   11.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00   11.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   11.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   11.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   11.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00   11.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00   11.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00   11.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00   11.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   12.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00   11.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   12.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00   12.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00   12.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00   12.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   12.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00   12.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00   12.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   12.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00   12.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00   12.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00   12.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00   12.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   13.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   13.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00   12.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00   13.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14   13.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00   12.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   13.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00   13.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00   13.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   13.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   13.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   13.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00   13.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19   13.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00   13.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10   13.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00   13.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14   13.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00   13.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   13.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00   13.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   14.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00   13.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   13.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00   13.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00   14.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   14.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00   14.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13   14.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00   14.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62   14.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01   14.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29   15.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13   14.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00   14.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13   14.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00   14.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25   15.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00   15.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   15.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00   15.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13   15.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00   15.17 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.13   15.30 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00   15.32 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   15.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00   15.43 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12   15.55 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00   15.55 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   15.69 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00   15.30 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   15.42 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00   15.69 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   15.81 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      2    0.01                           mprj/u_wb_host/clknet_3_4_0_lbist_clk_int (net)
-                  0.04    0.00   15.42 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.19   15.61 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.08                           mprj/u_wb_host/clknet_4_8_0_lbist_clk_int (net)
-                  0.14    0.00   15.62 ^ mprj/u_wb_host/_5655_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   15.37   clock uncertainty
-                          0.39   15.76   clock reconvergence pessimism
-                         -0.09   15.67   library setup time
-                                 15.67   data required time
+                  0.04    0.00   15.81 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.16   15.97 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.05                           mprj/u_wb_host/clknet_4_8_0_lbist_clk_int (net)
+                  0.09    0.00   15.97 ^ mprj/u_wb_host/_5649_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   15.72   clock uncertainty
+                          0.41   16.13   clock reconvergence pessimism
+                         -0.10   16.03   library setup time
+                                 16.03   data required time
 -----------------------------------------------------------------------------
-                                 15.67   data required time
-                                -11.24   data arrival time
+                                 16.03   data required time
+                                -11.71   data arrival time
 -----------------------------------------------------------------------------
-                                  4.42   slack (MET)
+                                  4.32   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_5644_
+Startpoint: mprj/u_wb_host/_5638_
             (rising edge-triggered flip-flop clocked by lbist_clk)
 Endpoint: mprj/u_wb_host/_5646_
           (rising edge-triggered flip-flop clocked by lbist_clk)
@@ -120488,5372 +134195,324 @@
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
                   0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.72 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.72 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_0_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.20    6.05 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    28    0.08                           mprj/u_wb_host/clknet_4_0_0_lbist_clk_int (net)
-                  0.14    0.00    6.05 ^ mprj/u_wb_host/_5644_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.11    0.48    6.53 v mprj/u_wb_host/_5644_/Q (sky130_fd_sc_hd__dfrtp_1)
-     5    0.02                           mprj/u_wb_host/u_lbist.u_lbist_core.scan_pat_cnt[1] (net)
-                  0.11    0.00    6.53 v mprj/u_wb_host/_2747_/B (sky130_fd_sc_hd__or4_2)
-                  0.15    0.76    7.29 v mprj/u_wb_host/_2747_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1161_ (net)
-                  0.15    0.00    7.30 v mprj/u_wb_host/_2749_/D (sky130_fd_sc_hd__or4_2)
-                  0.15    0.67    7.97 v mprj/u_wb_host/_2749_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1163_ (net)
-                  0.15    0.00    7.97 v mprj/u_wb_host/_2751_/D (sky130_fd_sc_hd__or4_2)
-                  0.21    0.75    8.72 v mprj/u_wb_host/_2751_/X (sky130_fd_sc_hd__or4_2)
-     8    0.03                           mprj/u_wb_host/_1165_ (net)
-                  0.21    0.00    8.72 v mprj/u_wb_host/_2753_/D (sky130_fd_sc_hd__or4_1)
-                  0.14    0.54    9.27 v mprj/u_wb_host/_2753_/X (sky130_fd_sc_hd__or4_1)
-     4    0.01                           mprj/u_wb_host/_1167_ (net)
-                  0.14    0.00    9.27 v mprj/u_wb_host/_2755_/D (sky130_fd_sc_hd__or4_2)
-                  0.19    0.72    9.99 v mprj/u_wb_host/_2755_/X (sky130_fd_sc_hd__or4_2)
-     8    0.03                           mprj/u_wb_host/_1169_ (net)
-                  0.19    0.00    9.99 v mprj/u_wb_host/_2768_/C (sky130_fd_sc_hd__or4_4)
-                  0.14    0.63   10.62 v mprj/u_wb_host/_2768_/X (sky130_fd_sc_hd__or4_4)
-     7    0.04                           mprj/u_wb_host/_1182_ (net)
-                  0.14    0.00   10.62 v mprj/u_wb_host/_3562_/B (sky130_fd_sc_hd__and3b_4)
-                  0.17    0.35   10.97 v mprj/u_wb_host/_3562_/X (sky130_fd_sc_hd__and3b_4)
-    32    0.12                           mprj/u_wb_host/_1685_ (net)
-                  0.17    0.02   10.99 v mprj/u_wb_host/_3576_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.04    0.24   11.23 v mprj/u_wb_host/_3576_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_wb_host/_0178_ (net)
-                  0.04    0.00   11.23 v mprj/u_wb_host/_5646_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 11.23   data arrival time
-
-                         10.00   10.00   clock lbist_clk (rise edge)
-                          0.00   10.00   clock source latency
-                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   10.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00   10.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   10.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00   10.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   10.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00   10.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00   10.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00   11.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00   11.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   11.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00   11.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00   11.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00   11.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00   12.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00   12.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00   12.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00   12.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00   12.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00   12.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00   12.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   13.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00   13.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   13.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00   13.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19   13.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00   13.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   13.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00   13.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   13.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00   13.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13   14.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00   14.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62   14.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01   14.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29   15.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00   15.03 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13   15.17 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00   15.17 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14   15.31 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00   15.31 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   15.43 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_0_0_lbist_clk_int (net)
-                  0.04    0.00   15.43 ^ mprj/u_wb_host/clkbuf_4_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.10    0.16   15.59 ^ mprj/u_wb_host/clkbuf_4_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.05                           mprj/u_wb_host/clknet_4_1_0_lbist_clk_int (net)
-                  0.10    0.00   15.59 ^ mprj/u_wb_host/_5646_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   15.34   clock uncertainty
-                          0.42   15.76   clock reconvergence pessimism
-                         -0.10   15.66   library setup time
-                                 15.66   data required time
------------------------------------------------------------------------------
-                                 15.66   data required time
-                                -11.23   data arrival time
------------------------------------------------------------------------------
-                                  4.44   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5644_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5647_
-          (rising edge-triggered flip-flop clocked by lbist_clk)
-Path Group: lbist_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.72 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.72 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_0_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.20    6.05 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    28    0.08                           mprj/u_wb_host/clknet_4_0_0_lbist_clk_int (net)
-                  0.14    0.00    6.05 ^ mprj/u_wb_host/_5644_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.11    0.48    6.53 v mprj/u_wb_host/_5644_/Q (sky130_fd_sc_hd__dfrtp_1)
-     5    0.02                           mprj/u_wb_host/u_lbist.u_lbist_core.scan_pat_cnt[1] (net)
-                  0.11    0.00    6.53 v mprj/u_wb_host/_2747_/B (sky130_fd_sc_hd__or4_2)
-                  0.15    0.76    7.29 v mprj/u_wb_host/_2747_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1161_ (net)
-                  0.15    0.00    7.30 v mprj/u_wb_host/_2749_/D (sky130_fd_sc_hd__or4_2)
-                  0.15    0.67    7.97 v mprj/u_wb_host/_2749_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1163_ (net)
-                  0.15    0.00    7.97 v mprj/u_wb_host/_2751_/D (sky130_fd_sc_hd__or4_2)
-                  0.21    0.75    8.72 v mprj/u_wb_host/_2751_/X (sky130_fd_sc_hd__or4_2)
-     8    0.03                           mprj/u_wb_host/_1165_ (net)
-                  0.21    0.00    8.72 v mprj/u_wb_host/_2753_/D (sky130_fd_sc_hd__or4_1)
-                  0.14    0.54    9.27 v mprj/u_wb_host/_2753_/X (sky130_fd_sc_hd__or4_1)
-     4    0.01                           mprj/u_wb_host/_1167_ (net)
-                  0.14    0.00    9.27 v mprj/u_wb_host/_2755_/D (sky130_fd_sc_hd__or4_2)
-                  0.19    0.72    9.99 v mprj/u_wb_host/_2755_/X (sky130_fd_sc_hd__or4_2)
-     8    0.03                           mprj/u_wb_host/_1169_ (net)
-                  0.19    0.00    9.99 v mprj/u_wb_host/_2768_/C (sky130_fd_sc_hd__or4_4)
-                  0.14    0.63   10.62 v mprj/u_wb_host/_2768_/X (sky130_fd_sc_hd__or4_4)
-     7    0.04                           mprj/u_wb_host/_1182_ (net)
-                  0.14    0.00   10.62 v mprj/u_wb_host/_3562_/B (sky130_fd_sc_hd__and3b_4)
-                  0.17    0.35   10.97 v mprj/u_wb_host/_3562_/X (sky130_fd_sc_hd__and3b_4)
-    32    0.12                           mprj/u_wb_host/_1685_ (net)
-                  0.17    0.02   10.99 v mprj/u_wb_host/_3580_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.04    0.23   11.22 v mprj/u_wb_host/_3580_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_wb_host/_0179_ (net)
-                  0.04    0.00   11.22 v mprj/u_wb_host/_5647_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 11.22   data arrival time
-
-                         10.00   10.00   clock lbist_clk (rise edge)
-                          0.00   10.00   clock source latency
-                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   10.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00   10.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   10.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00   10.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   10.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00   10.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00   10.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00   11.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00   11.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   11.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00   11.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00   11.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00   11.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00   12.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00   12.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00   12.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00   12.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00   12.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00   12.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00   12.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   13.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00   13.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   13.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00   13.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19   13.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00   13.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   13.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00   13.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   13.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00   13.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13   14.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00   14.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62   14.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01   14.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29   15.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00   15.03 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13   15.17 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00   15.17 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14   15.31 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00   15.31 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   15.43 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
-                  0.04    0.00   15.43 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.12    0.17   15.60 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    26    0.06                           mprj/u_wb_host/clknet_4_3_0_lbist_clk_int (net)
-                  0.12    0.00   15.60 ^ mprj/u_wb_host/_5647_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   15.35   clock uncertainty
-                          0.41   15.76   clock reconvergence pessimism
-                         -0.10   15.67   library setup time
-                                 15.67   data required time
------------------------------------------------------------------------------
-                                 15.67   data required time
-                                -11.22   data arrival time
------------------------------------------------------------------------------
-                                  4.45   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5644_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5651_
-          (rising edge-triggered flip-flop clocked by lbist_clk)
-Path Group: lbist_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.72 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.72 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_0_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.20    6.05 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    28    0.08                           mprj/u_wb_host/clknet_4_0_0_lbist_clk_int (net)
-                  0.14    0.00    6.05 ^ mprj/u_wb_host/_5644_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.11    0.48    6.53 v mprj/u_wb_host/_5644_/Q (sky130_fd_sc_hd__dfrtp_1)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    6.45 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_3_0_lbist_clk_int (net)
+                  0.12    0.00    6.45 ^ mprj/u_wb_host/_5638_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.10    0.47    6.92 v mprj/u_wb_host/_5638_/Q (sky130_fd_sc_hd__dfrtp_1)
      5    0.02                           mprj/u_wb_host/u_lbist.u_lbist_core.scan_pat_cnt[1] (net)
-                  0.11    0.00    6.53 v mprj/u_wb_host/_2747_/B (sky130_fd_sc_hd__or4_2)
-                  0.15    0.76    7.29 v mprj/u_wb_host/_2747_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1161_ (net)
-                  0.15    0.00    7.30 v mprj/u_wb_host/_2749_/D (sky130_fd_sc_hd__or4_2)
-                  0.15    0.67    7.97 v mprj/u_wb_host/_2749_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1163_ (net)
-                  0.15    0.00    7.97 v mprj/u_wb_host/_2751_/D (sky130_fd_sc_hd__or4_2)
-                  0.21    0.75    8.72 v mprj/u_wb_host/_2751_/X (sky130_fd_sc_hd__or4_2)
-     8    0.03                           mprj/u_wb_host/_1165_ (net)
-                  0.21    0.00    8.72 v mprj/u_wb_host/_2753_/D (sky130_fd_sc_hd__or4_1)
-                  0.14    0.54    9.27 v mprj/u_wb_host/_2753_/X (sky130_fd_sc_hd__or4_1)
-     4    0.01                           mprj/u_wb_host/_1167_ (net)
-                  0.14    0.00    9.27 v mprj/u_wb_host/_2755_/D (sky130_fd_sc_hd__or4_2)
-                  0.19    0.72    9.99 v mprj/u_wb_host/_2755_/X (sky130_fd_sc_hd__or4_2)
-     8    0.03                           mprj/u_wb_host/_1169_ (net)
-                  0.19    0.00    9.99 v mprj/u_wb_host/_2768_/C (sky130_fd_sc_hd__or4_4)
-                  0.14    0.63   10.62 v mprj/u_wb_host/_2768_/X (sky130_fd_sc_hd__or4_4)
-     7    0.04                           mprj/u_wb_host/_1182_ (net)
-                  0.14    0.00   10.62 v mprj/u_wb_host/_3562_/B (sky130_fd_sc_hd__and3b_4)
-                  0.17    0.35   10.97 v mprj/u_wb_host/_3562_/X (sky130_fd_sc_hd__and3b_4)
-    32    0.12                           mprj/u_wb_host/_1685_ (net)
-                  0.17    0.01   10.99 v mprj/u_wb_host/_3594_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.04    0.23   11.22 v mprj/u_wb_host/_3594_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_wb_host/_0183_ (net)
-                  0.04    0.00   11.22 v mprj/u_wb_host/_5651_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 11.22   data arrival time
+                  0.10    0.00    6.92 v mprj/u_wb_host/_2743_/A (sky130_fd_sc_hd__or4_2)
+                  0.14    0.77    7.69 v mprj/u_wb_host/_2743_/X (sky130_fd_sc_hd__or4_2)
+     4    0.01                           mprj/u_wb_host/_1160_ (net)
+                  0.14    0.00    7.69 v mprj/u_wb_host/_2745_/D (sky130_fd_sc_hd__or4_2)
+                  0.15    0.67    8.36 v mprj/u_wb_host/_2745_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_wb_host/_1162_ (net)
+                  0.15    0.00    8.36 v mprj/u_wb_host/_2747_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    8.91 v mprj/u_wb_host/_2747_/X (sky130_fd_sc_hd__or4_1)
+     4    0.02                           mprj/u_wb_host/_1164_ (net)
+                  0.15    0.00    8.91 v mprj/u_wb_host/_2749_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    9.44 v mprj/u_wb_host/_2749_/X (sky130_fd_sc_hd__or4_1)
+     4    0.01                           mprj/u_wb_host/_1166_ (net)
+                  0.15    0.00    9.44 v mprj/u_wb_host/_2751_/D (sky130_fd_sc_hd__or4_2)
+                  0.16    0.70   10.14 v mprj/u_wb_host/_2751_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_wb_host/_1168_ (net)
+                  0.16    0.00   10.14 v mprj/u_wb_host/_2764_/C (sky130_fd_sc_hd__or4_2)
+                  0.17    0.78   10.92 v mprj/u_wb_host/_2764_/X (sky130_fd_sc_hd__or4_2)
+     7    0.02                           mprj/u_wb_host/_1181_ (net)
+                  0.17    0.00   10.92 v mprj/u_wb_host/_3552_/B (sky130_fd_sc_hd__and3b_1)
+                  0.08    0.27   11.19 v mprj/u_wb_host/_3552_/X (sky130_fd_sc_hd__and3b_1)
+     2    0.01                           mprj/u_wb_host/_1678_ (net)
+                  0.08    0.00   11.19 v mprj/u_wb_host/fanout404/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.17    0.24   11.43 v mprj/u_wb_host/fanout404/X (sky130_fd_sc_hd__clkbuf_2)
+    12    0.04                           mprj/u_wb_host/net404 (net)
+                  0.17    0.00   11.43 v mprj/u_wb_host/_3588_/A1 (sky130_fd_sc_hd__a32o_1)
+                  0.04    0.27   11.70 v mprj/u_wb_host/_3588_/X (sky130_fd_sc_hd__a32o_1)
+     1    0.00                           mprj/u_wb_host/_0184_ (net)
+                  0.04    0.00   11.70 v mprj/u_wb_host/_5646_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 11.70   data arrival time
 
                          10.00   10.00   clock lbist_clk (rise edge)
                           0.00   10.00   clock source latency
                   0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   10.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.11   10.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   10.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00   10.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   10.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00   10.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   10.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   10.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   10.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00   10.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17   10.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00   10.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00   10.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   11.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00   10.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00   11.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   11.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   11.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00   11.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00   11.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   11.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00   11.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   11.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   11.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   11.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00   11.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00   11.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00   11.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00   11.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   12.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00   11.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   12.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00   12.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00   12.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00   12.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   12.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00   12.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00   12.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   12.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00   12.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00   12.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00   12.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00   12.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   13.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   13.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00   12.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00   13.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14   13.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00   12.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   13.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00   13.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00   13.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   13.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   13.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   13.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00   13.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19   13.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00   13.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10   13.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00   13.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14   13.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00   13.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   13.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00   13.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   14.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00   13.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   13.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00   13.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00   14.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   14.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00   14.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13   14.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00   14.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62   14.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01   14.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29   15.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13   14.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00   14.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13   14.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00   14.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25   15.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00   15.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   15.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00   15.03 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13   15.17 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00   15.17 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14   15.31 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00   15.31 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   15.43 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
-                  0.04    0.00   15.43 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.12    0.17   15.60 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    26    0.06                           mprj/u_wb_host/clknet_4_3_0_lbist_clk_int (net)
-                  0.12    0.00   15.60 ^ mprj/u_wb_host/_5651_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   15.35   clock uncertainty
-                          0.41   15.76   clock reconvergence pessimism
-                         -0.10   15.67   library setup time
-                                 15.67   data required time
------------------------------------------------------------------------------
-                                 15.67   data required time
-                                -11.22   data arrival time
------------------------------------------------------------------------------
-                                  4.45   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5644_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5648_
-          (rising edge-triggered flip-flop clocked by lbist_clk)
-Path Group: lbist_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.72 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.72 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_0_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.20    6.05 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    28    0.08                           mprj/u_wb_host/clknet_4_0_0_lbist_clk_int (net)
-                  0.14    0.00    6.05 ^ mprj/u_wb_host/_5644_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.11    0.48    6.53 v mprj/u_wb_host/_5644_/Q (sky130_fd_sc_hd__dfrtp_1)
-     5    0.02                           mprj/u_wb_host/u_lbist.u_lbist_core.scan_pat_cnt[1] (net)
-                  0.11    0.00    6.53 v mprj/u_wb_host/_2747_/B (sky130_fd_sc_hd__or4_2)
-                  0.15    0.76    7.29 v mprj/u_wb_host/_2747_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1161_ (net)
-                  0.15    0.00    7.30 v mprj/u_wb_host/_2749_/D (sky130_fd_sc_hd__or4_2)
-                  0.15    0.67    7.97 v mprj/u_wb_host/_2749_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1163_ (net)
-                  0.15    0.00    7.97 v mprj/u_wb_host/_2751_/D (sky130_fd_sc_hd__or4_2)
-                  0.21    0.75    8.72 v mprj/u_wb_host/_2751_/X (sky130_fd_sc_hd__or4_2)
-     8    0.03                           mprj/u_wb_host/_1165_ (net)
-                  0.21    0.00    8.72 v mprj/u_wb_host/_2753_/D (sky130_fd_sc_hd__or4_1)
-                  0.14    0.54    9.27 v mprj/u_wb_host/_2753_/X (sky130_fd_sc_hd__or4_1)
-     4    0.01                           mprj/u_wb_host/_1167_ (net)
-                  0.14    0.00    9.27 v mprj/u_wb_host/_2755_/D (sky130_fd_sc_hd__or4_2)
-                  0.19    0.72    9.99 v mprj/u_wb_host/_2755_/X (sky130_fd_sc_hd__or4_2)
-     8    0.03                           mprj/u_wb_host/_1169_ (net)
-                  0.19    0.00    9.99 v mprj/u_wb_host/_2768_/C (sky130_fd_sc_hd__or4_4)
-                  0.14    0.63   10.62 v mprj/u_wb_host/_2768_/X (sky130_fd_sc_hd__or4_4)
-     7    0.04                           mprj/u_wb_host/_1182_ (net)
-                  0.14    0.00   10.62 v mprj/u_wb_host/_3562_/B (sky130_fd_sc_hd__and3b_4)
-                  0.17    0.35   10.97 v mprj/u_wb_host/_3562_/X (sky130_fd_sc_hd__and3b_4)
-    32    0.12                           mprj/u_wb_host/_1685_ (net)
-                  0.17    0.02   10.99 v mprj/u_wb_host/_3583_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.04    0.23   11.22 v mprj/u_wb_host/_3583_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_wb_host/_0180_ (net)
-                  0.04    0.00   11.22 v mprj/u_wb_host/_5648_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 11.22   data arrival time
-
-                         10.00   10.00   clock lbist_clk (rise edge)
-                          0.00   10.00   clock source latency
-                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   10.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00   10.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   10.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00   10.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   10.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00   10.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00   10.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00   11.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00   11.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   11.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00   11.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00   11.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00   11.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00   12.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00   12.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00   12.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00   12.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00   12.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00   12.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00   12.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   13.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00   13.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   13.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00   13.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19   13.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00   13.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   13.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00   13.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   13.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00   13.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13   14.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00   14.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62   14.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01   14.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29   15.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00   15.03 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13   15.17 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00   15.17 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14   15.31 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00   15.31 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   15.43 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_0_0_lbist_clk_int (net)
-                  0.04    0.00   15.43 ^ mprj/u_wb_host/clkbuf_4_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.10    0.16   15.59 ^ mprj/u_wb_host/clkbuf_4_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.05                           mprj/u_wb_host/clknet_4_1_0_lbist_clk_int (net)
-                  0.10    0.00   15.59 ^ mprj/u_wb_host/_5648_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   15.34   clock uncertainty
-                          0.42   15.76   clock reconvergence pessimism
-                         -0.10   15.67   library setup time
-                                 15.67   data required time
------------------------------------------------------------------------------
-                                 15.67   data required time
-                                -11.22   data arrival time
------------------------------------------------------------------------------
-                                  4.45   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5644_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5658_
-          (rising edge-triggered flip-flop clocked by lbist_clk)
-Path Group: lbist_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.72 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.72 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_0_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.20    6.05 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    28    0.08                           mprj/u_wb_host/clknet_4_0_0_lbist_clk_int (net)
-                  0.14    0.00    6.05 ^ mprj/u_wb_host/_5644_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.11    0.48    6.53 v mprj/u_wb_host/_5644_/Q (sky130_fd_sc_hd__dfrtp_1)
-     5    0.02                           mprj/u_wb_host/u_lbist.u_lbist_core.scan_pat_cnt[1] (net)
-                  0.11    0.00    6.53 v mprj/u_wb_host/_2747_/B (sky130_fd_sc_hd__or4_2)
-                  0.15    0.76    7.29 v mprj/u_wb_host/_2747_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1161_ (net)
-                  0.15    0.00    7.30 v mprj/u_wb_host/_2749_/D (sky130_fd_sc_hd__or4_2)
-                  0.15    0.67    7.97 v mprj/u_wb_host/_2749_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1163_ (net)
-                  0.15    0.00    7.97 v mprj/u_wb_host/_2751_/D (sky130_fd_sc_hd__or4_2)
-                  0.21    0.75    8.72 v mprj/u_wb_host/_2751_/X (sky130_fd_sc_hd__or4_2)
-     8    0.03                           mprj/u_wb_host/_1165_ (net)
-                  0.21    0.00    8.72 v mprj/u_wb_host/_2753_/D (sky130_fd_sc_hd__or4_1)
-                  0.14    0.54    9.27 v mprj/u_wb_host/_2753_/X (sky130_fd_sc_hd__or4_1)
-     4    0.01                           mprj/u_wb_host/_1167_ (net)
-                  0.14    0.00    9.27 v mprj/u_wb_host/_2755_/D (sky130_fd_sc_hd__or4_2)
-                  0.19    0.72    9.99 v mprj/u_wb_host/_2755_/X (sky130_fd_sc_hd__or4_2)
-     8    0.03                           mprj/u_wb_host/_1169_ (net)
-                  0.19    0.00    9.99 v mprj/u_wb_host/_2768_/C (sky130_fd_sc_hd__or4_4)
-                  0.14    0.63   10.62 v mprj/u_wb_host/_2768_/X (sky130_fd_sc_hd__or4_4)
-     7    0.04                           mprj/u_wb_host/_1182_ (net)
-                  0.14    0.00   10.62 v mprj/u_wb_host/_3562_/B (sky130_fd_sc_hd__and3b_4)
-                  0.17    0.35   10.97 v mprj/u_wb_host/_3562_/X (sky130_fd_sc_hd__and3b_4)
-    32    0.12                           mprj/u_wb_host/_1685_ (net)
-                  0.17    0.00   10.97 v mprj/u_wb_host/_3619_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.04    0.23   11.20 v mprj/u_wb_host/_3619_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_wb_host/_0190_ (net)
-                  0.04    0.00   11.20 v mprj/u_wb_host/_5658_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 11.20   data arrival time
-
-                         10.00   10.00   clock lbist_clk (rise edge)
-                          0.00   10.00   clock source latency
-                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   10.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00   10.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   10.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00   10.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   10.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00   10.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00   10.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00   11.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00   11.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   11.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00   11.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00   11.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00   11.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00   12.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00   12.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00   12.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00   12.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00   12.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00   12.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00   12.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   13.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00   13.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   13.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00   13.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19   13.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00   13.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   13.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00   13.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   13.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00   13.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13   14.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00   14.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62   14.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01   14.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29   15.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00   15.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13   15.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00   15.17 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.13   15.30 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00   15.32 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   15.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00   15.43 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12   15.55 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00   15.55 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   15.69 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00   15.30 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   15.42 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
-                  0.04    0.00   15.42 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.13    0.18   15.60 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.07                           mprj/u_wb_host/clknet_4_10_0_lbist_clk_int (net)
-                  0.13    0.00   15.60 ^ mprj/u_wb_host/_5658_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   15.35   clock uncertainty
-                          0.39   15.75   clock reconvergence pessimism
-                         -0.09   15.65   library setup time
-                                 15.65   data required time
------------------------------------------------------------------------------
-                                 15.65   data required time
-                                -11.20   data arrival time
------------------------------------------------------------------------------
-                                  4.45   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5644_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5650_
-          (rising edge-triggered flip-flop clocked by lbist_clk)
-Path Group: lbist_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.72 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.72 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_0_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.20    6.05 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    28    0.08                           mprj/u_wb_host/clknet_4_0_0_lbist_clk_int (net)
-                  0.14    0.00    6.05 ^ mprj/u_wb_host/_5644_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.11    0.48    6.53 v mprj/u_wb_host/_5644_/Q (sky130_fd_sc_hd__dfrtp_1)
-     5    0.02                           mprj/u_wb_host/u_lbist.u_lbist_core.scan_pat_cnt[1] (net)
-                  0.11    0.00    6.53 v mprj/u_wb_host/_2747_/B (sky130_fd_sc_hd__or4_2)
-                  0.15    0.76    7.29 v mprj/u_wb_host/_2747_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1161_ (net)
-                  0.15    0.00    7.30 v mprj/u_wb_host/_2749_/D (sky130_fd_sc_hd__or4_2)
-                  0.15    0.67    7.97 v mprj/u_wb_host/_2749_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1163_ (net)
-                  0.15    0.00    7.97 v mprj/u_wb_host/_2751_/D (sky130_fd_sc_hd__or4_2)
-                  0.21    0.75    8.72 v mprj/u_wb_host/_2751_/X (sky130_fd_sc_hd__or4_2)
-     8    0.03                           mprj/u_wb_host/_1165_ (net)
-                  0.21    0.00    8.72 v mprj/u_wb_host/_2753_/D (sky130_fd_sc_hd__or4_1)
-                  0.14    0.54    9.27 v mprj/u_wb_host/_2753_/X (sky130_fd_sc_hd__or4_1)
-     4    0.01                           mprj/u_wb_host/_1167_ (net)
-                  0.14    0.00    9.27 v mprj/u_wb_host/_2755_/D (sky130_fd_sc_hd__or4_2)
-                  0.19    0.72    9.99 v mprj/u_wb_host/_2755_/X (sky130_fd_sc_hd__or4_2)
-     8    0.03                           mprj/u_wb_host/_1169_ (net)
-                  0.19    0.00    9.99 v mprj/u_wb_host/_2768_/C (sky130_fd_sc_hd__or4_4)
-                  0.14    0.63   10.62 v mprj/u_wb_host/_2768_/X (sky130_fd_sc_hd__or4_4)
-     7    0.04                           mprj/u_wb_host/_1182_ (net)
-                  0.14    0.00   10.62 v mprj/u_wb_host/_3562_/B (sky130_fd_sc_hd__and3b_4)
-                  0.17    0.35   10.97 v mprj/u_wb_host/_3562_/X (sky130_fd_sc_hd__and3b_4)
-    32    0.12                           mprj/u_wb_host/_1685_ (net)
-                  0.17    0.02   10.99 v mprj/u_wb_host/_3591_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.04    0.23   11.21 v mprj/u_wb_host/_3591_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_wb_host/_0182_ (net)
-                  0.04    0.00   11.21 v mprj/u_wb_host/_5650_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 11.21   data arrival time
-
-                         10.00   10.00   clock lbist_clk (rise edge)
-                          0.00   10.00   clock source latency
-                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   10.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00   10.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   10.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00   10.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   10.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00   10.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00   10.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00   11.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00   11.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   11.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00   11.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00   11.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00   11.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00   12.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00   12.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00   12.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00   12.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00   12.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00   12.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00   12.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   13.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00   13.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   13.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00   13.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19   13.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00   13.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   13.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00   13.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   13.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00   13.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13   14.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00   14.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62   14.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01   14.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29   15.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00   15.03 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13   15.17 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00   15.17 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14   15.31 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00   15.31 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   15.43 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
-                  0.04    0.00   15.43 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.12    0.17   15.60 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    26    0.06                           mprj/u_wb_host/clknet_4_3_0_lbist_clk_int (net)
-                  0.12    0.00   15.60 ^ mprj/u_wb_host/_5650_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   15.35   clock uncertainty
-                          0.41   15.76   clock reconvergence pessimism
-                         -0.10   15.67   library setup time
-                                 15.67   data required time
------------------------------------------------------------------------------
-                                 15.67   data required time
-                                -11.21   data arrival time
------------------------------------------------------------------------------
-                                  4.45   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5644_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5679_
-          (rising edge-triggered flip-flop clocked by lbist_clk)
-Path Group: lbist_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.72 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.72 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_0_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.20    6.05 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    28    0.08                           mprj/u_wb_host/clknet_4_0_0_lbist_clk_int (net)
-                  0.14    0.00    6.05 ^ mprj/u_wb_host/_5644_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.11    0.48    6.53 v mprj/u_wb_host/_5644_/Q (sky130_fd_sc_hd__dfrtp_1)
-     5    0.02                           mprj/u_wb_host/u_lbist.u_lbist_core.scan_pat_cnt[1] (net)
-                  0.11    0.00    6.53 v mprj/u_wb_host/_2747_/B (sky130_fd_sc_hd__or4_2)
-                  0.15    0.76    7.29 v mprj/u_wb_host/_2747_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1161_ (net)
-                  0.15    0.00    7.30 v mprj/u_wb_host/_2749_/D (sky130_fd_sc_hd__or4_2)
-                  0.15    0.67    7.97 v mprj/u_wb_host/_2749_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1163_ (net)
-                  0.15    0.00    7.97 v mprj/u_wb_host/_2751_/D (sky130_fd_sc_hd__or4_2)
-                  0.21    0.75    8.72 v mprj/u_wb_host/_2751_/X (sky130_fd_sc_hd__or4_2)
-     8    0.03                           mprj/u_wb_host/_1165_ (net)
-                  0.21    0.00    8.72 v mprj/u_wb_host/_2753_/D (sky130_fd_sc_hd__or4_1)
-                  0.14    0.54    9.27 v mprj/u_wb_host/_2753_/X (sky130_fd_sc_hd__or4_1)
-     4    0.01                           mprj/u_wb_host/_1167_ (net)
-                  0.14    0.00    9.27 v mprj/u_wb_host/_2755_/D (sky130_fd_sc_hd__or4_2)
-                  0.19    0.72    9.99 v mprj/u_wb_host/_2755_/X (sky130_fd_sc_hd__or4_2)
-     8    0.03                           mprj/u_wb_host/_1169_ (net)
-                  0.19    0.00    9.99 v mprj/u_wb_host/_2768_/C (sky130_fd_sc_hd__or4_4)
-                  0.14    0.63   10.62 v mprj/u_wb_host/_2768_/X (sky130_fd_sc_hd__or4_4)
-     7    0.04                           mprj/u_wb_host/_1182_ (net)
-                  0.14    0.00   10.62 v mprj/u_wb_host/_3652_/C (sky130_fd_sc_hd__and4_4)
-                  0.12    0.32   10.94 v mprj/u_wb_host/_3652_/X (sky130_fd_sc_hd__and4_4)
-    30    0.08                           mprj/u_wb_host/_1753_ (net)
-                  0.12    0.00   10.95 v mprj/u_wb_host/_3704_/A1 (sky130_fd_sc_hd__a32o_1)
-                  0.04    0.25   11.19 v mprj/u_wb_host/_3704_/X (sky130_fd_sc_hd__a32o_1)
-     1    0.00                           mprj/u_wb_host/_0211_ (net)
-                  0.04    0.00   11.19 v mprj/u_wb_host/_5679_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 11.19   data arrival time
-
-                         10.00   10.00   clock lbist_clk (rise edge)
-                          0.00   10.00   clock source latency
-                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   10.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00   10.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   10.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00   10.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   10.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00   10.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00   10.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00   11.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00   11.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   11.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00   11.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00   11.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00   11.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00   12.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00   12.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00   12.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00   12.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00   12.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00   12.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00   12.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   13.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00   13.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   13.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00   13.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19   13.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00   13.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   13.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00   13.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   13.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00   13.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13   14.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00   14.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62   14.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01   14.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29   15.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00   15.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13   15.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00   15.17 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.13   15.30 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00   15.30 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   15.42 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
-                  0.04    0.00   15.42 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.12    0.18   15.60 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    26    0.06                           mprj/u_wb_host/clknet_4_11_0_lbist_clk_int (net)
-                  0.12    0.00   15.60 ^ mprj/u_wb_host/_5679_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   15.35   clock uncertainty
-                          0.39   15.74   clock reconvergence pessimism
-                         -0.09   15.65   library setup time
-                                 15.65   data required time
------------------------------------------------------------------------------
-                                 15.65   data required time
-                                -11.19   data arrival time
------------------------------------------------------------------------------
-                                  4.45   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5644_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5657_
-          (rising edge-triggered flip-flop clocked by lbist_clk)
-Path Group: lbist_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.72 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.72 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_0_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.20    6.05 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    28    0.08                           mprj/u_wb_host/clknet_4_0_0_lbist_clk_int (net)
-                  0.14    0.00    6.05 ^ mprj/u_wb_host/_5644_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.11    0.48    6.53 v mprj/u_wb_host/_5644_/Q (sky130_fd_sc_hd__dfrtp_1)
-     5    0.02                           mprj/u_wb_host/u_lbist.u_lbist_core.scan_pat_cnt[1] (net)
-                  0.11    0.00    6.53 v mprj/u_wb_host/_2747_/B (sky130_fd_sc_hd__or4_2)
-                  0.15    0.76    7.29 v mprj/u_wb_host/_2747_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1161_ (net)
-                  0.15    0.00    7.30 v mprj/u_wb_host/_2749_/D (sky130_fd_sc_hd__or4_2)
-                  0.15    0.67    7.97 v mprj/u_wb_host/_2749_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1163_ (net)
-                  0.15    0.00    7.97 v mprj/u_wb_host/_2751_/D (sky130_fd_sc_hd__or4_2)
-                  0.21    0.75    8.72 v mprj/u_wb_host/_2751_/X (sky130_fd_sc_hd__or4_2)
-     8    0.03                           mprj/u_wb_host/_1165_ (net)
-                  0.21    0.00    8.72 v mprj/u_wb_host/_2753_/D (sky130_fd_sc_hd__or4_1)
-                  0.14    0.54    9.27 v mprj/u_wb_host/_2753_/X (sky130_fd_sc_hd__or4_1)
-     4    0.01                           mprj/u_wb_host/_1167_ (net)
-                  0.14    0.00    9.27 v mprj/u_wb_host/_2755_/D (sky130_fd_sc_hd__or4_2)
-                  0.19    0.72    9.99 v mprj/u_wb_host/_2755_/X (sky130_fd_sc_hd__or4_2)
-     8    0.03                           mprj/u_wb_host/_1169_ (net)
-                  0.19    0.00    9.99 v mprj/u_wb_host/_2768_/C (sky130_fd_sc_hd__or4_4)
-                  0.14    0.63   10.62 v mprj/u_wb_host/_2768_/X (sky130_fd_sc_hd__or4_4)
-     7    0.04                           mprj/u_wb_host/_1182_ (net)
-                  0.14    0.00   10.62 v mprj/u_wb_host/_3562_/B (sky130_fd_sc_hd__and3b_4)
-                  0.17    0.35   10.97 v mprj/u_wb_host/_3562_/X (sky130_fd_sc_hd__and3b_4)
-    32    0.12                           mprj/u_wb_host/_1685_ (net)
-                  0.17    0.00   10.97 v mprj/u_wb_host/_3616_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.04    0.22   11.20 v mprj/u_wb_host/_3616_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_wb_host/_0189_ (net)
-                  0.04    0.00   11.20 v mprj/u_wb_host/_5657_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 11.20   data arrival time
-
-                         10.00   10.00   clock lbist_clk (rise edge)
-                          0.00   10.00   clock source latency
-                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   10.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00   10.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   10.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00   10.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   10.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00   10.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00   10.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00   11.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00   11.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   11.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00   11.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00   11.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00   11.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00   12.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00   12.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00   12.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00   12.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00   12.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00   12.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00   12.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   13.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00   13.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   13.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00   13.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19   13.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00   13.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   13.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00   13.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   13.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00   13.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13   14.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00   14.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62   14.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01   14.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29   15.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00   15.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13   15.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00   15.17 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.13   15.30 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00   15.30 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   15.42 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
-                  0.04    0.00   15.42 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.13    0.18   15.60 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.07                           mprj/u_wb_host/clknet_4_10_0_lbist_clk_int (net)
-                  0.13    0.00   15.60 ^ mprj/u_wb_host/_5657_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   15.35   clock uncertainty
-                          0.39   15.75   clock reconvergence pessimism
-                         -0.09   15.66   library setup time
-                                 15.66   data required time
------------------------------------------------------------------------------
-                                 15.66   data required time
-                                -11.20   data arrival time
------------------------------------------------------------------------------
-                                  4.46   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5644_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5653_
-          (rising edge-triggered flip-flop clocked by lbist_clk)
-Path Group: lbist_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.72 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.72 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_0_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.20    6.05 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    28    0.08                           mprj/u_wb_host/clknet_4_0_0_lbist_clk_int (net)
-                  0.14    0.00    6.05 ^ mprj/u_wb_host/_5644_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.11    0.48    6.53 v mprj/u_wb_host/_5644_/Q (sky130_fd_sc_hd__dfrtp_1)
-     5    0.02                           mprj/u_wb_host/u_lbist.u_lbist_core.scan_pat_cnt[1] (net)
-                  0.11    0.00    6.53 v mprj/u_wb_host/_2747_/B (sky130_fd_sc_hd__or4_2)
-                  0.15    0.76    7.29 v mprj/u_wb_host/_2747_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1161_ (net)
-                  0.15    0.00    7.30 v mprj/u_wb_host/_2749_/D (sky130_fd_sc_hd__or4_2)
-                  0.15    0.67    7.97 v mprj/u_wb_host/_2749_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1163_ (net)
-                  0.15    0.00    7.97 v mprj/u_wb_host/_2751_/D (sky130_fd_sc_hd__or4_2)
-                  0.21    0.75    8.72 v mprj/u_wb_host/_2751_/X (sky130_fd_sc_hd__or4_2)
-     8    0.03                           mprj/u_wb_host/_1165_ (net)
-                  0.21    0.00    8.72 v mprj/u_wb_host/_2753_/D (sky130_fd_sc_hd__or4_1)
-                  0.14    0.54    9.27 v mprj/u_wb_host/_2753_/X (sky130_fd_sc_hd__or4_1)
-     4    0.01                           mprj/u_wb_host/_1167_ (net)
-                  0.14    0.00    9.27 v mprj/u_wb_host/_2755_/D (sky130_fd_sc_hd__or4_2)
-                  0.19    0.72    9.99 v mprj/u_wb_host/_2755_/X (sky130_fd_sc_hd__or4_2)
-     8    0.03                           mprj/u_wb_host/_1169_ (net)
-                  0.19    0.00    9.99 v mprj/u_wb_host/_2768_/C (sky130_fd_sc_hd__or4_4)
-                  0.14    0.63   10.62 v mprj/u_wb_host/_2768_/X (sky130_fd_sc_hd__or4_4)
-     7    0.04                           mprj/u_wb_host/_1182_ (net)
-                  0.14    0.00   10.62 v mprj/u_wb_host/_3562_/B (sky130_fd_sc_hd__and3b_4)
-                  0.17    0.35   10.97 v mprj/u_wb_host/_3562_/X (sky130_fd_sc_hd__and3b_4)
-    32    0.12                           mprj/u_wb_host/_1685_ (net)
-                  0.17    0.01   10.98 v mprj/u_wb_host/_3602_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.04    0.23   11.20 v mprj/u_wb_host/_3602_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_wb_host/_0185_ (net)
-                  0.04    0.00   11.20 v mprj/u_wb_host/_5653_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 11.20   data arrival time
-
-                         10.00   10.00   clock lbist_clk (rise edge)
-                          0.00   10.00   clock source latency
-                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   10.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00   10.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   10.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00   10.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   10.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00   10.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00   10.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00   11.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00   11.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   11.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00   11.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00   11.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00   11.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00   12.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00   12.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00   12.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00   12.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00   12.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00   12.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00   12.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   13.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00   13.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   13.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00   13.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19   13.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00   13.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   13.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00   13.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   13.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00   13.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13   14.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00   14.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62   14.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01   14.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29   15.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00   15.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13   15.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00   15.17 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.13   15.30 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00   15.30 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   15.42 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00   15.69 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   15.81 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      2    0.01                           mprj/u_wb_host/clknet_3_4_0_lbist_clk_int (net)
-                  0.04    0.00   15.42 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.19   15.61 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.08                           mprj/u_wb_host/clknet_4_8_0_lbist_clk_int (net)
-                  0.14    0.00   15.62 ^ mprj/u_wb_host/_5653_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   15.37   clock uncertainty
-                          0.39   15.76   clock reconvergence pessimism
-                         -0.09   15.67   library setup time
-                                 15.67   data required time
+                  0.04    0.00   15.81 ^ mprj/u_wb_host/clkbuf_4_9_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.10    0.16   15.97 ^ mprj/u_wb_host/clkbuf_4_9_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    15    0.05                           mprj/u_wb_host/clknet_4_9_0_lbist_clk_int (net)
+                  0.10    0.00   15.97 ^ mprj/u_wb_host/_5646_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   15.72   clock uncertainty
+                          0.41   16.14   clock reconvergence pessimism
+                         -0.10   16.04   library setup time
+                                 16.04   data required time
 -----------------------------------------------------------------------------
-                                 15.67   data required time
-                                -11.20   data arrival time
+                                 16.04   data required time
+                                -11.70   data arrival time
 -----------------------------------------------------------------------------
-                                  4.46   slack (MET)
+                                  4.34   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_5644_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5676_
-          (rising edge-triggered flip-flop clocked by lbist_clk)
-Path Group: lbist_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.72 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.72 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_0_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.20    6.05 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    28    0.08                           mprj/u_wb_host/clknet_4_0_0_lbist_clk_int (net)
-                  0.14    0.00    6.05 ^ mprj/u_wb_host/_5644_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.11    0.48    6.53 v mprj/u_wb_host/_5644_/Q (sky130_fd_sc_hd__dfrtp_1)
-     5    0.02                           mprj/u_wb_host/u_lbist.u_lbist_core.scan_pat_cnt[1] (net)
-                  0.11    0.00    6.53 v mprj/u_wb_host/_2747_/B (sky130_fd_sc_hd__or4_2)
-                  0.15    0.76    7.29 v mprj/u_wb_host/_2747_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1161_ (net)
-                  0.15    0.00    7.30 v mprj/u_wb_host/_2749_/D (sky130_fd_sc_hd__or4_2)
-                  0.15    0.67    7.97 v mprj/u_wb_host/_2749_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1163_ (net)
-                  0.15    0.00    7.97 v mprj/u_wb_host/_2751_/D (sky130_fd_sc_hd__or4_2)
-                  0.21    0.75    8.72 v mprj/u_wb_host/_2751_/X (sky130_fd_sc_hd__or4_2)
-     8    0.03                           mprj/u_wb_host/_1165_ (net)
-                  0.21    0.00    8.72 v mprj/u_wb_host/_2753_/D (sky130_fd_sc_hd__or4_1)
-                  0.14    0.54    9.27 v mprj/u_wb_host/_2753_/X (sky130_fd_sc_hd__or4_1)
-     4    0.01                           mprj/u_wb_host/_1167_ (net)
-                  0.14    0.00    9.27 v mprj/u_wb_host/_2755_/D (sky130_fd_sc_hd__or4_2)
-                  0.19    0.72    9.99 v mprj/u_wb_host/_2755_/X (sky130_fd_sc_hd__or4_2)
-     8    0.03                           mprj/u_wb_host/_1169_ (net)
-                  0.19    0.00    9.99 v mprj/u_wb_host/_2768_/C (sky130_fd_sc_hd__or4_4)
-                  0.14    0.63   10.62 v mprj/u_wb_host/_2768_/X (sky130_fd_sc_hd__or4_4)
-     7    0.04                           mprj/u_wb_host/_1182_ (net)
-                  0.14    0.00   10.62 v mprj/u_wb_host/_3648_/C1 (sky130_fd_sc_hd__o211ai_4)
-                  0.65    0.32   10.95 ^ mprj/u_wb_host/_3648_/Y (sky130_fd_sc_hd__o211ai_4)
-    32    0.09                           mprj/u_wb_host/_1749_ (net)
-                  0.65    0.00   10.95 ^ mprj/u_wb_host/_3693_/A2 (sky130_fd_sc_hd__a22o_1)
-                  0.06    0.26   11.21 ^ mprj/u_wb_host/_3693_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_wb_host/_0208_ (net)
-                  0.06    0.00   11.21 ^ mprj/u_wb_host/_5676_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 11.21   data arrival time
-
-                         10.00   10.00   clock lbist_clk (rise edge)
-                          0.00   10.00   clock source latency
-                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   10.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00   10.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   10.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00   10.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   10.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00   10.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00   10.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00   11.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00   11.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   11.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00   11.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00   11.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00   11.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00   12.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00   12.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00   12.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00   12.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00   12.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00   12.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00   12.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   13.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00   13.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   13.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00   13.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19   13.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00   13.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   13.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00   13.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   13.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00   13.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13   14.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00   14.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62   14.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01   14.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29   15.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00   15.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13   15.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00   15.17 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13   15.30 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
-                  0.05    0.00   15.30 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   15.41 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_7_0_lbist_clk_int (net)
-                  0.04    0.00   15.41 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.11    0.17   15.58 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    24    0.06                           mprj/u_wb_host/clknet_4_14_0_lbist_clk_int (net)
-                  0.11    0.00   15.59 ^ mprj/u_wb_host/_5676_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   15.34   clock uncertainty
-                          0.39   15.73   clock reconvergence pessimism
-                         -0.05   15.67   library setup time
-                                 15.67   data required time
------------------------------------------------------------------------------
-                                 15.67   data required time
-                                -11.21   data arrival time
------------------------------------------------------------------------------
-                                  4.46   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5644_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5656_
-          (rising edge-triggered flip-flop clocked by lbist_clk)
-Path Group: lbist_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.72 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.72 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_0_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.20    6.05 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    28    0.08                           mprj/u_wb_host/clknet_4_0_0_lbist_clk_int (net)
-                  0.14    0.00    6.05 ^ mprj/u_wb_host/_5644_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.11    0.48    6.53 v mprj/u_wb_host/_5644_/Q (sky130_fd_sc_hd__dfrtp_1)
-     5    0.02                           mprj/u_wb_host/u_lbist.u_lbist_core.scan_pat_cnt[1] (net)
-                  0.11    0.00    6.53 v mprj/u_wb_host/_2747_/B (sky130_fd_sc_hd__or4_2)
-                  0.15    0.76    7.29 v mprj/u_wb_host/_2747_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1161_ (net)
-                  0.15    0.00    7.30 v mprj/u_wb_host/_2749_/D (sky130_fd_sc_hd__or4_2)
-                  0.15    0.67    7.97 v mprj/u_wb_host/_2749_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1163_ (net)
-                  0.15    0.00    7.97 v mprj/u_wb_host/_2751_/D (sky130_fd_sc_hd__or4_2)
-                  0.21    0.75    8.72 v mprj/u_wb_host/_2751_/X (sky130_fd_sc_hd__or4_2)
-     8    0.03                           mprj/u_wb_host/_1165_ (net)
-                  0.21    0.00    8.72 v mprj/u_wb_host/_2753_/D (sky130_fd_sc_hd__or4_1)
-                  0.14    0.54    9.27 v mprj/u_wb_host/_2753_/X (sky130_fd_sc_hd__or4_1)
-     4    0.01                           mprj/u_wb_host/_1167_ (net)
-                  0.14    0.00    9.27 v mprj/u_wb_host/_2755_/D (sky130_fd_sc_hd__or4_2)
-                  0.19    0.72    9.99 v mprj/u_wb_host/_2755_/X (sky130_fd_sc_hd__or4_2)
-     8    0.03                           mprj/u_wb_host/_1169_ (net)
-                  0.19    0.00    9.99 v mprj/u_wb_host/_2768_/C (sky130_fd_sc_hd__or4_4)
-                  0.14    0.63   10.62 v mprj/u_wb_host/_2768_/X (sky130_fd_sc_hd__or4_4)
-     7    0.04                           mprj/u_wb_host/_1182_ (net)
-                  0.14    0.00   10.62 v mprj/u_wb_host/_3562_/B (sky130_fd_sc_hd__and3b_4)
-                  0.17    0.35   10.97 v mprj/u_wb_host/_3562_/X (sky130_fd_sc_hd__and3b_4)
-    32    0.12                           mprj/u_wb_host/_1685_ (net)
-                  0.17    0.00   10.97 v mprj/u_wb_host/_3613_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.04    0.23   11.20 v mprj/u_wb_host/_3613_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_wb_host/_0188_ (net)
-                  0.04    0.00   11.20 v mprj/u_wb_host/_5656_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 11.20   data arrival time
-
-                         10.00   10.00   clock lbist_clk (rise edge)
-                          0.00   10.00   clock source latency
-                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   10.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00   10.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   10.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00   10.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   10.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00   10.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00   10.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00   11.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00   11.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   11.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00   11.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00   11.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00   11.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00   12.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00   12.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00   12.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00   12.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00   12.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00   12.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00   12.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   13.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00   13.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   13.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00   13.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19   13.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00   13.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   13.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00   13.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   13.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00   13.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13   14.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00   14.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62   14.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01   14.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29   15.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00   15.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13   15.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00   15.17 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.13   15.30 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00   15.30 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   15.42 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_4_0_lbist_clk_int (net)
-                  0.04    0.00   15.42 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.19   15.61 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.08                           mprj/u_wb_host/clknet_4_8_0_lbist_clk_int (net)
-                  0.14    0.00   15.62 ^ mprj/u_wb_host/_5656_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   15.37   clock uncertainty
-                          0.39   15.76   clock reconvergence pessimism
-                         -0.09   15.67   library setup time
-                                 15.67   data required time
------------------------------------------------------------------------------
-                                 15.67   data required time
-                                -11.20   data arrival time
------------------------------------------------------------------------------
-                                  4.46   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5644_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5654_
-          (rising edge-triggered flip-flop clocked by lbist_clk)
-Path Group: lbist_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.72 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.72 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_0_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.20    6.05 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    28    0.08                           mprj/u_wb_host/clknet_4_0_0_lbist_clk_int (net)
-                  0.14    0.00    6.05 ^ mprj/u_wb_host/_5644_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.11    0.48    6.53 v mprj/u_wb_host/_5644_/Q (sky130_fd_sc_hd__dfrtp_1)
-     5    0.02                           mprj/u_wb_host/u_lbist.u_lbist_core.scan_pat_cnt[1] (net)
-                  0.11    0.00    6.53 v mprj/u_wb_host/_2747_/B (sky130_fd_sc_hd__or4_2)
-                  0.15    0.76    7.29 v mprj/u_wb_host/_2747_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1161_ (net)
-                  0.15    0.00    7.30 v mprj/u_wb_host/_2749_/D (sky130_fd_sc_hd__or4_2)
-                  0.15    0.67    7.97 v mprj/u_wb_host/_2749_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1163_ (net)
-                  0.15    0.00    7.97 v mprj/u_wb_host/_2751_/D (sky130_fd_sc_hd__or4_2)
-                  0.21    0.75    8.72 v mprj/u_wb_host/_2751_/X (sky130_fd_sc_hd__or4_2)
-     8    0.03                           mprj/u_wb_host/_1165_ (net)
-                  0.21    0.00    8.72 v mprj/u_wb_host/_2753_/D (sky130_fd_sc_hd__or4_1)
-                  0.14    0.54    9.27 v mprj/u_wb_host/_2753_/X (sky130_fd_sc_hd__or4_1)
-     4    0.01                           mprj/u_wb_host/_1167_ (net)
-                  0.14    0.00    9.27 v mprj/u_wb_host/_2755_/D (sky130_fd_sc_hd__or4_2)
-                  0.19    0.72    9.99 v mprj/u_wb_host/_2755_/X (sky130_fd_sc_hd__or4_2)
-     8    0.03                           mprj/u_wb_host/_1169_ (net)
-                  0.19    0.00    9.99 v mprj/u_wb_host/_2768_/C (sky130_fd_sc_hd__or4_4)
-                  0.14    0.63   10.62 v mprj/u_wb_host/_2768_/X (sky130_fd_sc_hd__or4_4)
-     7    0.04                           mprj/u_wb_host/_1182_ (net)
-                  0.14    0.00   10.62 v mprj/u_wb_host/_3562_/B (sky130_fd_sc_hd__and3b_4)
-                  0.17    0.35   10.97 v mprj/u_wb_host/_3562_/X (sky130_fd_sc_hd__and3b_4)
-    32    0.12                           mprj/u_wb_host/_1685_ (net)
-                  0.17    0.01   10.98 v mprj/u_wb_host/_3605_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.04    0.22   11.20 v mprj/u_wb_host/_3605_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_wb_host/_0186_ (net)
-                  0.04    0.00   11.20 v mprj/u_wb_host/_5654_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 11.20   data arrival time
-
-                         10.00   10.00   clock lbist_clk (rise edge)
-                          0.00   10.00   clock source latency
-                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   10.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00   10.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   10.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00   10.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   10.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00   10.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00   10.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00   11.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00   11.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   11.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00   11.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00   11.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00   11.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00   12.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00   12.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00   12.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00   12.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00   12.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00   12.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00   12.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   13.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00   13.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   13.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00   13.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19   13.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00   13.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   13.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00   13.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   13.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00   13.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13   14.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00   14.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62   14.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01   14.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29   15.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00   15.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13   15.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00   15.17 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.13   15.30 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00   15.30 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   15.42 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_4_0_lbist_clk_int (net)
-                  0.04    0.00   15.42 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.19   15.61 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.08                           mprj/u_wb_host/clknet_4_8_0_lbist_clk_int (net)
-                  0.14    0.00   15.62 ^ mprj/u_wb_host/_5654_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   15.37   clock uncertainty
-                          0.39   15.76   clock reconvergence pessimism
-                         -0.09   15.67   library setup time
-                                 15.67   data required time
------------------------------------------------------------------------------
-                                 15.67   data required time
-                                -11.20   data arrival time
------------------------------------------------------------------------------
-                                  4.47   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5644_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5675_
-          (rising edge-triggered flip-flop clocked by lbist_clk)
-Path Group: lbist_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.72 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.72 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_0_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.20    6.05 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    28    0.08                           mprj/u_wb_host/clknet_4_0_0_lbist_clk_int (net)
-                  0.14    0.00    6.05 ^ mprj/u_wb_host/_5644_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.11    0.48    6.53 v mprj/u_wb_host/_5644_/Q (sky130_fd_sc_hd__dfrtp_1)
-     5    0.02                           mprj/u_wb_host/u_lbist.u_lbist_core.scan_pat_cnt[1] (net)
-                  0.11    0.00    6.53 v mprj/u_wb_host/_2747_/B (sky130_fd_sc_hd__or4_2)
-                  0.15    0.76    7.29 v mprj/u_wb_host/_2747_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1161_ (net)
-                  0.15    0.00    7.30 v mprj/u_wb_host/_2749_/D (sky130_fd_sc_hd__or4_2)
-                  0.15    0.67    7.97 v mprj/u_wb_host/_2749_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1163_ (net)
-                  0.15    0.00    7.97 v mprj/u_wb_host/_2751_/D (sky130_fd_sc_hd__or4_2)
-                  0.21    0.75    8.72 v mprj/u_wb_host/_2751_/X (sky130_fd_sc_hd__or4_2)
-     8    0.03                           mprj/u_wb_host/_1165_ (net)
-                  0.21    0.00    8.72 v mprj/u_wb_host/_2753_/D (sky130_fd_sc_hd__or4_1)
-                  0.14    0.54    9.27 v mprj/u_wb_host/_2753_/X (sky130_fd_sc_hd__or4_1)
-     4    0.01                           mprj/u_wb_host/_1167_ (net)
-                  0.14    0.00    9.27 v mprj/u_wb_host/_2755_/D (sky130_fd_sc_hd__or4_2)
-                  0.19    0.72    9.99 v mprj/u_wb_host/_2755_/X (sky130_fd_sc_hd__or4_2)
-     8    0.03                           mprj/u_wb_host/_1169_ (net)
-                  0.19    0.00    9.99 v mprj/u_wb_host/_2768_/C (sky130_fd_sc_hd__or4_4)
-                  0.14    0.63   10.62 v mprj/u_wb_host/_2768_/X (sky130_fd_sc_hd__or4_4)
-     7    0.04                           mprj/u_wb_host/_1182_ (net)
-                  0.14    0.00   10.62 v mprj/u_wb_host/_3648_/C1 (sky130_fd_sc_hd__o211ai_4)
-                  0.65    0.32   10.95 ^ mprj/u_wb_host/_3648_/Y (sky130_fd_sc_hd__o211ai_4)
-    32    0.09                           mprj/u_wb_host/_1749_ (net)
-                  0.65    0.00   10.95 ^ mprj/u_wb_host/_3690_/A2 (sky130_fd_sc_hd__a22o_1)
-                  0.05    0.25   11.20 ^ mprj/u_wb_host/_3690_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_wb_host/_0207_ (net)
-                  0.05    0.00   11.20 ^ mprj/u_wb_host/_5675_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 11.20   data arrival time
-
-                         10.00   10.00   clock lbist_clk (rise edge)
-                          0.00   10.00   clock source latency
-                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   10.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00   10.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   10.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00   10.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   10.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00   10.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00   10.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00   11.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00   11.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   11.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00   11.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00   11.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00   11.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00   12.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00   12.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00   12.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00   12.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00   12.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00   12.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00   12.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   13.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00   13.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   13.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00   13.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19   13.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00   13.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   13.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00   13.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   13.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00   13.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13   14.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00   14.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62   14.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01   14.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29   15.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00   15.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13   15.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00   15.17 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13   15.30 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
-                  0.05    0.00   15.30 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   15.41 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_7_0_lbist_clk_int (net)
-                  0.04    0.00   15.41 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.11    0.17   15.58 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    24    0.06                           mprj/u_wb_host/clknet_4_14_0_lbist_clk_int (net)
-                  0.11    0.00   15.58 ^ mprj/u_wb_host/_5675_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   15.33   clock uncertainty
-                          0.39   15.73   clock reconvergence pessimism
-                         -0.05   15.68   library setup time
-                                 15.68   data required time
------------------------------------------------------------------------------
-                                 15.68   data required time
-                                -11.20   data arrival time
------------------------------------------------------------------------------
-                                  4.47   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5644_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5665_
-          (rising edge-triggered flip-flop clocked by lbist_clk)
-Path Group: lbist_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.72 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.72 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_0_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.20    6.05 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    28    0.08                           mprj/u_wb_host/clknet_4_0_0_lbist_clk_int (net)
-                  0.14    0.00    6.05 ^ mprj/u_wb_host/_5644_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.11    0.48    6.53 v mprj/u_wb_host/_5644_/Q (sky130_fd_sc_hd__dfrtp_1)
-     5    0.02                           mprj/u_wb_host/u_lbist.u_lbist_core.scan_pat_cnt[1] (net)
-                  0.11    0.00    6.53 v mprj/u_wb_host/_2747_/B (sky130_fd_sc_hd__or4_2)
-                  0.15    0.76    7.29 v mprj/u_wb_host/_2747_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1161_ (net)
-                  0.15    0.00    7.30 v mprj/u_wb_host/_2749_/D (sky130_fd_sc_hd__or4_2)
-                  0.15    0.67    7.97 v mprj/u_wb_host/_2749_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1163_ (net)
-                  0.15    0.00    7.97 v mprj/u_wb_host/_2751_/D (sky130_fd_sc_hd__or4_2)
-                  0.21    0.75    8.72 v mprj/u_wb_host/_2751_/X (sky130_fd_sc_hd__or4_2)
-     8    0.03                           mprj/u_wb_host/_1165_ (net)
-                  0.21    0.00    8.72 v mprj/u_wb_host/_2753_/D (sky130_fd_sc_hd__or4_1)
-                  0.14    0.54    9.27 v mprj/u_wb_host/_2753_/X (sky130_fd_sc_hd__or4_1)
-     4    0.01                           mprj/u_wb_host/_1167_ (net)
-                  0.14    0.00    9.27 v mprj/u_wb_host/_2755_/D (sky130_fd_sc_hd__or4_2)
-                  0.19    0.72    9.99 v mprj/u_wb_host/_2755_/X (sky130_fd_sc_hd__or4_2)
-     8    0.03                           mprj/u_wb_host/_1169_ (net)
-                  0.19    0.00    9.99 v mprj/u_wb_host/_2768_/C (sky130_fd_sc_hd__or4_4)
-                  0.14    0.63   10.62 v mprj/u_wb_host/_2768_/X (sky130_fd_sc_hd__or4_4)
-     7    0.04                           mprj/u_wb_host/_1182_ (net)
-                  0.14    0.00   10.62 v mprj/u_wb_host/_3652_/C (sky130_fd_sc_hd__and4_4)
-                  0.12    0.32   10.94 v mprj/u_wb_host/_3652_/X (sky130_fd_sc_hd__and4_4)
-    30    0.08                           mprj/u_wb_host/_1753_ (net)
-                  0.12    0.00   10.94 v mprj/u_wb_host/_3653_/B2 (sky130_fd_sc_hd__a22o_1)
-                  0.04    0.22   11.17 v mprj/u_wb_host/_3653_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_wb_host/_0197_ (net)
-                  0.04    0.00   11.17 v mprj/u_wb_host/_5665_/D (sky130_fd_sc_hd__dfrtp_4)
-                                 11.17   data arrival time
-
-                         10.00   10.00   clock lbist_clk (rise edge)
-                          0.00   10.00   clock source latency
-                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   10.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00   10.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   10.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00   10.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   10.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00   10.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00   10.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00   11.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00   11.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   11.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00   11.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00   11.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00   11.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00   12.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00   12.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00   12.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00   12.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00   12.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00   12.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00   12.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   13.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00   13.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   13.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00   13.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19   13.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00   13.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   13.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00   13.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   13.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00   13.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13   14.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00   14.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62   14.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01   14.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29   15.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00   15.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13   15.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00   15.17 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.13   15.30 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00   15.30 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   15.42 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
-                  0.04    0.00   15.42 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.12    0.18   15.60 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    26    0.06                           mprj/u_wb_host/clknet_4_11_0_lbist_clk_int (net)
-                  0.12    0.00   15.60 ^ mprj/u_wb_host/_5665_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                         -0.25   15.35   clock uncertainty
-                          0.39   15.74   clock reconvergence pessimism
-                         -0.09   15.65   library setup time
-                                 15.65   data required time
------------------------------------------------------------------------------
-                                 15.65   data required time
-                                -11.17   data arrival time
------------------------------------------------------------------------------
-                                  4.48   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5644_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5666_
-          (rising edge-triggered flip-flop clocked by lbist_clk)
-Path Group: lbist_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.72 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.72 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_0_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.20    6.05 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    28    0.08                           mprj/u_wb_host/clknet_4_0_0_lbist_clk_int (net)
-                  0.14    0.00    6.05 ^ mprj/u_wb_host/_5644_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.11    0.48    6.53 v mprj/u_wb_host/_5644_/Q (sky130_fd_sc_hd__dfrtp_1)
-     5    0.02                           mprj/u_wb_host/u_lbist.u_lbist_core.scan_pat_cnt[1] (net)
-                  0.11    0.00    6.53 v mprj/u_wb_host/_2747_/B (sky130_fd_sc_hd__or4_2)
-                  0.15    0.76    7.29 v mprj/u_wb_host/_2747_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1161_ (net)
-                  0.15    0.00    7.30 v mprj/u_wb_host/_2749_/D (sky130_fd_sc_hd__or4_2)
-                  0.15    0.67    7.97 v mprj/u_wb_host/_2749_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1163_ (net)
-                  0.15    0.00    7.97 v mprj/u_wb_host/_2751_/D (sky130_fd_sc_hd__or4_2)
-                  0.21    0.75    8.72 v mprj/u_wb_host/_2751_/X (sky130_fd_sc_hd__or4_2)
-     8    0.03                           mprj/u_wb_host/_1165_ (net)
-                  0.21    0.00    8.72 v mprj/u_wb_host/_2753_/D (sky130_fd_sc_hd__or4_1)
-                  0.14    0.54    9.27 v mprj/u_wb_host/_2753_/X (sky130_fd_sc_hd__or4_1)
-     4    0.01                           mprj/u_wb_host/_1167_ (net)
-                  0.14    0.00    9.27 v mprj/u_wb_host/_2755_/D (sky130_fd_sc_hd__or4_2)
-                  0.19    0.72    9.99 v mprj/u_wb_host/_2755_/X (sky130_fd_sc_hd__or4_2)
-     8    0.03                           mprj/u_wb_host/_1169_ (net)
-                  0.19    0.00    9.99 v mprj/u_wb_host/_2768_/C (sky130_fd_sc_hd__or4_4)
-                  0.14    0.63   10.62 v mprj/u_wb_host/_2768_/X (sky130_fd_sc_hd__or4_4)
-     7    0.04                           mprj/u_wb_host/_1182_ (net)
-                  0.14    0.00   10.62 v mprj/u_wb_host/_3648_/C1 (sky130_fd_sc_hd__o211ai_4)
-                  0.65    0.32   10.95 ^ mprj/u_wb_host/_3648_/Y (sky130_fd_sc_hd__o211ai_4)
-    32    0.09                           mprj/u_wb_host/_1749_ (net)
-                  0.65    0.00   10.95 ^ mprj/u_wb_host/_3655_/A2 (sky130_fd_sc_hd__a22o_1)
-                  0.05    0.25   11.20 ^ mprj/u_wb_host/_3655_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_wb_host/_0198_ (net)
-                  0.05    0.00   11.20 ^ mprj/u_wb_host/_5666_/D (sky130_fd_sc_hd__dfrtp_4)
-                                 11.20   data arrival time
-
-                         10.00   10.00   clock lbist_clk (rise edge)
-                          0.00   10.00   clock source latency
-                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   10.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00   10.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   10.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00   10.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   10.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00   10.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00   10.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00   11.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00   11.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   11.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00   11.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00   11.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00   11.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00   12.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00   12.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00   12.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00   12.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00   12.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00   12.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00   12.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   13.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00   13.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   13.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00   13.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19   13.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00   13.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   13.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00   13.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   13.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00   13.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13   14.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00   14.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62   14.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01   14.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29   15.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00   15.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13   15.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00   15.17 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13   15.30 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
-                  0.05    0.00   15.30 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   15.41 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_7_0_lbist_clk_int (net)
-                  0.04    0.00   15.41 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.11    0.17   15.58 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    24    0.06                           mprj/u_wb_host/clknet_4_14_0_lbist_clk_int (net)
-                  0.11    0.00   15.59 ^ mprj/u_wb_host/_5666_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                         -0.25   15.34   clock uncertainty
-                          0.39   15.73   clock reconvergence pessimism
-                         -0.05   15.67   library setup time
-                                 15.67   data required time
------------------------------------------------------------------------------
-                                 15.67   data required time
-                                -11.20   data arrival time
------------------------------------------------------------------------------
-                                  4.48   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5644_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5673_
-          (rising edge-triggered flip-flop clocked by lbist_clk)
-Path Group: lbist_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.72 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.72 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_0_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.20    6.05 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    28    0.08                           mprj/u_wb_host/clknet_4_0_0_lbist_clk_int (net)
-                  0.14    0.00    6.05 ^ mprj/u_wb_host/_5644_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.11    0.48    6.53 v mprj/u_wb_host/_5644_/Q (sky130_fd_sc_hd__dfrtp_1)
-     5    0.02                           mprj/u_wb_host/u_lbist.u_lbist_core.scan_pat_cnt[1] (net)
-                  0.11    0.00    6.53 v mprj/u_wb_host/_2747_/B (sky130_fd_sc_hd__or4_2)
-                  0.15    0.76    7.29 v mprj/u_wb_host/_2747_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1161_ (net)
-                  0.15    0.00    7.30 v mprj/u_wb_host/_2749_/D (sky130_fd_sc_hd__or4_2)
-                  0.15    0.67    7.97 v mprj/u_wb_host/_2749_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1163_ (net)
-                  0.15    0.00    7.97 v mprj/u_wb_host/_2751_/D (sky130_fd_sc_hd__or4_2)
-                  0.21    0.75    8.72 v mprj/u_wb_host/_2751_/X (sky130_fd_sc_hd__or4_2)
-     8    0.03                           mprj/u_wb_host/_1165_ (net)
-                  0.21    0.00    8.72 v mprj/u_wb_host/_2753_/D (sky130_fd_sc_hd__or4_1)
-                  0.14    0.54    9.27 v mprj/u_wb_host/_2753_/X (sky130_fd_sc_hd__or4_1)
-     4    0.01                           mprj/u_wb_host/_1167_ (net)
-                  0.14    0.00    9.27 v mprj/u_wb_host/_2755_/D (sky130_fd_sc_hd__or4_2)
-                  0.19    0.72    9.99 v mprj/u_wb_host/_2755_/X (sky130_fd_sc_hd__or4_2)
-     8    0.03                           mprj/u_wb_host/_1169_ (net)
-                  0.19    0.00    9.99 v mprj/u_wb_host/_2768_/C (sky130_fd_sc_hd__or4_4)
-                  0.14    0.63   10.62 v mprj/u_wb_host/_2768_/X (sky130_fd_sc_hd__or4_4)
-     7    0.04                           mprj/u_wb_host/_1182_ (net)
-                  0.14    0.00   10.62 v mprj/u_wb_host/_3652_/C (sky130_fd_sc_hd__and4_4)
-                  0.12    0.32   10.94 v mprj/u_wb_host/_3652_/X (sky130_fd_sc_hd__and4_4)
-    30    0.08                           mprj/u_wb_host/_1753_ (net)
-                  0.12    0.00   10.95 v mprj/u_wb_host/_3682_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.04    0.20   11.15 v mprj/u_wb_host/_3682_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_wb_host/_0205_ (net)
-                  0.04    0.00   11.15 v mprj/u_wb_host/_5673_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 11.15   data arrival time
-
-                         10.00   10.00   clock lbist_clk (rise edge)
-                          0.00   10.00   clock source latency
-                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   10.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00   10.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   10.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00   10.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   10.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00   10.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00   10.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00   11.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00   11.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   11.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00   11.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00   11.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00   11.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00   12.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00   12.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00   12.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00   12.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00   12.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00   12.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00   12.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   13.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00   13.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   13.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00   13.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19   13.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00   13.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   13.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00   13.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   13.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00   13.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13   14.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00   14.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62   14.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01   14.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29   15.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00   15.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13   15.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00   15.17 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13   15.30 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
-                  0.05    0.00   15.30 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   15.41 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_7_0_lbist_clk_int (net)
-                  0.04    0.00   15.41 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.11    0.17   15.58 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    24    0.06                           mprj/u_wb_host/clknet_4_14_0_lbist_clk_int (net)
-                  0.11    0.00   15.58 ^ mprj/u_wb_host/_5673_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   15.33   clock uncertainty
-                          0.39   15.73   clock reconvergence pessimism
-                         -0.10   15.63   library setup time
-                                 15.63   data required time
------------------------------------------------------------------------------
-                                 15.63   data required time
-                                -11.15   data arrival time
------------------------------------------------------------------------------
-                                  4.48   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5644_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5670_
-          (rising edge-triggered flip-flop clocked by lbist_clk)
-Path Group: lbist_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.72 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.72 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_0_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.20    6.05 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    28    0.08                           mprj/u_wb_host/clknet_4_0_0_lbist_clk_int (net)
-                  0.14    0.00    6.05 ^ mprj/u_wb_host/_5644_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.11    0.48    6.53 v mprj/u_wb_host/_5644_/Q (sky130_fd_sc_hd__dfrtp_1)
-     5    0.02                           mprj/u_wb_host/u_lbist.u_lbist_core.scan_pat_cnt[1] (net)
-                  0.11    0.00    6.53 v mprj/u_wb_host/_2747_/B (sky130_fd_sc_hd__or4_2)
-                  0.15    0.76    7.29 v mprj/u_wb_host/_2747_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1161_ (net)
-                  0.15    0.00    7.30 v mprj/u_wb_host/_2749_/D (sky130_fd_sc_hd__or4_2)
-                  0.15    0.67    7.97 v mprj/u_wb_host/_2749_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1163_ (net)
-                  0.15    0.00    7.97 v mprj/u_wb_host/_2751_/D (sky130_fd_sc_hd__or4_2)
-                  0.21    0.75    8.72 v mprj/u_wb_host/_2751_/X (sky130_fd_sc_hd__or4_2)
-     8    0.03                           mprj/u_wb_host/_1165_ (net)
-                  0.21    0.00    8.72 v mprj/u_wb_host/_2753_/D (sky130_fd_sc_hd__or4_1)
-                  0.14    0.54    9.27 v mprj/u_wb_host/_2753_/X (sky130_fd_sc_hd__or4_1)
-     4    0.01                           mprj/u_wb_host/_1167_ (net)
-                  0.14    0.00    9.27 v mprj/u_wb_host/_2755_/D (sky130_fd_sc_hd__or4_2)
-                  0.19    0.72    9.99 v mprj/u_wb_host/_2755_/X (sky130_fd_sc_hd__or4_2)
-     8    0.03                           mprj/u_wb_host/_1169_ (net)
-                  0.19    0.00    9.99 v mprj/u_wb_host/_2768_/C (sky130_fd_sc_hd__or4_4)
-                  0.14    0.63   10.62 v mprj/u_wb_host/_2768_/X (sky130_fd_sc_hd__or4_4)
-     7    0.04                           mprj/u_wb_host/_1182_ (net)
-                  0.14    0.00   10.62 v mprj/u_wb_host/_3648_/C1 (sky130_fd_sc_hd__o211ai_4)
-                  0.65    0.32   10.95 ^ mprj/u_wb_host/_3648_/Y (sky130_fd_sc_hd__o211ai_4)
-    32    0.09                           mprj/u_wb_host/_1749_ (net)
-                  0.65    0.00   10.95 ^ mprj/u_wb_host/_3671_/A2 (sky130_fd_sc_hd__a22o_1)
-                  0.06    0.26   11.21 ^ mprj/u_wb_host/_3671_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_wb_host/_0202_ (net)
-                  0.06    0.00   11.21 ^ mprj/u_wb_host/_5670_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 11.21   data arrival time
-
-                         10.00   10.00   clock lbist_clk (rise edge)
-                          0.00   10.00   clock source latency
-                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   10.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00   10.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   10.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00   10.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   10.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00   10.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00   10.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00   11.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00   11.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   11.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00   11.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00   11.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00   11.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00   12.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00   12.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00   12.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00   12.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00   12.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00   12.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00   12.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   13.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00   13.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   13.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00   13.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19   13.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00   13.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   13.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00   13.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   13.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00   13.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13   14.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00   14.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62   14.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01   14.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29   15.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00   15.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13   15.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00   15.17 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.13   15.30 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00   15.30 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   15.42 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
-                  0.04    0.00   15.42 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.12    0.18   15.60 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    26    0.06                           mprj/u_wb_host/clknet_4_11_0_lbist_clk_int (net)
-                  0.12    0.00   15.60 ^ mprj/u_wb_host/_5670_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   15.35   clock uncertainty
-                          0.39   15.74   clock reconvergence pessimism
-                         -0.05   15.69   library setup time
-                                 15.69   data required time
------------------------------------------------------------------------------
-                                 15.69   data required time
-                                -11.21   data arrival time
------------------------------------------------------------------------------
-                                  4.48   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5643_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5644_
-          (rising edge-triggered flip-flop clocked by lbist_clk)
-Path Group: lbist_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.72 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.72 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_0_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.10    0.18    6.02 ^ mprj/u_wb_host/clkbuf_4_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.05                           mprj/u_wb_host/clknet_4_1_0_lbist_clk_int (net)
-                  0.10    0.00    6.03 ^ mprj/u_wb_host/_5643_/CLK (sky130_fd_sc_hd__dfrtp_2)
-                  0.09    0.48    6.51 v mprj/u_wb_host/_5643_/Q (sky130_fd_sc_hd__dfrtp_2)
-     6    0.02                           mprj/u_wb_host/u_lbist.u_lbist_core.scan_pat_cnt[0] (net)
-                  0.09    0.00    6.51 v mprj/u_wb_host/_2747_/A (sky130_fd_sc_hd__or4_2)
-                  0.15    0.78    7.29 v mprj/u_wb_host/_2747_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1161_ (net)
-                  0.15    0.00    7.29 v mprj/u_wb_host/_2749_/D (sky130_fd_sc_hd__or4_2)
-                  0.15    0.67    7.96 v mprj/u_wb_host/_2749_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1163_ (net)
-                  0.15    0.00    7.96 v mprj/u_wb_host/_2751_/D (sky130_fd_sc_hd__or4_2)
-                  0.21    0.75    8.71 v mprj/u_wb_host/_2751_/X (sky130_fd_sc_hd__or4_2)
-     8    0.03                           mprj/u_wb_host/_1165_ (net)
-                  0.21    0.00    8.71 v mprj/u_wb_host/_2753_/D (sky130_fd_sc_hd__or4_1)
-                  0.14    0.54    9.26 v mprj/u_wb_host/_2753_/X (sky130_fd_sc_hd__or4_1)
-     4    0.01                           mprj/u_wb_host/_1167_ (net)
-                  0.14    0.00    9.26 v mprj/u_wb_host/_2755_/D (sky130_fd_sc_hd__or4_2)
-                  0.19    0.72    9.98 v mprj/u_wb_host/_2755_/X (sky130_fd_sc_hd__or4_2)
-     8    0.03                           mprj/u_wb_host/_1169_ (net)
-                  0.19    0.00    9.98 v mprj/u_wb_host/_2768_/C (sky130_fd_sc_hd__or4_4)
-                  0.14    0.63   10.61 v mprj/u_wb_host/_2768_/X (sky130_fd_sc_hd__or4_4)
-     7    0.04                           mprj/u_wb_host/_1182_ (net)
-                  0.14    0.00   10.61 v mprj/u_wb_host/_3562_/B (sky130_fd_sc_hd__and3b_4)
-                  0.17    0.35   10.96 v mprj/u_wb_host/_3562_/X (sky130_fd_sc_hd__and3b_4)
-    32    0.12                           mprj/u_wb_host/_1685_ (net)
-                  0.17    0.02   10.98 v mprj/u_wb_host/_3568_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.04    0.23   11.22 v mprj/u_wb_host/_3568_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_wb_host/_0176_ (net)
-                  0.04    0.00   11.22 v mprj/u_wb_host/_5644_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 11.22   data arrival time
-
-                         10.00   10.00   clock lbist_clk (rise edge)
-                          0.00   10.00   clock source latency
-                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   10.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00   10.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   10.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00   10.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   10.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00   10.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00   10.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00   11.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00   11.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   11.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00   11.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00   11.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00   11.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00   12.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00   12.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00   12.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00   12.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00   12.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00   12.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00   12.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   13.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00   13.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   13.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00   13.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19   13.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00   13.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   13.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00   13.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   13.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00   13.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13   14.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00   14.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62   14.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01   14.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29   15.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00   15.03 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13   15.17 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00   15.17 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14   15.31 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00   15.31 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   15.43 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_0_0_lbist_clk_int (net)
-                  0.04    0.00   15.43 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.19   15.62 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    28    0.08                           mprj/u_wb_host/clknet_4_0_0_lbist_clk_int (net)
-                  0.14    0.00   15.62 ^ mprj/u_wb_host/_5644_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   15.37   clock uncertainty
-                          0.42   15.79   clock reconvergence pessimism
-                         -0.09   15.70   library setup time
-                                 15.70   data required time
------------------------------------------------------------------------------
-                                 15.70   data required time
-                                -11.22   data arrival time
------------------------------------------------------------------------------
-                                  4.48   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5644_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5677_
-          (rising edge-triggered flip-flop clocked by lbist_clk)
-Path Group: lbist_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.72 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.72 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_0_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.20    6.05 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    28    0.08                           mprj/u_wb_host/clknet_4_0_0_lbist_clk_int (net)
-                  0.14    0.00    6.05 ^ mprj/u_wb_host/_5644_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.11    0.48    6.53 v mprj/u_wb_host/_5644_/Q (sky130_fd_sc_hd__dfrtp_1)
-     5    0.02                           mprj/u_wb_host/u_lbist.u_lbist_core.scan_pat_cnt[1] (net)
-                  0.11    0.00    6.53 v mprj/u_wb_host/_2747_/B (sky130_fd_sc_hd__or4_2)
-                  0.15    0.76    7.29 v mprj/u_wb_host/_2747_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1161_ (net)
-                  0.15    0.00    7.30 v mprj/u_wb_host/_2749_/D (sky130_fd_sc_hd__or4_2)
-                  0.15    0.67    7.97 v mprj/u_wb_host/_2749_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1163_ (net)
-                  0.15    0.00    7.97 v mprj/u_wb_host/_2751_/D (sky130_fd_sc_hd__or4_2)
-                  0.21    0.75    8.72 v mprj/u_wb_host/_2751_/X (sky130_fd_sc_hd__or4_2)
-     8    0.03                           mprj/u_wb_host/_1165_ (net)
-                  0.21    0.00    8.72 v mprj/u_wb_host/_2753_/D (sky130_fd_sc_hd__or4_1)
-                  0.14    0.54    9.27 v mprj/u_wb_host/_2753_/X (sky130_fd_sc_hd__or4_1)
-     4    0.01                           mprj/u_wb_host/_1167_ (net)
-                  0.14    0.00    9.27 v mprj/u_wb_host/_2755_/D (sky130_fd_sc_hd__or4_2)
-                  0.19    0.72    9.99 v mprj/u_wb_host/_2755_/X (sky130_fd_sc_hd__or4_2)
-     8    0.03                           mprj/u_wb_host/_1169_ (net)
-                  0.19    0.00    9.99 v mprj/u_wb_host/_2768_/C (sky130_fd_sc_hd__or4_4)
-                  0.14    0.63   10.62 v mprj/u_wb_host/_2768_/X (sky130_fd_sc_hd__or4_4)
-     7    0.04                           mprj/u_wb_host/_1182_ (net)
-                  0.14    0.00   10.62 v mprj/u_wb_host/_3648_/C1 (sky130_fd_sc_hd__o211ai_4)
-                  0.65    0.32   10.95 ^ mprj/u_wb_host/_3648_/Y (sky130_fd_sc_hd__o211ai_4)
-    32    0.09                           mprj/u_wb_host/_1749_ (net)
-                  0.65    0.00   10.95 ^ mprj/u_wb_host/_3697_/A2 (sky130_fd_sc_hd__a22o_1)
-                  0.05    0.25   11.20 ^ mprj/u_wb_host/_3697_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_wb_host/_0209_ (net)
-                  0.05    0.00   11.20 ^ mprj/u_wb_host/_5677_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 11.20   data arrival time
-
-                         10.00   10.00   clock lbist_clk (rise edge)
-                          0.00   10.00   clock source latency
-                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   10.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00   10.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   10.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00   10.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   10.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00   10.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00   10.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00   11.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00   11.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   11.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00   11.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00   11.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00   11.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00   12.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00   12.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00   12.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00   12.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00   12.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00   12.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00   12.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   13.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00   13.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   13.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00   13.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19   13.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00   13.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   13.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00   13.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   13.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00   13.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13   14.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00   14.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62   14.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01   14.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29   15.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00   15.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13   15.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00   15.17 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13   15.30 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
-                  0.05    0.00   15.30 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   15.41 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_7_0_lbist_clk_int (net)
-                  0.04    0.00   15.41 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.11    0.17   15.58 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    24    0.06                           mprj/u_wb_host/clknet_4_14_0_lbist_clk_int (net)
-                  0.11    0.00   15.59 ^ mprj/u_wb_host/_5677_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   15.34   clock uncertainty
-                          0.39   15.73   clock reconvergence pessimism
-                         -0.05   15.68   library setup time
-                                 15.68   data required time
------------------------------------------------------------------------------
-                                 15.68   data required time
-                                -11.20   data arrival time
------------------------------------------------------------------------------
-                                  4.48   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5644_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5669_
-          (rising edge-triggered flip-flop clocked by lbist_clk)
-Path Group: lbist_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.72 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.72 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_0_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.20    6.05 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    28    0.08                           mprj/u_wb_host/clknet_4_0_0_lbist_clk_int (net)
-                  0.14    0.00    6.05 ^ mprj/u_wb_host/_5644_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.11    0.48    6.53 v mprj/u_wb_host/_5644_/Q (sky130_fd_sc_hd__dfrtp_1)
-     5    0.02                           mprj/u_wb_host/u_lbist.u_lbist_core.scan_pat_cnt[1] (net)
-                  0.11    0.00    6.53 v mprj/u_wb_host/_2747_/B (sky130_fd_sc_hd__or4_2)
-                  0.15    0.76    7.29 v mprj/u_wb_host/_2747_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1161_ (net)
-                  0.15    0.00    7.30 v mprj/u_wb_host/_2749_/D (sky130_fd_sc_hd__or4_2)
-                  0.15    0.67    7.97 v mprj/u_wb_host/_2749_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1163_ (net)
-                  0.15    0.00    7.97 v mprj/u_wb_host/_2751_/D (sky130_fd_sc_hd__or4_2)
-                  0.21    0.75    8.72 v mprj/u_wb_host/_2751_/X (sky130_fd_sc_hd__or4_2)
-     8    0.03                           mprj/u_wb_host/_1165_ (net)
-                  0.21    0.00    8.72 v mprj/u_wb_host/_2753_/D (sky130_fd_sc_hd__or4_1)
-                  0.14    0.54    9.27 v mprj/u_wb_host/_2753_/X (sky130_fd_sc_hd__or4_1)
-     4    0.01                           mprj/u_wb_host/_1167_ (net)
-                  0.14    0.00    9.27 v mprj/u_wb_host/_2755_/D (sky130_fd_sc_hd__or4_2)
-                  0.19    0.72    9.99 v mprj/u_wb_host/_2755_/X (sky130_fd_sc_hd__or4_2)
-     8    0.03                           mprj/u_wb_host/_1169_ (net)
-                  0.19    0.00    9.99 v mprj/u_wb_host/_2768_/C (sky130_fd_sc_hd__or4_4)
-                  0.14    0.63   10.62 v mprj/u_wb_host/_2768_/X (sky130_fd_sc_hd__or4_4)
-     7    0.04                           mprj/u_wb_host/_1182_ (net)
-                  0.14    0.00   10.62 v mprj/u_wb_host/_3648_/C1 (sky130_fd_sc_hd__o211ai_4)
-                  0.65    0.32   10.95 ^ mprj/u_wb_host/_3648_/Y (sky130_fd_sc_hd__o211ai_4)
-    32    0.09                           mprj/u_wb_host/_1749_ (net)
-                  0.65    0.00   10.95 ^ mprj/u_wb_host/_3668_/A2 (sky130_fd_sc_hd__a22o_1)
-                  0.06    0.26   11.21 ^ mprj/u_wb_host/_3668_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_wb_host/_0201_ (net)
-                  0.06    0.00   11.21 ^ mprj/u_wb_host/_5669_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 11.21   data arrival time
-
-                         10.00   10.00   clock lbist_clk (rise edge)
-                          0.00   10.00   clock source latency
-                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   10.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00   10.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   10.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00   10.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   10.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00   10.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00   10.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00   11.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00   11.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   11.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00   11.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00   11.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00   11.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00   12.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00   12.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00   12.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00   12.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00   12.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00   12.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00   12.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   13.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00   13.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   13.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00   13.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19   13.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00   13.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   13.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00   13.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   13.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00   13.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13   14.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00   14.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62   14.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01   14.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29   15.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00   15.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13   15.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00   15.17 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.13   15.30 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00   15.30 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   15.42 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
-                  0.04    0.00   15.42 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.12    0.18   15.60 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    26    0.06                           mprj/u_wb_host/clknet_4_11_0_lbist_clk_int (net)
-                  0.12    0.00   15.60 ^ mprj/u_wb_host/_5669_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   15.35   clock uncertainty
-                          0.39   15.74   clock reconvergence pessimism
-                         -0.05   15.69   library setup time
-                                 15.69   data required time
------------------------------------------------------------------------------
-                                 15.69   data required time
-                                -11.21   data arrival time
------------------------------------------------------------------------------
-                                  4.48   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5643_
+Startpoint: mprj/u_wb_host/_5638_
             (rising edge-triggered flip-flop clocked by lbist_clk)
 Endpoint: mprj/u_wb_host/_5645_
           (rising edge-triggered flip-flop clocked by lbist_clk)
@@ -125868,263 +134527,326 @@
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
                   0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.72 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.72 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_0_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.10    0.18    6.02 ^ mprj/u_wb_host/clkbuf_4_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.05                           mprj/u_wb_host/clknet_4_1_0_lbist_clk_int (net)
-                  0.10    0.00    6.03 ^ mprj/u_wb_host/_5643_/CLK (sky130_fd_sc_hd__dfrtp_2)
-                  0.09    0.48    6.51 v mprj/u_wb_host/_5643_/Q (sky130_fd_sc_hd__dfrtp_2)
-     6    0.02                           mprj/u_wb_host/u_lbist.u_lbist_core.scan_pat_cnt[0] (net)
-                  0.09    0.00    6.51 v mprj/u_wb_host/_2747_/A (sky130_fd_sc_hd__or4_2)
-                  0.15    0.78    7.29 v mprj/u_wb_host/_2747_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1161_ (net)
-                  0.15    0.00    7.29 v mprj/u_wb_host/_2749_/D (sky130_fd_sc_hd__or4_2)
-                  0.15    0.67    7.96 v mprj/u_wb_host/_2749_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1163_ (net)
-                  0.15    0.00    7.96 v mprj/u_wb_host/_2751_/D (sky130_fd_sc_hd__or4_2)
-                  0.21    0.75    8.71 v mprj/u_wb_host/_2751_/X (sky130_fd_sc_hd__or4_2)
-     8    0.03                           mprj/u_wb_host/_1165_ (net)
-                  0.21    0.00    8.71 v mprj/u_wb_host/_2753_/D (sky130_fd_sc_hd__or4_1)
-                  0.14    0.54    9.26 v mprj/u_wb_host/_2753_/X (sky130_fd_sc_hd__or4_1)
-     4    0.01                           mprj/u_wb_host/_1167_ (net)
-                  0.14    0.00    9.26 v mprj/u_wb_host/_2755_/D (sky130_fd_sc_hd__or4_2)
-                  0.19    0.72    9.98 v mprj/u_wb_host/_2755_/X (sky130_fd_sc_hd__or4_2)
-     8    0.03                           mprj/u_wb_host/_1169_ (net)
-                  0.19    0.00    9.98 v mprj/u_wb_host/_2768_/C (sky130_fd_sc_hd__or4_4)
-                  0.14    0.63   10.61 v mprj/u_wb_host/_2768_/X (sky130_fd_sc_hd__or4_4)
-     7    0.04                           mprj/u_wb_host/_1182_ (net)
-                  0.14    0.00   10.61 v mprj/u_wb_host/_3562_/B (sky130_fd_sc_hd__and3b_4)
-                  0.17    0.35   10.96 v mprj/u_wb_host/_3562_/X (sky130_fd_sc_hd__and3b_4)
-    32    0.12                           mprj/u_wb_host/_1685_ (net)
-                  0.17    0.02   10.98 v mprj/u_wb_host/_3572_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.04    0.23   11.21 v mprj/u_wb_host/_3572_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_wb_host/_0177_ (net)
-                  0.04    0.00   11.21 v mprj/u_wb_host/_5645_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 11.21   data arrival time
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    6.45 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_3_0_lbist_clk_int (net)
+                  0.12    0.00    6.45 ^ mprj/u_wb_host/_5638_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.10    0.47    6.92 v mprj/u_wb_host/_5638_/Q (sky130_fd_sc_hd__dfrtp_1)
+     5    0.02                           mprj/u_wb_host/u_lbist.u_lbist_core.scan_pat_cnt[1] (net)
+                  0.10    0.00    6.92 v mprj/u_wb_host/_2743_/A (sky130_fd_sc_hd__or4_2)
+                  0.14    0.77    7.69 v mprj/u_wb_host/_2743_/X (sky130_fd_sc_hd__or4_2)
+     4    0.01                           mprj/u_wb_host/_1160_ (net)
+                  0.14    0.00    7.69 v mprj/u_wb_host/_2745_/D (sky130_fd_sc_hd__or4_2)
+                  0.15    0.67    8.36 v mprj/u_wb_host/_2745_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_wb_host/_1162_ (net)
+                  0.15    0.00    8.36 v mprj/u_wb_host/_2747_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    8.91 v mprj/u_wb_host/_2747_/X (sky130_fd_sc_hd__or4_1)
+     4    0.02                           mprj/u_wb_host/_1164_ (net)
+                  0.15    0.00    8.91 v mprj/u_wb_host/_2749_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    9.44 v mprj/u_wb_host/_2749_/X (sky130_fd_sc_hd__or4_1)
+     4    0.01                           mprj/u_wb_host/_1166_ (net)
+                  0.15    0.00    9.44 v mprj/u_wb_host/_2751_/D (sky130_fd_sc_hd__or4_2)
+                  0.16    0.70   10.14 v mprj/u_wb_host/_2751_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_wb_host/_1168_ (net)
+                  0.16    0.00   10.14 v mprj/u_wb_host/_2764_/C (sky130_fd_sc_hd__or4_2)
+                  0.17    0.78   10.92 v mprj/u_wb_host/_2764_/X (sky130_fd_sc_hd__or4_2)
+     7    0.02                           mprj/u_wb_host/_1181_ (net)
+                  0.17    0.00   10.92 v mprj/u_wb_host/_3552_/B (sky130_fd_sc_hd__and3b_1)
+                  0.08    0.27   11.19 v mprj/u_wb_host/_3552_/X (sky130_fd_sc_hd__and3b_1)
+     2    0.01                           mprj/u_wb_host/_1678_ (net)
+                  0.08    0.00   11.19 v mprj/u_wb_host/fanout403/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.15    0.26   11.45 v mprj/u_wb_host/fanout403/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.07                           mprj/u_wb_host/net403 (net)
+                  0.15    0.00   11.46 v mprj/u_wb_host/_3584_/B1 (sky130_fd_sc_hd__a22o_1)
+                  0.04    0.22   11.68 v mprj/u_wb_host/_3584_/X (sky130_fd_sc_hd__a22o_1)
+     1    0.00                           mprj/u_wb_host/_0183_ (net)
+                  0.04    0.00   11.68 v mprj/u_wb_host/_5645_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 11.68   data arrival time
 
                          10.00   10.00   clock lbist_clk (rise edge)
                           0.00   10.00   clock source latency
                   0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   10.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.11   10.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   10.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00   10.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   10.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00   10.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   10.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   10.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   10.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00   10.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17   10.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00   10.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00   10.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   11.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00   10.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00   11.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   11.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   11.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00   11.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00   11.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   11.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00   11.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   11.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   11.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   11.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00   11.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00   11.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00   11.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00   11.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   12.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00   11.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   12.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00   12.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00   12.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00   12.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   12.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00   12.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00   12.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   12.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00   12.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00   12.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00   12.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00   12.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   13.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   13.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00   12.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00   13.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14   13.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00   12.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   13.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00   13.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00   13.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   13.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   13.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   13.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00   13.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19   13.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00   13.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10   13.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00   13.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14   13.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00   13.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   13.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00   13.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   14.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00   13.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   13.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00   13.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00   14.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   14.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00   14.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13   14.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00   14.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62   14.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01   14.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29   15.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13   14.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00   14.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13   14.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00   14.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25   15.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00   15.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   15.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00   15.03 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13   15.17 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00   15.17 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14   15.31 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00   15.31 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   15.43 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_0_0_lbist_clk_int (net)
-                  0.04    0.00   15.43 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.19   15.62 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    28    0.08                           mprj/u_wb_host/clknet_4_0_0_lbist_clk_int (net)
-                  0.14    0.00   15.62 ^ mprj/u_wb_host/_5645_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   15.37   clock uncertainty
-                          0.42   15.79   clock reconvergence pessimism
-                         -0.09   15.70   library setup time
-                                 15.70   data required time
+                  0.06    0.00   15.32 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   15.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00   15.43 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12   15.55 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00   15.55 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   15.69 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
+                  0.06    0.00   15.69 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   15.81 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_4_0_lbist_clk_int (net)
+                  0.04    0.00   15.81 ^ mprj/u_wb_host/clkbuf_4_9_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.10    0.16   15.97 ^ mprj/u_wb_host/clkbuf_4_9_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    15    0.05                           mprj/u_wb_host/clknet_4_9_0_lbist_clk_int (net)
+                  0.10    0.00   15.97 ^ mprj/u_wb_host/_5645_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   15.72   clock uncertainty
+                          0.41   16.14   clock reconvergence pessimism
+                         -0.10   16.04   library setup time
+                                 16.04   data required time
 -----------------------------------------------------------------------------
-                                 15.70   data required time
-                                -11.21   data arrival time
+                                 16.04   data required time
+                                -11.68   data arrival time
 -----------------------------------------------------------------------------
-                                  4.48   slack (MET)
+                                  4.36   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_5644_
+Startpoint: mprj/u_wb_host/_5638_
             (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5674_
+Endpoint: mprj/u_wb_host/_5670_
           (rising edge-triggered flip-flop clocked by lbist_clk)
 Path Group: lbist_clk
 Path Type: max
@@ -126137,263 +134859,326 @@
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
                   0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.72 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.72 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_0_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.20    6.05 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    28    0.08                           mprj/u_wb_host/clknet_4_0_0_lbist_clk_int (net)
-                  0.14    0.00    6.05 ^ mprj/u_wb_host/_5644_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.11    0.48    6.53 v mprj/u_wb_host/_5644_/Q (sky130_fd_sc_hd__dfrtp_1)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    6.45 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_3_0_lbist_clk_int (net)
+                  0.12    0.00    6.45 ^ mprj/u_wb_host/_5638_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.10    0.47    6.92 v mprj/u_wb_host/_5638_/Q (sky130_fd_sc_hd__dfrtp_1)
      5    0.02                           mprj/u_wb_host/u_lbist.u_lbist_core.scan_pat_cnt[1] (net)
-                  0.11    0.00    6.53 v mprj/u_wb_host/_2747_/B (sky130_fd_sc_hd__or4_2)
-                  0.15    0.76    7.29 v mprj/u_wb_host/_2747_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1161_ (net)
-                  0.15    0.00    7.30 v mprj/u_wb_host/_2749_/D (sky130_fd_sc_hd__or4_2)
-                  0.15    0.67    7.97 v mprj/u_wb_host/_2749_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1163_ (net)
-                  0.15    0.00    7.97 v mprj/u_wb_host/_2751_/D (sky130_fd_sc_hd__or4_2)
-                  0.21    0.75    8.72 v mprj/u_wb_host/_2751_/X (sky130_fd_sc_hd__or4_2)
-     8    0.03                           mprj/u_wb_host/_1165_ (net)
-                  0.21    0.00    8.72 v mprj/u_wb_host/_2753_/D (sky130_fd_sc_hd__or4_1)
-                  0.14    0.54    9.27 v mprj/u_wb_host/_2753_/X (sky130_fd_sc_hd__or4_1)
-     4    0.01                           mprj/u_wb_host/_1167_ (net)
-                  0.14    0.00    9.27 v mprj/u_wb_host/_2755_/D (sky130_fd_sc_hd__or4_2)
-                  0.19    0.72    9.99 v mprj/u_wb_host/_2755_/X (sky130_fd_sc_hd__or4_2)
-     8    0.03                           mprj/u_wb_host/_1169_ (net)
-                  0.19    0.00    9.99 v mprj/u_wb_host/_2768_/C (sky130_fd_sc_hd__or4_4)
-                  0.14    0.63   10.62 v mprj/u_wb_host/_2768_/X (sky130_fd_sc_hd__or4_4)
-     7    0.04                           mprj/u_wb_host/_1182_ (net)
-                  0.14    0.00   10.62 v mprj/u_wb_host/_3648_/C1 (sky130_fd_sc_hd__o211ai_4)
-                  0.65    0.32   10.95 ^ mprj/u_wb_host/_3648_/Y (sky130_fd_sc_hd__o211ai_4)
-    32    0.09                           mprj/u_wb_host/_1749_ (net)
-                  0.65    0.00   10.95 ^ mprj/u_wb_host/_3686_/A2 (sky130_fd_sc_hd__a22o_1)
-                  0.06    0.26   11.21 ^ mprj/u_wb_host/_3686_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_wb_host/_0206_ (net)
-                  0.06    0.00   11.21 ^ mprj/u_wb_host/_5674_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 11.21   data arrival time
+                  0.10    0.00    6.92 v mprj/u_wb_host/_2743_/A (sky130_fd_sc_hd__or4_2)
+                  0.14    0.77    7.69 v mprj/u_wb_host/_2743_/X (sky130_fd_sc_hd__or4_2)
+     4    0.01                           mprj/u_wb_host/_1160_ (net)
+                  0.14    0.00    7.69 v mprj/u_wb_host/_2745_/D (sky130_fd_sc_hd__or4_2)
+                  0.15    0.67    8.36 v mprj/u_wb_host/_2745_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_wb_host/_1162_ (net)
+                  0.15    0.00    8.36 v mprj/u_wb_host/_2747_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    8.91 v mprj/u_wb_host/_2747_/X (sky130_fd_sc_hd__or4_1)
+     4    0.02                           mprj/u_wb_host/_1164_ (net)
+                  0.15    0.00    8.91 v mprj/u_wb_host/_2749_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    9.44 v mprj/u_wb_host/_2749_/X (sky130_fd_sc_hd__or4_1)
+     4    0.01                           mprj/u_wb_host/_1166_ (net)
+                  0.15    0.00    9.44 v mprj/u_wb_host/_2751_/D (sky130_fd_sc_hd__or4_2)
+                  0.16    0.70   10.14 v mprj/u_wb_host/_2751_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_wb_host/_1168_ (net)
+                  0.16    0.00   10.14 v mprj/u_wb_host/_2764_/C (sky130_fd_sc_hd__or4_2)
+                  0.17    0.78   10.92 v mprj/u_wb_host/_2764_/X (sky130_fd_sc_hd__or4_2)
+     7    0.02                           mprj/u_wb_host/_1181_ (net)
+                  0.17    0.00   10.92 v mprj/u_wb_host/_3642_/C (sky130_fd_sc_hd__and4_1)
+                  0.07    0.29   11.21 v mprj/u_wb_host/_3642_/X (sky130_fd_sc_hd__and4_1)
+     2    0.01                           mprj/u_wb_host/_1746_ (net)
+                  0.07    0.00   11.21 v mprj/u_wb_host/fanout399/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.12    0.24   11.45 v mprj/u_wb_host/fanout399/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.06                           mprj/u_wb_host/net399 (net)
+                  0.12    0.00   11.45 v mprj/u_wb_host/_3683_/B1 (sky130_fd_sc_hd__a22o_1)
+                  0.04    0.21   11.66 v mprj/u_wb_host/_3683_/X (sky130_fd_sc_hd__a22o_1)
+     1    0.00                           mprj/u_wb_host/_0208_ (net)
+                  0.04    0.00   11.66 v mprj/u_wb_host/_5670_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 11.66   data arrival time
 
                          10.00   10.00   clock lbist_clk (rise edge)
                           0.00   10.00   clock source latency
                   0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   10.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.11   10.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   10.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00   10.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   10.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00   10.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   10.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   10.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   10.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00   10.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17   10.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00   10.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00   10.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   11.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00   10.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00   11.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   11.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   11.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00   11.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00   11.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   11.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00   11.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   11.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   11.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   11.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00   11.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00   11.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00   11.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00   11.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   12.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00   11.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   12.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00   12.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00   12.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00   12.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   12.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00   12.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00   12.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   12.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00   12.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00   12.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00   12.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00   12.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   13.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   13.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00   12.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00   13.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14   13.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00   12.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   13.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00   13.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00   13.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   13.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   13.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   13.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00   13.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19   13.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00   13.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10   13.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00   13.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14   13.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00   13.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   13.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00   13.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   14.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00   13.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   13.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00   13.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00   14.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   14.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00   14.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13   14.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00   14.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62   14.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01   14.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29   15.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13   14.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00   14.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13   14.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00   14.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25   15.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00   15.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   15.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00   15.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13   15.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00   15.17 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.13   15.30 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00   15.32 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   15.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00   15.43 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12   15.55 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00   15.55 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   15.69 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00   15.30 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   15.42 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00   15.69 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   15.81 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
-                  0.04    0.00   15.42 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.12    0.18   15.60 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    26    0.06                           mprj/u_wb_host/clknet_4_11_0_lbist_clk_int (net)
-                  0.12    0.00   15.60 ^ mprj/u_wb_host/_5674_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   15.35   clock uncertainty
-                          0.39   15.74   clock reconvergence pessimism
-                         -0.05   15.69   library setup time
-                                 15.69   data required time
+                  0.04    0.00   15.81 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.15   15.96 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.04                           mprj/u_wb_host/clknet_4_11_0_lbist_clk_int (net)
+                  0.09    0.00   15.96 ^ mprj/u_wb_host/_5670_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   15.71   clock uncertainty
+                          0.41   16.13   clock reconvergence pessimism
+                         -0.10   16.02   library setup time
+                                 16.02   data required time
 -----------------------------------------------------------------------------
-                                 15.69   data required time
-                                -11.21   data arrival time
+                                 16.02   data required time
+                                -11.66   data arrival time
 -----------------------------------------------------------------------------
-                                  4.49   slack (MET)
+                                  4.36   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_5644_
+Startpoint: mprj/u_wb_host/_5638_
             (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5661_
+Endpoint: mprj/u_wb_host/_5644_
           (rising edge-triggered flip-flop clocked by lbist_clk)
 Path Group: lbist_clk
 Path Type: max
@@ -126406,263 +135191,326 @@
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
                   0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.72 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.72 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_0_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.20    6.05 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    28    0.08                           mprj/u_wb_host/clknet_4_0_0_lbist_clk_int (net)
-                  0.14    0.00    6.05 ^ mprj/u_wb_host/_5644_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.11    0.48    6.53 v mprj/u_wb_host/_5644_/Q (sky130_fd_sc_hd__dfrtp_1)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    6.45 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_3_0_lbist_clk_int (net)
+                  0.12    0.00    6.45 ^ mprj/u_wb_host/_5638_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.10    0.47    6.92 v mprj/u_wb_host/_5638_/Q (sky130_fd_sc_hd__dfrtp_1)
      5    0.02                           mprj/u_wb_host/u_lbist.u_lbist_core.scan_pat_cnt[1] (net)
-                  0.11    0.00    6.53 v mprj/u_wb_host/_2747_/B (sky130_fd_sc_hd__or4_2)
-                  0.15    0.76    7.29 v mprj/u_wb_host/_2747_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1161_ (net)
-                  0.15    0.00    7.30 v mprj/u_wb_host/_2749_/D (sky130_fd_sc_hd__or4_2)
-                  0.15    0.67    7.97 v mprj/u_wb_host/_2749_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1163_ (net)
-                  0.15    0.00    7.97 v mprj/u_wb_host/_2751_/D (sky130_fd_sc_hd__or4_2)
-                  0.21    0.75    8.72 v mprj/u_wb_host/_2751_/X (sky130_fd_sc_hd__or4_2)
-     8    0.03                           mprj/u_wb_host/_1165_ (net)
-                  0.21    0.00    8.72 v mprj/u_wb_host/_2753_/D (sky130_fd_sc_hd__or4_1)
-                  0.14    0.54    9.27 v mprj/u_wb_host/_2753_/X (sky130_fd_sc_hd__or4_1)
-     4    0.01                           mprj/u_wb_host/_1167_ (net)
-                  0.14    0.00    9.27 v mprj/u_wb_host/_2755_/D (sky130_fd_sc_hd__or4_2)
-                  0.19    0.72    9.99 v mprj/u_wb_host/_2755_/X (sky130_fd_sc_hd__or4_2)
-     8    0.03                           mprj/u_wb_host/_1169_ (net)
-                  0.19    0.00    9.99 v mprj/u_wb_host/_2768_/C (sky130_fd_sc_hd__or4_4)
-                  0.14    0.63   10.62 v mprj/u_wb_host/_2768_/X (sky130_fd_sc_hd__or4_4)
-     7    0.04                           mprj/u_wb_host/_1182_ (net)
-                  0.14    0.00   10.62 v mprj/u_wb_host/_2769_/C (sky130_fd_sc_hd__and3_1)
-                  0.06    0.25   10.87 v mprj/u_wb_host/_2769_/X (sky130_fd_sc_hd__and3_1)
-     2    0.01                           mprj/u_wb_host/_1183_ (net)
-                  0.06    0.00   10.87 v mprj/u_wb_host/_3635_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.29   11.16 v mprj/u_wb_host/_3635_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0193_ (net)
-                  0.05    0.00   11.16 v mprj/u_wb_host/_5661_/D (sky130_fd_sc_hd__dfrtp_4)
-                                 11.16   data arrival time
+                  0.10    0.00    6.92 v mprj/u_wb_host/_2743_/A (sky130_fd_sc_hd__or4_2)
+                  0.14    0.77    7.69 v mprj/u_wb_host/_2743_/X (sky130_fd_sc_hd__or4_2)
+     4    0.01                           mprj/u_wb_host/_1160_ (net)
+                  0.14    0.00    7.69 v mprj/u_wb_host/_2745_/D (sky130_fd_sc_hd__or4_2)
+                  0.15    0.67    8.36 v mprj/u_wb_host/_2745_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_wb_host/_1162_ (net)
+                  0.15    0.00    8.36 v mprj/u_wb_host/_2747_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    8.91 v mprj/u_wb_host/_2747_/X (sky130_fd_sc_hd__or4_1)
+     4    0.02                           mprj/u_wb_host/_1164_ (net)
+                  0.15    0.00    8.91 v mprj/u_wb_host/_2749_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    9.44 v mprj/u_wb_host/_2749_/X (sky130_fd_sc_hd__or4_1)
+     4    0.01                           mprj/u_wb_host/_1166_ (net)
+                  0.15    0.00    9.44 v mprj/u_wb_host/_2751_/D (sky130_fd_sc_hd__or4_2)
+                  0.16    0.70   10.14 v mprj/u_wb_host/_2751_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_wb_host/_1168_ (net)
+                  0.16    0.00   10.14 v mprj/u_wb_host/_2764_/C (sky130_fd_sc_hd__or4_2)
+                  0.17    0.78   10.92 v mprj/u_wb_host/_2764_/X (sky130_fd_sc_hd__or4_2)
+     7    0.02                           mprj/u_wb_host/_1181_ (net)
+                  0.17    0.00   10.92 v mprj/u_wb_host/_3552_/B (sky130_fd_sc_hd__and3b_1)
+                  0.08    0.27   11.19 v mprj/u_wb_host/_3552_/X (sky130_fd_sc_hd__and3b_1)
+     2    0.01                           mprj/u_wb_host/_1678_ (net)
+                  0.08    0.00   11.19 v mprj/u_wb_host/fanout403/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.15    0.26   11.45 v mprj/u_wb_host/fanout403/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.07                           mprj/u_wb_host/net403 (net)
+                  0.15    0.00   11.46 v mprj/u_wb_host/_3581_/B1 (sky130_fd_sc_hd__a22o_1)
+                  0.04    0.22   11.67 v mprj/u_wb_host/_3581_/X (sky130_fd_sc_hd__a22o_1)
+     1    0.00                           mprj/u_wb_host/_0182_ (net)
+                  0.04    0.00   11.67 v mprj/u_wb_host/_5644_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 11.67   data arrival time
 
                          10.00   10.00   clock lbist_clk (rise edge)
                           0.00   10.00   clock source latency
                   0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   10.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.11   10.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   10.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00   10.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   10.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00   10.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   10.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   10.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   10.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00   10.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17   10.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00   10.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00   10.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   11.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00   10.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00   11.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   11.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   11.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00   11.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00   11.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   11.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00   11.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   11.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   11.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   11.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00   11.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00   11.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00   11.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00   11.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   12.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00   11.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   12.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00   12.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00   12.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00   12.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   12.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00   12.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00   12.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   12.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00   12.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00   12.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00   12.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00   12.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   13.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   13.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00   12.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00   13.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14   13.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00   12.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   13.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00   13.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00   13.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   13.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   13.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   13.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00   13.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19   13.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00   13.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10   13.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00   13.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14   13.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00   13.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   13.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00   13.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   14.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00   13.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   13.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00   13.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00   14.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   14.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00   14.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13   14.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00   14.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62   14.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01   14.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29   15.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13   14.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00   14.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13   14.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00   14.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25   15.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00   15.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   15.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00   15.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13   15.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00   15.17 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.13   15.30 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00   15.32 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   15.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00   15.43 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12   15.55 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00   15.55 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   15.69 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00   15.30 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   15.42 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
-                  0.04    0.00   15.42 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.13    0.18   15.60 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.07                           mprj/u_wb_host/clknet_4_10_0_lbist_clk_int (net)
-                  0.13    0.00   15.60 ^ mprj/u_wb_host/_5661_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                         -0.25   15.35   clock uncertainty
-                          0.39   15.75   clock reconvergence pessimism
-                         -0.10   15.65   library setup time
-                                 15.65   data required time
+                  0.06    0.00   15.69 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   15.81 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_4_0_lbist_clk_int (net)
+                  0.04    0.00   15.81 ^ mprj/u_wb_host/clkbuf_4_9_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.10    0.16   15.97 ^ mprj/u_wb_host/clkbuf_4_9_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    15    0.05                           mprj/u_wb_host/clknet_4_9_0_lbist_clk_int (net)
+                  0.10    0.00   15.97 ^ mprj/u_wb_host/_5644_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   15.72   clock uncertainty
+                          0.41   16.14   clock reconvergence pessimism
+                         -0.10   16.04   library setup time
+                                 16.04   data required time
 -----------------------------------------------------------------------------
-                                 15.65   data required time
-                                -11.16   data arrival time
+                                 16.04   data required time
+                                -11.67   data arrival time
 -----------------------------------------------------------------------------
-                                  4.49   slack (MET)
+                                  4.37   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_5644_
+Startpoint: mprj/u_wb_host/_5638_
             (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5672_
+Endpoint: mprj/u_wb_host/_5647_
           (rising edge-triggered flip-flop clocked by lbist_clk)
 Path Group: lbist_clk
 Path Type: max
@@ -126675,263 +135523,326 @@
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
                   0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.72 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.72 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_0_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.20    6.05 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    28    0.08                           mprj/u_wb_host/clknet_4_0_0_lbist_clk_int (net)
-                  0.14    0.00    6.05 ^ mprj/u_wb_host/_5644_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.11    0.48    6.53 v mprj/u_wb_host/_5644_/Q (sky130_fd_sc_hd__dfrtp_1)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    6.45 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_3_0_lbist_clk_int (net)
+                  0.12    0.00    6.45 ^ mprj/u_wb_host/_5638_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.10    0.47    6.92 v mprj/u_wb_host/_5638_/Q (sky130_fd_sc_hd__dfrtp_1)
      5    0.02                           mprj/u_wb_host/u_lbist.u_lbist_core.scan_pat_cnt[1] (net)
-                  0.11    0.00    6.53 v mprj/u_wb_host/_2747_/B (sky130_fd_sc_hd__or4_2)
-                  0.15    0.76    7.29 v mprj/u_wb_host/_2747_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1161_ (net)
-                  0.15    0.00    7.30 v mprj/u_wb_host/_2749_/D (sky130_fd_sc_hd__or4_2)
-                  0.15    0.67    7.97 v mprj/u_wb_host/_2749_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1163_ (net)
-                  0.15    0.00    7.97 v mprj/u_wb_host/_2751_/D (sky130_fd_sc_hd__or4_2)
-                  0.21    0.75    8.72 v mprj/u_wb_host/_2751_/X (sky130_fd_sc_hd__or4_2)
-     8    0.03                           mprj/u_wb_host/_1165_ (net)
-                  0.21    0.00    8.72 v mprj/u_wb_host/_2753_/D (sky130_fd_sc_hd__or4_1)
-                  0.14    0.54    9.27 v mprj/u_wb_host/_2753_/X (sky130_fd_sc_hd__or4_1)
-     4    0.01                           mprj/u_wb_host/_1167_ (net)
-                  0.14    0.00    9.27 v mprj/u_wb_host/_2755_/D (sky130_fd_sc_hd__or4_2)
-                  0.19    0.72    9.99 v mprj/u_wb_host/_2755_/X (sky130_fd_sc_hd__or4_2)
-     8    0.03                           mprj/u_wb_host/_1169_ (net)
-                  0.19    0.00    9.99 v mprj/u_wb_host/_2768_/C (sky130_fd_sc_hd__or4_4)
-                  0.14    0.63   10.62 v mprj/u_wb_host/_2768_/X (sky130_fd_sc_hd__or4_4)
-     7    0.04                           mprj/u_wb_host/_1182_ (net)
-                  0.14    0.00   10.62 v mprj/u_wb_host/_3648_/C1 (sky130_fd_sc_hd__o211ai_4)
-                  0.65    0.32   10.95 ^ mprj/u_wb_host/_3648_/Y (sky130_fd_sc_hd__o211ai_4)
-    32    0.09                           mprj/u_wb_host/_1749_ (net)
-                  0.65    0.00   10.95 ^ mprj/u_wb_host/_3679_/A2 (sky130_fd_sc_hd__a22o_1)
-                  0.05    0.26   11.20 ^ mprj/u_wb_host/_3679_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_wb_host/_0204_ (net)
-                  0.05    0.00   11.20 ^ mprj/u_wb_host/_5672_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 11.20   data arrival time
+                  0.10    0.00    6.92 v mprj/u_wb_host/_2743_/A (sky130_fd_sc_hd__or4_2)
+                  0.14    0.77    7.69 v mprj/u_wb_host/_2743_/X (sky130_fd_sc_hd__or4_2)
+     4    0.01                           mprj/u_wb_host/_1160_ (net)
+                  0.14    0.00    7.69 v mprj/u_wb_host/_2745_/D (sky130_fd_sc_hd__or4_2)
+                  0.15    0.67    8.36 v mprj/u_wb_host/_2745_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_wb_host/_1162_ (net)
+                  0.15    0.00    8.36 v mprj/u_wb_host/_2747_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    8.91 v mprj/u_wb_host/_2747_/X (sky130_fd_sc_hd__or4_1)
+     4    0.02                           mprj/u_wb_host/_1164_ (net)
+                  0.15    0.00    8.91 v mprj/u_wb_host/_2749_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    9.44 v mprj/u_wb_host/_2749_/X (sky130_fd_sc_hd__or4_1)
+     4    0.01                           mprj/u_wb_host/_1166_ (net)
+                  0.15    0.00    9.44 v mprj/u_wb_host/_2751_/D (sky130_fd_sc_hd__or4_2)
+                  0.16    0.70   10.14 v mprj/u_wb_host/_2751_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_wb_host/_1168_ (net)
+                  0.16    0.00   10.14 v mprj/u_wb_host/_2764_/C (sky130_fd_sc_hd__or4_2)
+                  0.17    0.78   10.92 v mprj/u_wb_host/_2764_/X (sky130_fd_sc_hd__or4_2)
+     7    0.02                           mprj/u_wb_host/_1181_ (net)
+                  0.17    0.00   10.92 v mprj/u_wb_host/_3552_/B (sky130_fd_sc_hd__and3b_1)
+                  0.08    0.27   11.19 v mprj/u_wb_host/_3552_/X (sky130_fd_sc_hd__and3b_1)
+     2    0.01                           mprj/u_wb_host/_1678_ (net)
+                  0.08    0.00   11.19 v mprj/u_wb_host/fanout404/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.17    0.24   11.43 v mprj/u_wb_host/fanout404/X (sky130_fd_sc_hd__clkbuf_2)
+    12    0.04                           mprj/u_wb_host/net404 (net)
+                  0.17    0.00   11.43 v mprj/u_wb_host/_3592_/B1 (sky130_fd_sc_hd__a22o_1)
+                  0.04    0.23   11.66 v mprj/u_wb_host/_3592_/X (sky130_fd_sc_hd__a22o_1)
+     1    0.00                           mprj/u_wb_host/_0185_ (net)
+                  0.04    0.00   11.66 v mprj/u_wb_host/_5647_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 11.66   data arrival time
 
                          10.00   10.00   clock lbist_clk (rise edge)
                           0.00   10.00   clock source latency
                   0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   10.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.11   10.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   10.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00   10.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   10.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00   10.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   10.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   10.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   10.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00   10.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17   10.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00   10.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00   10.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   11.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00   10.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00   11.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   11.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   11.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00   11.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00   11.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   11.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00   11.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   11.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   11.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   11.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00   11.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00   11.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00   11.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00   11.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   12.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00   11.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   12.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00   12.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00   12.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00   12.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   12.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00   12.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00   12.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   12.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00   12.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00   12.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00   12.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00   12.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   13.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   13.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00   12.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00   13.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14   13.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00   12.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   13.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00   13.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00   13.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   13.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   13.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   13.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00   13.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19   13.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00   13.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10   13.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00   13.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14   13.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00   13.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   13.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00   13.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   14.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00   13.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   13.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00   13.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00   14.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   14.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00   14.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13   14.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00   14.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62   14.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01   14.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29   15.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13   14.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00   14.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13   14.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00   14.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25   15.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00   15.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   15.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00   15.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13   15.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00   15.17 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.13   15.30 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00   15.32 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   15.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00   15.43 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12   15.55 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00   15.55 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   15.69 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00   15.30 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   15.42 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
-                  0.04    0.00   15.42 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.12    0.18   15.60 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    26    0.06                           mprj/u_wb_host/clknet_4_11_0_lbist_clk_int (net)
-                  0.12    0.00   15.60 ^ mprj/u_wb_host/_5672_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   15.35   clock uncertainty
-                          0.39   15.74   clock reconvergence pessimism
-                         -0.05   15.69   library setup time
-                                 15.69   data required time
+                  0.06    0.00   15.69 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   15.81 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_4_0_lbist_clk_int (net)
+                  0.04    0.00   15.81 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.16   15.97 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.05                           mprj/u_wb_host/clknet_4_8_0_lbist_clk_int (net)
+                  0.09    0.00   15.97 ^ mprj/u_wb_host/_5647_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   15.72   clock uncertainty
+                          0.41   16.13   clock reconvergence pessimism
+                         -0.10   16.03   library setup time
+                                 16.03   data required time
 -----------------------------------------------------------------------------
-                                 15.69   data required time
-                                -11.20   data arrival time
+                                 16.03   data required time
+                                -11.66   data arrival time
 -----------------------------------------------------------------------------
-                                  4.49   slack (MET)
+                                  4.37   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_5644_
+Startpoint: mprj/u_wb_host/_5638_
             (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5671_
+Endpoint: mprj/u_wb_host/_5673_
           (rising edge-triggered flip-flop clocked by lbist_clk)
 Path Group: lbist_clk
 Path Type: max
@@ -126944,263 +135855,326 @@
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
                   0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.72 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.72 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_0_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.20    6.05 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    28    0.08                           mprj/u_wb_host/clknet_4_0_0_lbist_clk_int (net)
-                  0.14    0.00    6.05 ^ mprj/u_wb_host/_5644_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.11    0.48    6.53 v mprj/u_wb_host/_5644_/Q (sky130_fd_sc_hd__dfrtp_1)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    6.45 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_3_0_lbist_clk_int (net)
+                  0.12    0.00    6.45 ^ mprj/u_wb_host/_5638_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.10    0.47    6.92 v mprj/u_wb_host/_5638_/Q (sky130_fd_sc_hd__dfrtp_1)
      5    0.02                           mprj/u_wb_host/u_lbist.u_lbist_core.scan_pat_cnt[1] (net)
-                  0.11    0.00    6.53 v mprj/u_wb_host/_2747_/B (sky130_fd_sc_hd__or4_2)
-                  0.15    0.76    7.29 v mprj/u_wb_host/_2747_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1161_ (net)
-                  0.15    0.00    7.30 v mprj/u_wb_host/_2749_/D (sky130_fd_sc_hd__or4_2)
-                  0.15    0.67    7.97 v mprj/u_wb_host/_2749_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1163_ (net)
-                  0.15    0.00    7.97 v mprj/u_wb_host/_2751_/D (sky130_fd_sc_hd__or4_2)
-                  0.21    0.75    8.72 v mprj/u_wb_host/_2751_/X (sky130_fd_sc_hd__or4_2)
-     8    0.03                           mprj/u_wb_host/_1165_ (net)
-                  0.21    0.00    8.72 v mprj/u_wb_host/_2753_/D (sky130_fd_sc_hd__or4_1)
-                  0.14    0.54    9.27 v mprj/u_wb_host/_2753_/X (sky130_fd_sc_hd__or4_1)
-     4    0.01                           mprj/u_wb_host/_1167_ (net)
-                  0.14    0.00    9.27 v mprj/u_wb_host/_2755_/D (sky130_fd_sc_hd__or4_2)
-                  0.19    0.72    9.99 v mprj/u_wb_host/_2755_/X (sky130_fd_sc_hd__or4_2)
-     8    0.03                           mprj/u_wb_host/_1169_ (net)
-                  0.19    0.00    9.99 v mprj/u_wb_host/_2768_/C (sky130_fd_sc_hd__or4_4)
-                  0.14    0.63   10.62 v mprj/u_wb_host/_2768_/X (sky130_fd_sc_hd__or4_4)
-     7    0.04                           mprj/u_wb_host/_1182_ (net)
-                  0.14    0.00   10.62 v mprj/u_wb_host/_3648_/C1 (sky130_fd_sc_hd__o211ai_4)
-                  0.65    0.32   10.95 ^ mprj/u_wb_host/_3648_/Y (sky130_fd_sc_hd__o211ai_4)
-    32    0.09                           mprj/u_wb_host/_1749_ (net)
-                  0.65    0.00   10.95 ^ mprj/u_wb_host/_3675_/A2 (sky130_fd_sc_hd__a22o_1)
-                  0.05    0.25   11.20 ^ mprj/u_wb_host/_3675_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_wb_host/_0203_ (net)
-                  0.05    0.00   11.20 ^ mprj/u_wb_host/_5671_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 11.20   data arrival time
+                  0.10    0.00    6.92 v mprj/u_wb_host/_2743_/A (sky130_fd_sc_hd__or4_2)
+                  0.14    0.77    7.69 v mprj/u_wb_host/_2743_/X (sky130_fd_sc_hd__or4_2)
+     4    0.01                           mprj/u_wb_host/_1160_ (net)
+                  0.14    0.00    7.69 v mprj/u_wb_host/_2745_/D (sky130_fd_sc_hd__or4_2)
+                  0.15    0.67    8.36 v mprj/u_wb_host/_2745_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_wb_host/_1162_ (net)
+                  0.15    0.00    8.36 v mprj/u_wb_host/_2747_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    8.91 v mprj/u_wb_host/_2747_/X (sky130_fd_sc_hd__or4_1)
+     4    0.02                           mprj/u_wb_host/_1164_ (net)
+                  0.15    0.00    8.91 v mprj/u_wb_host/_2749_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    9.44 v mprj/u_wb_host/_2749_/X (sky130_fd_sc_hd__or4_1)
+     4    0.01                           mprj/u_wb_host/_1166_ (net)
+                  0.15    0.00    9.44 v mprj/u_wb_host/_2751_/D (sky130_fd_sc_hd__or4_2)
+                  0.16    0.70   10.14 v mprj/u_wb_host/_2751_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_wb_host/_1168_ (net)
+                  0.16    0.00   10.14 v mprj/u_wb_host/_2764_/C (sky130_fd_sc_hd__or4_2)
+                  0.17    0.78   10.92 v mprj/u_wb_host/_2764_/X (sky130_fd_sc_hd__or4_2)
+     7    0.02                           mprj/u_wb_host/_1181_ (net)
+                  0.17    0.00   10.92 v mprj/u_wb_host/_3642_/C (sky130_fd_sc_hd__and4_1)
+                  0.07    0.29   11.21 v mprj/u_wb_host/_3642_/X (sky130_fd_sc_hd__and4_1)
+     2    0.01                           mprj/u_wb_host/_1746_ (net)
+                  0.07    0.00   11.21 v mprj/u_wb_host/fanout400/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.09    0.17   11.38 v mprj/u_wb_host/fanout400/X (sky130_fd_sc_hd__clkbuf_2)
+     5    0.02                           mprj/u_wb_host/net400 (net)
+                  0.09    0.00   11.38 v mprj/u_wb_host/_3694_/A1 (sky130_fd_sc_hd__a32o_1)
+                  0.06    0.26   11.64 v mprj/u_wb_host/_3694_/X (sky130_fd_sc_hd__a32o_1)
+     1    0.01                           mprj/u_wb_host/_0211_ (net)
+                  0.06    0.00   11.64 v mprj/u_wb_host/_5673_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 11.64   data arrival time
 
                          10.00   10.00   clock lbist_clk (rise edge)
                           0.00   10.00   clock source latency
                   0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   10.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.11   10.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   10.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00   10.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   10.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00   10.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   10.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   10.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   10.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00   10.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17   10.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00   10.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00   10.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   11.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00   10.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00   11.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   11.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   11.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00   11.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00   11.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   11.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00   11.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   11.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   11.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   11.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00   11.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00   11.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00   11.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00   11.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   12.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00   11.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   12.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00   12.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00   12.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00   12.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   12.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00   12.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00   12.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   12.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00   12.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00   12.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00   12.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00   12.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   13.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   13.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00   12.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00   13.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14   13.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00   12.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   13.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00   13.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00   13.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   13.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   13.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   13.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00   13.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19   13.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00   13.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10   13.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00   13.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14   13.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00   13.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   13.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00   13.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   14.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00   13.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   13.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00   13.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00   14.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   14.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00   14.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13   14.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00   14.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62   14.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01   14.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29   15.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13   14.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00   14.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13   14.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00   14.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25   15.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00   15.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   15.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00   15.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13   15.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00   15.17 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.13   15.30 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00   15.32 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   15.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00   15.43 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12   15.55 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00   15.55 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   15.69 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00   15.30 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   15.42 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00   15.69 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   15.81 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
-                  0.04    0.00   15.42 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.12    0.18   15.60 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    26    0.06                           mprj/u_wb_host/clknet_4_11_0_lbist_clk_int (net)
-                  0.12    0.00   15.60 ^ mprj/u_wb_host/_5671_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   15.35   clock uncertainty
-                          0.39   15.74   clock reconvergence pessimism
-                         -0.05   15.69   library setup time
-                                 15.69   data required time
+                  0.04    0.00   15.81 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.15   15.96 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.04                           mprj/u_wb_host/clknet_4_11_0_lbist_clk_int (net)
+                  0.09    0.00   15.96 ^ mprj/u_wb_host/_5673_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   15.71   clock uncertainty
+                          0.41   16.13   clock reconvergence pessimism
+                         -0.11   16.02   library setup time
+                                 16.02   data required time
 -----------------------------------------------------------------------------
-                                 15.69   data required time
-                                -11.20   data arrival time
+                                 16.02   data required time
+                                -11.64   data arrival time
 -----------------------------------------------------------------------------
-                                  4.49   slack (MET)
+                                  4.38   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_5644_
+Startpoint: mprj/u_wb_host/_5638_
             (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5680_
+Endpoint: mprj/u_wb_host/_5648_
           (rising edge-triggered flip-flop clocked by lbist_clk)
 Path Group: lbist_clk
 Path Type: max
@@ -127213,263 +136187,326 @@
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
                   0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.72 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.72 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_0_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.20    6.05 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    28    0.08                           mprj/u_wb_host/clknet_4_0_0_lbist_clk_int (net)
-                  0.14    0.00    6.05 ^ mprj/u_wb_host/_5644_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.11    0.48    6.53 v mprj/u_wb_host/_5644_/Q (sky130_fd_sc_hd__dfrtp_1)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    6.45 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_3_0_lbist_clk_int (net)
+                  0.12    0.00    6.45 ^ mprj/u_wb_host/_5638_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.10    0.47    6.92 v mprj/u_wb_host/_5638_/Q (sky130_fd_sc_hd__dfrtp_1)
      5    0.02                           mprj/u_wb_host/u_lbist.u_lbist_core.scan_pat_cnt[1] (net)
-                  0.11    0.00    6.53 v mprj/u_wb_host/_2747_/B (sky130_fd_sc_hd__or4_2)
-                  0.15    0.76    7.29 v mprj/u_wb_host/_2747_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1161_ (net)
-                  0.15    0.00    7.30 v mprj/u_wb_host/_2749_/D (sky130_fd_sc_hd__or4_2)
-                  0.15    0.67    7.97 v mprj/u_wb_host/_2749_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1163_ (net)
-                  0.15    0.00    7.97 v mprj/u_wb_host/_2751_/D (sky130_fd_sc_hd__or4_2)
-                  0.21    0.75    8.72 v mprj/u_wb_host/_2751_/X (sky130_fd_sc_hd__or4_2)
-     8    0.03                           mprj/u_wb_host/_1165_ (net)
-                  0.21    0.00    8.72 v mprj/u_wb_host/_2753_/D (sky130_fd_sc_hd__or4_1)
-                  0.14    0.54    9.27 v mprj/u_wb_host/_2753_/X (sky130_fd_sc_hd__or4_1)
-     4    0.01                           mprj/u_wb_host/_1167_ (net)
-                  0.14    0.00    9.27 v mprj/u_wb_host/_2755_/D (sky130_fd_sc_hd__or4_2)
-                  0.19    0.72    9.99 v mprj/u_wb_host/_2755_/X (sky130_fd_sc_hd__or4_2)
-     8    0.03                           mprj/u_wb_host/_1169_ (net)
-                  0.19    0.00    9.99 v mprj/u_wb_host/_2768_/C (sky130_fd_sc_hd__or4_4)
-                  0.14    0.63   10.62 v mprj/u_wb_host/_2768_/X (sky130_fd_sc_hd__or4_4)
-     7    0.04                           mprj/u_wb_host/_1182_ (net)
-                  0.14    0.00   10.62 v mprj/u_wb_host/_3648_/C1 (sky130_fd_sc_hd__o211ai_4)
-                  0.65    0.32   10.95 ^ mprj/u_wb_host/_3648_/Y (sky130_fd_sc_hd__o211ai_4)
-    32    0.09                           mprj/u_wb_host/_1749_ (net)
-                  0.65    0.00   10.95 ^ mprj/u_wb_host/_3706_/A2 (sky130_fd_sc_hd__a22o_1)
-                  0.05    0.25   11.20 ^ mprj/u_wb_host/_3706_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_wb_host/_0212_ (net)
-                  0.05    0.00   11.20 ^ mprj/u_wb_host/_5680_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 11.20   data arrival time
+                  0.10    0.00    6.92 v mprj/u_wb_host/_2743_/A (sky130_fd_sc_hd__or4_2)
+                  0.14    0.77    7.69 v mprj/u_wb_host/_2743_/X (sky130_fd_sc_hd__or4_2)
+     4    0.01                           mprj/u_wb_host/_1160_ (net)
+                  0.14    0.00    7.69 v mprj/u_wb_host/_2745_/D (sky130_fd_sc_hd__or4_2)
+                  0.15    0.67    8.36 v mprj/u_wb_host/_2745_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_wb_host/_1162_ (net)
+                  0.15    0.00    8.36 v mprj/u_wb_host/_2747_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    8.91 v mprj/u_wb_host/_2747_/X (sky130_fd_sc_hd__or4_1)
+     4    0.02                           mprj/u_wb_host/_1164_ (net)
+                  0.15    0.00    8.91 v mprj/u_wb_host/_2749_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    9.44 v mprj/u_wb_host/_2749_/X (sky130_fd_sc_hd__or4_1)
+     4    0.01                           mprj/u_wb_host/_1166_ (net)
+                  0.15    0.00    9.44 v mprj/u_wb_host/_2751_/D (sky130_fd_sc_hd__or4_2)
+                  0.16    0.70   10.14 v mprj/u_wb_host/_2751_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_wb_host/_1168_ (net)
+                  0.16    0.00   10.14 v mprj/u_wb_host/_2764_/C (sky130_fd_sc_hd__or4_2)
+                  0.17    0.78   10.92 v mprj/u_wb_host/_2764_/X (sky130_fd_sc_hd__or4_2)
+     7    0.02                           mprj/u_wb_host/_1181_ (net)
+                  0.17    0.00   10.92 v mprj/u_wb_host/_3552_/B (sky130_fd_sc_hd__and3b_1)
+                  0.08    0.27   11.19 v mprj/u_wb_host/_3552_/X (sky130_fd_sc_hd__and3b_1)
+     2    0.01                           mprj/u_wb_host/_1678_ (net)
+                  0.08    0.00   11.19 v mprj/u_wb_host/fanout404/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.17    0.24   11.43 v mprj/u_wb_host/fanout404/X (sky130_fd_sc_hd__clkbuf_2)
+    12    0.04                           mprj/u_wb_host/net404 (net)
+                  0.17    0.00   11.43 v mprj/u_wb_host/_3595_/B1 (sky130_fd_sc_hd__a22o_1)
+                  0.04    0.23   11.66 v mprj/u_wb_host/_3595_/X (sky130_fd_sc_hd__a22o_1)
+     1    0.00                           mprj/u_wb_host/_0186_ (net)
+                  0.04    0.00   11.66 v mprj/u_wb_host/_5648_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 11.66   data arrival time
 
                          10.00   10.00   clock lbist_clk (rise edge)
                           0.00   10.00   clock source latency
                   0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   10.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.11   10.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   10.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00   10.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   10.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00   10.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   10.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   10.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   10.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00   10.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17   10.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00   10.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00   10.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   11.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00   10.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00   11.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   11.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   11.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00   11.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00   11.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   11.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00   11.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   11.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   11.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   11.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00   11.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00   11.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00   11.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00   11.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   12.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00   11.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   12.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00   12.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00   12.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00   12.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   12.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00   12.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00   12.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   12.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00   12.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00   12.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00   12.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00   12.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   13.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   13.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00   12.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00   13.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14   13.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00   12.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   13.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00   13.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00   13.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   13.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   13.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   13.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00   13.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19   13.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00   13.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10   13.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00   13.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14   13.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00   13.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   13.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00   13.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   14.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00   13.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   13.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00   13.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00   14.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   14.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00   14.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13   14.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00   14.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62   14.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01   14.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29   15.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13   14.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00   14.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13   14.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00   14.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25   15.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00   15.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   15.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00   15.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13   15.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00   15.17 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.13   15.30 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00   15.32 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   15.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00   15.43 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12   15.55 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00   15.55 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   15.69 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00   15.30 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   15.42 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
-                  0.04    0.00   15.42 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.12    0.18   15.60 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    26    0.06                           mprj/u_wb_host/clknet_4_11_0_lbist_clk_int (net)
-                  0.12    0.00   15.60 ^ mprj/u_wb_host/_5680_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   15.35   clock uncertainty
-                          0.39   15.74   clock reconvergence pessimism
-                         -0.05   15.69   library setup time
-                                 15.69   data required time
+                  0.06    0.00   15.69 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   15.81 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_4_0_lbist_clk_int (net)
+                  0.04    0.00   15.81 ^ mprj/u_wb_host/clkbuf_4_9_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.10    0.16   15.97 ^ mprj/u_wb_host/clkbuf_4_9_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    15    0.05                           mprj/u_wb_host/clknet_4_9_0_lbist_clk_int (net)
+                  0.10    0.00   15.97 ^ mprj/u_wb_host/_5648_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   15.72   clock uncertainty
+                          0.41   16.14   clock reconvergence pessimism
+                         -0.10   16.03   library setup time
+                                 16.03   data required time
 -----------------------------------------------------------------------------
-                                 15.69   data required time
-                                -11.20   data arrival time
+                                 16.03   data required time
+                                -11.66   data arrival time
 -----------------------------------------------------------------------------
-                                  4.49   slack (MET)
+                                  4.38   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_5644_
+Startpoint: mprj/u_wb_host/_5638_
             (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5678_
+Endpoint: mprj/u_wb_host/_5650_
           (rising edge-triggered flip-flop clocked by lbist_clk)
 Path Group: lbist_clk
 Path Type: max
@@ -127482,261 +136519,1320 @@
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
                   0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.72 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.72 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_0_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.20    6.05 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    28    0.08                           mprj/u_wb_host/clknet_4_0_0_lbist_clk_int (net)
-                  0.14    0.00    6.05 ^ mprj/u_wb_host/_5644_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.11    0.48    6.53 v mprj/u_wb_host/_5644_/Q (sky130_fd_sc_hd__dfrtp_1)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    6.45 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_3_0_lbist_clk_int (net)
+                  0.12    0.00    6.45 ^ mprj/u_wb_host/_5638_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.10    0.47    6.92 v mprj/u_wb_host/_5638_/Q (sky130_fd_sc_hd__dfrtp_1)
      5    0.02                           mprj/u_wb_host/u_lbist.u_lbist_core.scan_pat_cnt[1] (net)
-                  0.11    0.00    6.53 v mprj/u_wb_host/_2747_/B (sky130_fd_sc_hd__or4_2)
-                  0.15    0.76    7.29 v mprj/u_wb_host/_2747_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1161_ (net)
-                  0.15    0.00    7.30 v mprj/u_wb_host/_2749_/D (sky130_fd_sc_hd__or4_2)
-                  0.15    0.67    7.97 v mprj/u_wb_host/_2749_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1163_ (net)
-                  0.15    0.00    7.97 v mprj/u_wb_host/_2751_/D (sky130_fd_sc_hd__or4_2)
-                  0.21    0.75    8.72 v mprj/u_wb_host/_2751_/X (sky130_fd_sc_hd__or4_2)
-     8    0.03                           mprj/u_wb_host/_1165_ (net)
-                  0.21    0.00    8.72 v mprj/u_wb_host/_2753_/D (sky130_fd_sc_hd__or4_1)
-                  0.14    0.54    9.27 v mprj/u_wb_host/_2753_/X (sky130_fd_sc_hd__or4_1)
-     4    0.01                           mprj/u_wb_host/_1167_ (net)
-                  0.14    0.00    9.27 v mprj/u_wb_host/_2755_/D (sky130_fd_sc_hd__or4_2)
-                  0.19    0.72    9.99 v mprj/u_wb_host/_2755_/X (sky130_fd_sc_hd__or4_2)
-     8    0.03                           mprj/u_wb_host/_1169_ (net)
-                  0.19    0.00    9.99 v mprj/u_wb_host/_2768_/C (sky130_fd_sc_hd__or4_4)
-                  0.14    0.63   10.62 v mprj/u_wb_host/_2768_/X (sky130_fd_sc_hd__or4_4)
-     7    0.04                           mprj/u_wb_host/_1182_ (net)
-                  0.14    0.00   10.62 v mprj/u_wb_host/_3648_/C1 (sky130_fd_sc_hd__o211ai_4)
-                  0.65    0.32   10.95 ^ mprj/u_wb_host/_3648_/Y (sky130_fd_sc_hd__o211ai_4)
-    32    0.09                           mprj/u_wb_host/_1749_ (net)
-                  0.65    0.00   10.95 ^ mprj/u_wb_host/_3700_/A2 (sky130_fd_sc_hd__a22o_1)
-                  0.05    0.25   11.20 ^ mprj/u_wb_host/_3700_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_wb_host/_0210_ (net)
-                  0.05    0.00   11.20 ^ mprj/u_wb_host/_5678_/D (sky130_fd_sc_hd__dfrtp_2)
-                                 11.20   data arrival time
+                  0.10    0.00    6.92 v mprj/u_wb_host/_2743_/A (sky130_fd_sc_hd__or4_2)
+                  0.14    0.77    7.69 v mprj/u_wb_host/_2743_/X (sky130_fd_sc_hd__or4_2)
+     4    0.01                           mprj/u_wb_host/_1160_ (net)
+                  0.14    0.00    7.69 v mprj/u_wb_host/_2745_/D (sky130_fd_sc_hd__or4_2)
+                  0.15    0.67    8.36 v mprj/u_wb_host/_2745_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_wb_host/_1162_ (net)
+                  0.15    0.00    8.36 v mprj/u_wb_host/_2747_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    8.91 v mprj/u_wb_host/_2747_/X (sky130_fd_sc_hd__or4_1)
+     4    0.02                           mprj/u_wb_host/_1164_ (net)
+                  0.15    0.00    8.91 v mprj/u_wb_host/_2749_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    9.44 v mprj/u_wb_host/_2749_/X (sky130_fd_sc_hd__or4_1)
+     4    0.01                           mprj/u_wb_host/_1166_ (net)
+                  0.15    0.00    9.44 v mprj/u_wb_host/_2751_/D (sky130_fd_sc_hd__or4_2)
+                  0.16    0.70   10.14 v mprj/u_wb_host/_2751_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_wb_host/_1168_ (net)
+                  0.16    0.00   10.14 v mprj/u_wb_host/_2764_/C (sky130_fd_sc_hd__or4_2)
+                  0.17    0.78   10.92 v mprj/u_wb_host/_2764_/X (sky130_fd_sc_hd__or4_2)
+     7    0.02                           mprj/u_wb_host/_1181_ (net)
+                  0.17    0.00   10.92 v mprj/u_wb_host/_3552_/B (sky130_fd_sc_hd__and3b_1)
+                  0.08    0.27   11.19 v mprj/u_wb_host/_3552_/X (sky130_fd_sc_hd__and3b_1)
+     2    0.01                           mprj/u_wb_host/_1678_ (net)
+                  0.08    0.00   11.19 v mprj/u_wb_host/fanout404/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.17    0.24   11.43 v mprj/u_wb_host/fanout404/X (sky130_fd_sc_hd__clkbuf_2)
+    12    0.04                           mprj/u_wb_host/net404 (net)
+                  0.17    0.00   11.43 v mprj/u_wb_host/_3603_/B1 (sky130_fd_sc_hd__a22o_1)
+                  0.04    0.23   11.65 v mprj/u_wb_host/_3603_/X (sky130_fd_sc_hd__a22o_1)
+     1    0.00                           mprj/u_wb_host/_0188_ (net)
+                  0.04    0.00   11.65 v mprj/u_wb_host/_5650_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 11.65   data arrival time
 
                          10.00   10.00   clock lbist_clk (rise edge)
                           0.00   10.00   clock source latency
                   0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   10.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.11   10.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   10.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00   10.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   10.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00   10.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   10.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   10.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   10.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00   10.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17   10.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00   10.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00   10.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   11.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00   10.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00   11.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   11.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   11.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00   11.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00   11.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   11.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00   11.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   11.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   11.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   11.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00   11.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00   11.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00   11.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00   11.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   12.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00   11.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   12.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00   12.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00   12.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00   12.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   12.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00   12.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00   12.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   12.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00   12.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00   12.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00   12.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00   12.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   13.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   13.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00   12.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00   13.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14   13.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00   12.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   13.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00   13.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00   13.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   13.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   13.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   13.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00   13.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19   13.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00   13.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10   13.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00   13.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14   13.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00   13.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   13.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00   13.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   14.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00   13.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   13.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00   13.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00   14.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   14.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00   14.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13   14.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00   14.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62   14.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01   14.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29   15.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13   14.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00   14.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13   14.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00   14.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25   15.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00   15.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   15.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00   15.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13   15.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00   15.17 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.13   15.30 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00   15.32 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   15.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00   15.43 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12   15.55 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00   15.55 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   15.69 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00   15.30 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   15.42 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
-                  0.04    0.00   15.42 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.12    0.18   15.60 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    26    0.06                           mprj/u_wb_host/clknet_4_11_0_lbist_clk_int (net)
-                  0.12    0.00   15.60 ^ mprj/u_wb_host/_5678_/CLK (sky130_fd_sc_hd__dfrtp_2)
-                         -0.25   15.35   clock uncertainty
-                          0.39   15.74   clock reconvergence pessimism
-                         -0.05   15.69   library setup time
-                                 15.69   data required time
+                  0.06    0.00   15.69 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   15.81 ^ mprj/u_wb_host/clkbuf_3_4_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_4_0_lbist_clk_int (net)
+                  0.04    0.00   15.81 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.16   15.97 ^ mprj/u_wb_host/clkbuf_4_8_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.05                           mprj/u_wb_host/clknet_4_8_0_lbist_clk_int (net)
+                  0.09    0.00   15.97 ^ mprj/u_wb_host/_5650_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   15.72   clock uncertainty
+                          0.41   16.13   clock reconvergence pessimism
+                         -0.10   16.03   library setup time
+                                 16.03   data required time
 -----------------------------------------------------------------------------
-                                 15.69   data required time
-                                -11.20   data arrival time
+                                 16.03   data required time
+                                -11.65   data arrival time
 -----------------------------------------------------------------------------
-                                  4.49   slack (MET)
+                                  4.38   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_5644_
+Startpoint: mprj/u_wb_host/_5638_
+            (rising edge-triggered flip-flop clocked by lbist_clk)
+Endpoint: mprj/u_wb_host/_5659_
+          (rising edge-triggered flip-flop clocked by lbist_clk)
+Path Group: lbist_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    6.45 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_3_0_lbist_clk_int (net)
+                  0.12    0.00    6.45 ^ mprj/u_wb_host/_5638_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.10    0.47    6.92 v mprj/u_wb_host/_5638_/Q (sky130_fd_sc_hd__dfrtp_1)
+     5    0.02                           mprj/u_wb_host/u_lbist.u_lbist_core.scan_pat_cnt[1] (net)
+                  0.10    0.00    6.92 v mprj/u_wb_host/_2743_/A (sky130_fd_sc_hd__or4_2)
+                  0.14    0.77    7.69 v mprj/u_wb_host/_2743_/X (sky130_fd_sc_hd__or4_2)
+     4    0.01                           mprj/u_wb_host/_1160_ (net)
+                  0.14    0.00    7.69 v mprj/u_wb_host/_2745_/D (sky130_fd_sc_hd__or4_2)
+                  0.15    0.67    8.36 v mprj/u_wb_host/_2745_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_wb_host/_1162_ (net)
+                  0.15    0.00    8.36 v mprj/u_wb_host/_2747_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    8.91 v mprj/u_wb_host/_2747_/X (sky130_fd_sc_hd__or4_1)
+     4    0.02                           mprj/u_wb_host/_1164_ (net)
+                  0.15    0.00    8.91 v mprj/u_wb_host/_2749_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    9.44 v mprj/u_wb_host/_2749_/X (sky130_fd_sc_hd__or4_1)
+     4    0.01                           mprj/u_wb_host/_1166_ (net)
+                  0.15    0.00    9.44 v mprj/u_wb_host/_2751_/D (sky130_fd_sc_hd__or4_2)
+                  0.16    0.70   10.14 v mprj/u_wb_host/_2751_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_wb_host/_1168_ (net)
+                  0.16    0.00   10.14 v mprj/u_wb_host/_2764_/C (sky130_fd_sc_hd__or4_2)
+                  0.17    0.78   10.92 v mprj/u_wb_host/_2764_/X (sky130_fd_sc_hd__or4_2)
+     7    0.02                           mprj/u_wb_host/_1181_ (net)
+                  0.17    0.00   10.92 v mprj/u_wb_host/_3642_/C (sky130_fd_sc_hd__and4_1)
+                  0.07    0.29   11.21 v mprj/u_wb_host/_3642_/X (sky130_fd_sc_hd__and4_1)
+     2    0.01                           mprj/u_wb_host/_1746_ (net)
+                  0.07    0.00   11.21 v mprj/u_wb_host/fanout399/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.12    0.24   11.45 v mprj/u_wb_host/fanout399/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.06                           mprj/u_wb_host/net399 (net)
+                  0.12    0.00   11.45 v mprj/u_wb_host/_3643_/B2 (sky130_fd_sc_hd__a22o_1)
+                  0.04    0.23   11.67 v mprj/u_wb_host/_3643_/X (sky130_fd_sc_hd__a22o_1)
+     1    0.00                           mprj/u_wb_host/_0197_ (net)
+                  0.04    0.00   11.67 v mprj/u_wb_host/_5659_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 11.67   data arrival time
+
+                         10.00   10.00   clock lbist_clk (rise edge)
+                          0.00   10.00   clock source latency
+                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.11   10.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   10.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00   10.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   10.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00   10.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17   10.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00   10.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   11.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00   11.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   11.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00   11.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00   11.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   11.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00   11.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   11.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00   11.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00   11.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   12.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00   12.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00   12.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00   12.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   12.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00   12.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00   12.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00   12.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00   13.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   13.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00   13.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14   13.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00   13.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00   13.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   13.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00   13.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10   13.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00   13.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14   13.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00   13.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   14.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00   14.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   14.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00   14.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13   14.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00   14.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13   14.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00   14.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25   15.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00   15.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   15.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00   15.32 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   15.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00   15.43 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12   15.55 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00   15.55 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13   15.68 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
+                  0.05    0.00   15.68 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   15.80 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_7_0_lbist_clk_int (net)
+                  0.04    0.00   15.80 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   15.98 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_14_0_lbist_clk_int (net)
+                  0.13    0.00   15.98 ^ mprj/u_wb_host/_5659_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   15.73   clock uncertainty
+                          0.41   16.15   clock reconvergence pessimism
+                         -0.09   16.06   library setup time
+                                 16.06   data required time
+-----------------------------------------------------------------------------
+                                 16.06   data required time
+                                -11.67   data arrival time
+-----------------------------------------------------------------------------
+                                  4.38   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5638_
+            (rising edge-triggered flip-flop clocked by lbist_clk)
+Endpoint: mprj/u_wb_host/_5643_
+          (rising edge-triggered flip-flop clocked by lbist_clk)
+Path Group: lbist_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    6.45 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_3_0_lbist_clk_int (net)
+                  0.12    0.00    6.45 ^ mprj/u_wb_host/_5638_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.10    0.47    6.92 v mprj/u_wb_host/_5638_/Q (sky130_fd_sc_hd__dfrtp_1)
+     5    0.02                           mprj/u_wb_host/u_lbist.u_lbist_core.scan_pat_cnt[1] (net)
+                  0.10    0.00    6.92 v mprj/u_wb_host/_2743_/A (sky130_fd_sc_hd__or4_2)
+                  0.14    0.77    7.69 v mprj/u_wb_host/_2743_/X (sky130_fd_sc_hd__or4_2)
+     4    0.01                           mprj/u_wb_host/_1160_ (net)
+                  0.14    0.00    7.69 v mprj/u_wb_host/_2745_/D (sky130_fd_sc_hd__or4_2)
+                  0.15    0.67    8.36 v mprj/u_wb_host/_2745_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_wb_host/_1162_ (net)
+                  0.15    0.00    8.36 v mprj/u_wb_host/_2747_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    8.91 v mprj/u_wb_host/_2747_/X (sky130_fd_sc_hd__or4_1)
+     4    0.02                           mprj/u_wb_host/_1164_ (net)
+                  0.15    0.00    8.91 v mprj/u_wb_host/_2749_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    9.44 v mprj/u_wb_host/_2749_/X (sky130_fd_sc_hd__or4_1)
+     4    0.01                           mprj/u_wb_host/_1166_ (net)
+                  0.15    0.00    9.44 v mprj/u_wb_host/_2751_/D (sky130_fd_sc_hd__or4_2)
+                  0.16    0.70   10.14 v mprj/u_wb_host/_2751_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_wb_host/_1168_ (net)
+                  0.16    0.00   10.14 v mprj/u_wb_host/_2764_/C (sky130_fd_sc_hd__or4_2)
+                  0.17    0.78   10.92 v mprj/u_wb_host/_2764_/X (sky130_fd_sc_hd__or4_2)
+     7    0.02                           mprj/u_wb_host/_1181_ (net)
+                  0.17    0.00   10.92 v mprj/u_wb_host/_3552_/B (sky130_fd_sc_hd__and3b_1)
+                  0.08    0.27   11.19 v mprj/u_wb_host/_3552_/X (sky130_fd_sc_hd__and3b_1)
+     2    0.01                           mprj/u_wb_host/_1678_ (net)
+                  0.08    0.00   11.19 v mprj/u_wb_host/fanout403/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.15    0.26   11.45 v mprj/u_wb_host/fanout403/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.07                           mprj/u_wb_host/net403 (net)
+                  0.15    0.00   11.46 v mprj/u_wb_host/_3577_/A1 (sky130_fd_sc_hd__a32o_1)
+                  0.04    0.26   11.72 v mprj/u_wb_host/_3577_/X (sky130_fd_sc_hd__a32o_1)
+     1    0.00                           mprj/u_wb_host/_0181_ (net)
+                  0.04    0.00   11.72 v mprj/u_wb_host/_5643_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 11.72   data arrival time
+
+                         10.00   10.00   clock lbist_clk (rise edge)
+                          0.00   10.00   clock source latency
+                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.11   10.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   10.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00   10.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   10.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00   10.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17   10.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00   10.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   11.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00   11.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   11.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00   11.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00   11.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   11.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00   11.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   11.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00   11.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00   11.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   12.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00   12.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00   12.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00   12.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   12.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00   12.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00   12.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00   12.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00   13.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   13.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00   13.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14   13.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00   13.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00   13.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   13.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00   13.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10   13.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00   13.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14   13.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00   13.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   14.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00   14.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   14.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00   14.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13   14.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00   14.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13   14.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00   14.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25   15.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00   15.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   15.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00   15.32 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   15.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00   15.43 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   15.55 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00   15.55 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   15.69 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
+                  0.06    0.00   15.69 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   15.81 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
+                  0.04    0.00   15.81 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.19   15.99 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.07                           mprj/u_wb_host/clknet_4_2_0_lbist_clk_int (net)
+                  0.14    0.00   15.99 ^ mprj/u_wb_host/_5643_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   15.74   clock uncertainty
+                          0.45   16.20   clock reconvergence pessimism
+                         -0.09   16.11   library setup time
+                                 16.11   data required time
+-----------------------------------------------------------------------------
+                                 16.11   data required time
+                                -11.72   data arrival time
+-----------------------------------------------------------------------------
+                                  4.39   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5638_
+            (rising edge-triggered flip-flop clocked by lbist_clk)
+Endpoint: mprj/u_wb_host/_5637_
+          (rising edge-triggered flip-flop clocked by lbist_clk)
+Path Group: lbist_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    6.45 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_3_0_lbist_clk_int (net)
+                  0.12    0.00    6.45 ^ mprj/u_wb_host/_5638_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.10    0.47    6.92 v mprj/u_wb_host/_5638_/Q (sky130_fd_sc_hd__dfrtp_1)
+     5    0.02                           mprj/u_wb_host/u_lbist.u_lbist_core.scan_pat_cnt[1] (net)
+                  0.10    0.00    6.92 v mprj/u_wb_host/_2743_/A (sky130_fd_sc_hd__or4_2)
+                  0.14    0.77    7.69 v mprj/u_wb_host/_2743_/X (sky130_fd_sc_hd__or4_2)
+     4    0.01                           mprj/u_wb_host/_1160_ (net)
+                  0.14    0.00    7.69 v mprj/u_wb_host/_2745_/D (sky130_fd_sc_hd__or4_2)
+                  0.15    0.67    8.36 v mprj/u_wb_host/_2745_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_wb_host/_1162_ (net)
+                  0.15    0.00    8.36 v mprj/u_wb_host/_2747_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    8.91 v mprj/u_wb_host/_2747_/X (sky130_fd_sc_hd__or4_1)
+     4    0.02                           mprj/u_wb_host/_1164_ (net)
+                  0.15    0.00    8.91 v mprj/u_wb_host/_2749_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    9.44 v mprj/u_wb_host/_2749_/X (sky130_fd_sc_hd__or4_1)
+     4    0.01                           mprj/u_wb_host/_1166_ (net)
+                  0.15    0.00    9.44 v mprj/u_wb_host/_2751_/D (sky130_fd_sc_hd__or4_2)
+                  0.16    0.70   10.14 v mprj/u_wb_host/_2751_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_wb_host/_1168_ (net)
+                  0.16    0.00   10.14 v mprj/u_wb_host/_2764_/C (sky130_fd_sc_hd__or4_2)
+                  0.17    0.78   10.92 v mprj/u_wb_host/_2764_/X (sky130_fd_sc_hd__or4_2)
+     7    0.02                           mprj/u_wb_host/_1181_ (net)
+                  0.17    0.00   10.92 v mprj/u_wb_host/_3552_/B (sky130_fd_sc_hd__and3b_1)
+                  0.08    0.27   11.19 v mprj/u_wb_host/_3552_/X (sky130_fd_sc_hd__and3b_1)
+     2    0.01                           mprj/u_wb_host/_1678_ (net)
+                  0.08    0.00   11.19 v mprj/u_wb_host/fanout403/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.15    0.26   11.45 v mprj/u_wb_host/fanout403/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.07                           mprj/u_wb_host/net403 (net)
+                  0.15    0.01   11.46 v mprj/u_wb_host/_3555_/A1 (sky130_fd_sc_hd__a32o_1)
+                  0.04    0.26   11.72 v mprj/u_wb_host/_3555_/X (sky130_fd_sc_hd__a32o_1)
+     1    0.00                           mprj/u_wb_host/_0175_ (net)
+                  0.04    0.00   11.72 v mprj/u_wb_host/_5637_/D (sky130_fd_sc_hd__dfrtp_2)
+                                 11.72   data arrival time
+
+                         10.00   10.00   clock lbist_clk (rise edge)
+                          0.00   10.00   clock source latency
+                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.11   10.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   10.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00   10.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   10.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00   10.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17   10.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00   10.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   11.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00   11.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   11.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00   11.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00   11.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   11.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00   11.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   11.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00   11.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00   11.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   12.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00   12.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00   12.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00   12.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   12.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00   12.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00   12.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00   12.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00   13.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   13.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00   13.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14   13.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00   13.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00   13.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   13.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00   13.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10   13.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00   13.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14   13.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00   13.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   14.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00   14.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   14.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00   14.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13   14.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00   14.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13   14.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00   14.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25   15.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00   15.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   15.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00   15.32 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   15.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00   15.43 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   15.55 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00   15.55 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   15.69 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
+                  0.06    0.00   15.69 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   15.81 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
+                  0.04    0.00   15.81 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.18   15.99 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_3_0_lbist_clk_int (net)
+                  0.12    0.00   15.99 ^ mprj/u_wb_host/_5637_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                         -0.25   15.74   clock uncertainty
+                          0.47   16.20   clock reconvergence pessimism
+                         -0.09   16.11   library setup time
+                                 16.11   data required time
+-----------------------------------------------------------------------------
+                                 16.11   data required time
+                                -11.72   data arrival time
+-----------------------------------------------------------------------------
+                                  4.39   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5638_
             (rising edge-triggered flip-flop clocked by lbist_clk)
 Endpoint: mprj/u_wb_host/_5668_
           (rising edge-triggered flip-flop clocked by lbist_clk)
@@ -127751,261 +137847,324 @@
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
                   0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.72 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.72 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_0_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.20    6.05 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    28    0.08                           mprj/u_wb_host/clknet_4_0_0_lbist_clk_int (net)
-                  0.14    0.00    6.05 ^ mprj/u_wb_host/_5644_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.11    0.48    6.53 v mprj/u_wb_host/_5644_/Q (sky130_fd_sc_hd__dfrtp_1)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    6.45 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_3_0_lbist_clk_int (net)
+                  0.12    0.00    6.45 ^ mprj/u_wb_host/_5638_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.10    0.47    6.92 v mprj/u_wb_host/_5638_/Q (sky130_fd_sc_hd__dfrtp_1)
      5    0.02                           mprj/u_wb_host/u_lbist.u_lbist_core.scan_pat_cnt[1] (net)
-                  0.11    0.00    6.53 v mprj/u_wb_host/_2747_/B (sky130_fd_sc_hd__or4_2)
-                  0.15    0.76    7.29 v mprj/u_wb_host/_2747_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1161_ (net)
-                  0.15    0.00    7.30 v mprj/u_wb_host/_2749_/D (sky130_fd_sc_hd__or4_2)
-                  0.15    0.67    7.97 v mprj/u_wb_host/_2749_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1163_ (net)
-                  0.15    0.00    7.97 v mprj/u_wb_host/_2751_/D (sky130_fd_sc_hd__or4_2)
-                  0.21    0.75    8.72 v mprj/u_wb_host/_2751_/X (sky130_fd_sc_hd__or4_2)
-     8    0.03                           mprj/u_wb_host/_1165_ (net)
-                  0.21    0.00    8.72 v mprj/u_wb_host/_2753_/D (sky130_fd_sc_hd__or4_1)
-                  0.14    0.54    9.27 v mprj/u_wb_host/_2753_/X (sky130_fd_sc_hd__or4_1)
-     4    0.01                           mprj/u_wb_host/_1167_ (net)
-                  0.14    0.00    9.27 v mprj/u_wb_host/_2755_/D (sky130_fd_sc_hd__or4_2)
-                  0.19    0.72    9.99 v mprj/u_wb_host/_2755_/X (sky130_fd_sc_hd__or4_2)
-     8    0.03                           mprj/u_wb_host/_1169_ (net)
-                  0.19    0.00    9.99 v mprj/u_wb_host/_2768_/C (sky130_fd_sc_hd__or4_4)
-                  0.14    0.63   10.62 v mprj/u_wb_host/_2768_/X (sky130_fd_sc_hd__or4_4)
-     7    0.04                           mprj/u_wb_host/_1182_ (net)
-                  0.14    0.00   10.62 v mprj/u_wb_host/_3648_/C1 (sky130_fd_sc_hd__o211ai_4)
-                  0.65    0.32   10.95 ^ mprj/u_wb_host/_3648_/Y (sky130_fd_sc_hd__o211ai_4)
-    32    0.09                           mprj/u_wb_host/_1749_ (net)
-                  0.65    0.00   10.95 ^ mprj/u_wb_host/_3664_/A2 (sky130_fd_sc_hd__a22o_1)
-                  0.05    0.25   11.20 ^ mprj/u_wb_host/_3664_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_wb_host/_0200_ (net)
-                  0.05    0.00   11.20 ^ mprj/u_wb_host/_5668_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 11.20   data arrival time
+                  0.10    0.00    6.92 v mprj/u_wb_host/_2743_/A (sky130_fd_sc_hd__or4_2)
+                  0.14    0.77    7.69 v mprj/u_wb_host/_2743_/X (sky130_fd_sc_hd__or4_2)
+     4    0.01                           mprj/u_wb_host/_1160_ (net)
+                  0.14    0.00    7.69 v mprj/u_wb_host/_2745_/D (sky130_fd_sc_hd__or4_2)
+                  0.15    0.67    8.36 v mprj/u_wb_host/_2745_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_wb_host/_1162_ (net)
+                  0.15    0.00    8.36 v mprj/u_wb_host/_2747_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    8.91 v mprj/u_wb_host/_2747_/X (sky130_fd_sc_hd__or4_1)
+     4    0.02                           mprj/u_wb_host/_1164_ (net)
+                  0.15    0.00    8.91 v mprj/u_wb_host/_2749_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    9.44 v mprj/u_wb_host/_2749_/X (sky130_fd_sc_hd__or4_1)
+     4    0.01                           mprj/u_wb_host/_1166_ (net)
+                  0.15    0.00    9.44 v mprj/u_wb_host/_2751_/D (sky130_fd_sc_hd__or4_2)
+                  0.16    0.70   10.14 v mprj/u_wb_host/_2751_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_wb_host/_1168_ (net)
+                  0.16    0.00   10.14 v mprj/u_wb_host/_2764_/C (sky130_fd_sc_hd__or4_2)
+                  0.17    0.78   10.92 v mprj/u_wb_host/_2764_/X (sky130_fd_sc_hd__or4_2)
+     7    0.02                           mprj/u_wb_host/_1181_ (net)
+                  0.17    0.00   10.92 v mprj/u_wb_host/_3642_/C (sky130_fd_sc_hd__and4_1)
+                  0.07    0.29   11.21 v mprj/u_wb_host/_3642_/X (sky130_fd_sc_hd__and4_1)
+     2    0.01                           mprj/u_wb_host/_1746_ (net)
+                  0.07    0.00   11.21 v mprj/u_wb_host/fanout399/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.12    0.24   11.45 v mprj/u_wb_host/fanout399/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.06                           mprj/u_wb_host/net399 (net)
+                  0.12    0.00   11.45 v mprj/u_wb_host/_3676_/B1 (sky130_fd_sc_hd__a22o_1)
+                  0.04    0.21   11.66 v mprj/u_wb_host/_3676_/X (sky130_fd_sc_hd__a22o_1)
+     1    0.00                           mprj/u_wb_host/_0206_ (net)
+                  0.04    0.00   11.66 v mprj/u_wb_host/_5668_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 11.66   data arrival time
 
                          10.00   10.00   clock lbist_clk (rise edge)
                           0.00   10.00   clock source latency
                   0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   10.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.11   10.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   10.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00   10.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   10.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00   10.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   10.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   10.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   10.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00   10.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17   10.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00   10.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00   10.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   11.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00   10.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00   11.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   11.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   11.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00   11.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00   11.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   11.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00   11.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   11.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   11.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   11.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00   11.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00   11.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00   11.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00   11.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   12.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00   11.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   12.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00   12.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00   12.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00   12.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   12.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00   12.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00   12.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   12.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00   12.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00   12.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00   12.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00   12.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   13.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   13.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00   12.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00   13.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14   13.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00   12.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   13.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00   13.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00   13.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   13.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   13.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   13.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00   13.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19   13.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00   13.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10   13.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00   13.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14   13.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00   13.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   13.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00   13.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   14.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00   13.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   13.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00   13.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00   14.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   14.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00   14.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13   14.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00   14.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62   14.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01   14.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29   15.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13   14.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00   14.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13   14.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00   14.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25   15.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00   15.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   15.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00   15.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13   15.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00   15.17 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.13   15.30 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00   15.30 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   15.42 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
-                  0.04    0.00   15.42 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.12    0.18   15.60 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    26    0.06                           mprj/u_wb_host/clknet_4_11_0_lbist_clk_int (net)
-                  0.12    0.00   15.60 ^ mprj/u_wb_host/_5668_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   15.35   clock uncertainty
-                          0.39   15.74   clock reconvergence pessimism
-                         -0.05   15.69   library setup time
-                                 15.69   data required time
+                  0.06    0.00   15.32 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   15.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00   15.43 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12   15.55 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00   15.55 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13   15.68 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
+                  0.05    0.00   15.68 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   15.80 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_7_0_lbist_clk_int (net)
+                  0.04    0.00   15.80 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   15.98 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_14_0_lbist_clk_int (net)
+                  0.13    0.00   15.98 ^ mprj/u_wb_host/_5668_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   15.73   clock uncertainty
+                          0.41   16.15   clock reconvergence pessimism
+                         -0.09   16.05   library setup time
+                                 16.05   data required time
 -----------------------------------------------------------------------------
-                                 15.69   data required time
-                                -11.20   data arrival time
+                                 16.05   data required time
+                                -11.66   data arrival time
 -----------------------------------------------------------------------------
-                                  4.50   slack (MET)
+                                  4.39   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_5644_
+Startpoint: mprj/u_wb_host/_5638_
             (rising edge-triggered flip-flop clocked by lbist_clk)
 Endpoint: mprj/u_wb_host/_5664_
           (rising edge-triggered flip-flop clocked by lbist_clk)
@@ -128020,263 +138179,326 @@
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
                   0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.72 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.72 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_0_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.20    6.05 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    28    0.08                           mprj/u_wb_host/clknet_4_0_0_lbist_clk_int (net)
-                  0.14    0.00    6.05 ^ mprj/u_wb_host/_5644_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.11    0.48    6.53 v mprj/u_wb_host/_5644_/Q (sky130_fd_sc_hd__dfrtp_1)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    6.45 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_3_0_lbist_clk_int (net)
+                  0.12    0.00    6.45 ^ mprj/u_wb_host/_5638_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.10    0.47    6.92 v mprj/u_wb_host/_5638_/Q (sky130_fd_sc_hd__dfrtp_1)
      5    0.02                           mprj/u_wb_host/u_lbist.u_lbist_core.scan_pat_cnt[1] (net)
-                  0.11    0.00    6.53 v mprj/u_wb_host/_2747_/B (sky130_fd_sc_hd__or4_2)
-                  0.15    0.76    7.29 v mprj/u_wb_host/_2747_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1161_ (net)
-                  0.15    0.00    7.30 v mprj/u_wb_host/_2749_/D (sky130_fd_sc_hd__or4_2)
-                  0.15    0.67    7.97 v mprj/u_wb_host/_2749_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1163_ (net)
-                  0.15    0.00    7.97 v mprj/u_wb_host/_2751_/D (sky130_fd_sc_hd__or4_2)
-                  0.21    0.75    8.72 v mprj/u_wb_host/_2751_/X (sky130_fd_sc_hd__or4_2)
-     8    0.03                           mprj/u_wb_host/_1165_ (net)
-                  0.21    0.00    8.72 v mprj/u_wb_host/_2753_/D (sky130_fd_sc_hd__or4_1)
-                  0.14    0.54    9.27 v mprj/u_wb_host/_2753_/X (sky130_fd_sc_hd__or4_1)
-     4    0.01                           mprj/u_wb_host/_1167_ (net)
-                  0.14    0.00    9.27 v mprj/u_wb_host/_2755_/D (sky130_fd_sc_hd__or4_2)
-                  0.19    0.72    9.99 v mprj/u_wb_host/_2755_/X (sky130_fd_sc_hd__or4_2)
-     8    0.03                           mprj/u_wb_host/_1169_ (net)
-                  0.19    0.00    9.99 v mprj/u_wb_host/_2768_/C (sky130_fd_sc_hd__or4_4)
-                  0.14    0.63   10.62 v mprj/u_wb_host/_2768_/X (sky130_fd_sc_hd__or4_4)
-     7    0.04                           mprj/u_wb_host/_1182_ (net)
-                  0.14    0.00   10.62 v mprj/u_wb_host/_3644_/A (sky130_fd_sc_hd__and4_1)
-                  0.06    0.21   10.83 v mprj/u_wb_host/_3644_/X (sky130_fd_sc_hd__and4_1)
-     1    0.01                           mprj/u_wb_host/_1746_ (net)
-                  0.06    0.00   10.83 v mprj/u_wb_host/_3646_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.31   11.15 v mprj/u_wb_host/_3646_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0196_ (net)
-                  0.05    0.00   11.15 v mprj/u_wb_host/_5664_/D (sky130_fd_sc_hd__dfrtp_4)
-                                 11.15   data arrival time
+                  0.10    0.00    6.92 v mprj/u_wb_host/_2743_/A (sky130_fd_sc_hd__or4_2)
+                  0.14    0.77    7.69 v mprj/u_wb_host/_2743_/X (sky130_fd_sc_hd__or4_2)
+     4    0.01                           mprj/u_wb_host/_1160_ (net)
+                  0.14    0.00    7.69 v mprj/u_wb_host/_2745_/D (sky130_fd_sc_hd__or4_2)
+                  0.15    0.67    8.36 v mprj/u_wb_host/_2745_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_wb_host/_1162_ (net)
+                  0.15    0.00    8.36 v mprj/u_wb_host/_2747_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    8.91 v mprj/u_wb_host/_2747_/X (sky130_fd_sc_hd__or4_1)
+     4    0.02                           mprj/u_wb_host/_1164_ (net)
+                  0.15    0.00    8.91 v mprj/u_wb_host/_2749_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    9.44 v mprj/u_wb_host/_2749_/X (sky130_fd_sc_hd__or4_1)
+     4    0.01                           mprj/u_wb_host/_1166_ (net)
+                  0.15    0.00    9.44 v mprj/u_wb_host/_2751_/D (sky130_fd_sc_hd__or4_2)
+                  0.16    0.70   10.14 v mprj/u_wb_host/_2751_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_wb_host/_1168_ (net)
+                  0.16    0.00   10.14 v mprj/u_wb_host/_2764_/C (sky130_fd_sc_hd__or4_2)
+                  0.17    0.78   10.92 v mprj/u_wb_host/_2764_/X (sky130_fd_sc_hd__or4_2)
+     7    0.02                           mprj/u_wb_host/_1181_ (net)
+                  0.17    0.00   10.92 v mprj/u_wb_host/_3642_/C (sky130_fd_sc_hd__and4_1)
+                  0.07    0.29   11.21 v mprj/u_wb_host/_3642_/X (sky130_fd_sc_hd__and4_1)
+     2    0.01                           mprj/u_wb_host/_1746_ (net)
+                  0.07    0.00   11.21 v mprj/u_wb_host/fanout399/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.12    0.24   11.45 v mprj/u_wb_host/fanout399/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.06                           mprj/u_wb_host/net399 (net)
+                  0.12    0.00   11.45 v mprj/u_wb_host/_3661_/B1 (sky130_fd_sc_hd__a22o_1)
+                  0.04    0.21   11.66 v mprj/u_wb_host/_3661_/X (sky130_fd_sc_hd__a22o_1)
+     1    0.00                           mprj/u_wb_host/_0202_ (net)
+                  0.04    0.00   11.66 v mprj/u_wb_host/_5664_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 11.66   data arrival time
 
                          10.00   10.00   clock lbist_clk (rise edge)
                           0.00   10.00   clock source latency
                   0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   10.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.11   10.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   10.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00   10.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   10.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00   10.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   10.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   10.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   10.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00   10.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17   10.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00   10.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00   10.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   11.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00   10.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00   11.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   11.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   11.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00   11.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00   11.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   11.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00   11.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   11.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   11.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   11.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00   11.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00   11.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00   11.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00   11.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   12.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00   11.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   12.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00   12.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00   12.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00   12.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   12.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00   12.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00   12.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   12.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00   12.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00   12.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00   12.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00   12.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   13.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   13.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00   12.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00   13.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14   13.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00   12.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   13.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00   13.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00   13.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   13.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   13.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   13.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00   13.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19   13.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00   13.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10   13.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00   13.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14   13.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00   13.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   13.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00   13.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   14.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00   13.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   13.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00   13.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00   14.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   14.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00   14.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13   14.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00   14.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62   14.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01   14.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29   15.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13   14.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00   14.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13   14.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00   14.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25   15.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00   15.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   15.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00   15.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13   15.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00   15.17 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.13   15.30 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00   15.32 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   15.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00   15.43 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12   15.55 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00   15.55 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   15.69 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00   15.30 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   15.42 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00   15.69 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   15.81 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
-                  0.04    0.00   15.42 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.13    0.18   15.60 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.07                           mprj/u_wb_host/clknet_4_10_0_lbist_clk_int (net)
-                  0.13    0.00   15.60 ^ mprj/u_wb_host/_5664_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                         -0.25   15.35   clock uncertainty
-                          0.39   15.75   clock reconvergence pessimism
-                         -0.10   15.65   library setup time
-                                 15.65   data required time
+                  0.04    0.00   15.81 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.18   15.99 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_10_0_lbist_clk_int (net)
+                  0.12    0.00   15.99 ^ mprj/u_wb_host/_5664_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   15.74   clock uncertainty
+                          0.41   16.15   clock reconvergence pessimism
+                         -0.10   16.06   library setup time
+                                 16.06   data required time
 -----------------------------------------------------------------------------
-                                 15.65   data required time
-                                -11.15   data arrival time
+                                 16.06   data required time
+                                -11.66   data arrival time
 -----------------------------------------------------------------------------
-                                  4.50   slack (MET)
+                                  4.40   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_5644_
+Startpoint: mprj/u_wb_host/_5638_
             (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_6526_
+Endpoint: mprj/u_wb_host/_5669_
           (rising edge-triggered flip-flop clocked by lbist_clk)
 Path Group: lbist_clk
 Path Type: max
@@ -128289,263 +138511,326 @@
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
                   0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.72 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.72 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_0_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.20    6.05 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    28    0.08                           mprj/u_wb_host/clknet_4_0_0_lbist_clk_int (net)
-                  0.14    0.00    6.05 ^ mprj/u_wb_host/_5644_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.11    0.48    6.53 v mprj/u_wb_host/_5644_/Q (sky130_fd_sc_hd__dfrtp_1)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    6.45 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_3_0_lbist_clk_int (net)
+                  0.12    0.00    6.45 ^ mprj/u_wb_host/_5638_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.10    0.47    6.92 v mprj/u_wb_host/_5638_/Q (sky130_fd_sc_hd__dfrtp_1)
      5    0.02                           mprj/u_wb_host/u_lbist.u_lbist_core.scan_pat_cnt[1] (net)
-                  0.11    0.00    6.53 v mprj/u_wb_host/_2747_/B (sky130_fd_sc_hd__or4_2)
-                  0.15    0.76    7.29 v mprj/u_wb_host/_2747_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1161_ (net)
-                  0.15    0.00    7.30 v mprj/u_wb_host/_2749_/D (sky130_fd_sc_hd__or4_2)
-                  0.15    0.67    7.97 v mprj/u_wb_host/_2749_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1163_ (net)
-                  0.15    0.00    7.97 v mprj/u_wb_host/_2751_/D (sky130_fd_sc_hd__or4_2)
-                  0.21    0.75    8.72 v mprj/u_wb_host/_2751_/X (sky130_fd_sc_hd__or4_2)
-     8    0.03                           mprj/u_wb_host/_1165_ (net)
-                  0.21    0.00    8.72 v mprj/u_wb_host/_2753_/D (sky130_fd_sc_hd__or4_1)
-                  0.14    0.54    9.27 v mprj/u_wb_host/_2753_/X (sky130_fd_sc_hd__or4_1)
-     4    0.01                           mprj/u_wb_host/_1167_ (net)
-                  0.14    0.00    9.27 v mprj/u_wb_host/_2755_/D (sky130_fd_sc_hd__or4_2)
-                  0.19    0.72    9.99 v mprj/u_wb_host/_2755_/X (sky130_fd_sc_hd__or4_2)
-     8    0.03                           mprj/u_wb_host/_1169_ (net)
-                  0.19    0.00    9.99 v mprj/u_wb_host/_2768_/C (sky130_fd_sc_hd__or4_4)
-                  0.14    0.63   10.62 v mprj/u_wb_host/_2768_/X (sky130_fd_sc_hd__or4_4)
-     7    0.04                           mprj/u_wb_host/_1182_ (net)
-                  0.14    0.00   10.62 v mprj/u_wb_host/_2769_/C (sky130_fd_sc_hd__and3_1)
-                  0.06    0.25   10.87 v mprj/u_wb_host/_2769_/X (sky130_fd_sc_hd__and3_1)
-     2    0.01                           mprj/u_wb_host/_1183_ (net)
-                  0.06    0.00   10.87 v mprj/u_wb_host/_2770_/A (sky130_fd_sc_hd__inv_2)
-                  0.03    0.05   10.92 ^ mprj/u_wb_host/_2770_/Y (sky130_fd_sc_hd__inv_2)
-     1    0.00                           mprj/u_wb_host/_0003_ (net)
-                  0.03    0.00   10.92 ^ mprj/u_wb_host/_6526_/D (sky130_fd_sc_hd__dfstp_1)
-                                 10.92   data arrival time
+                  0.10    0.00    6.92 v mprj/u_wb_host/_2743_/A (sky130_fd_sc_hd__or4_2)
+                  0.14    0.77    7.69 v mprj/u_wb_host/_2743_/X (sky130_fd_sc_hd__or4_2)
+     4    0.01                           mprj/u_wb_host/_1160_ (net)
+                  0.14    0.00    7.69 v mprj/u_wb_host/_2745_/D (sky130_fd_sc_hd__or4_2)
+                  0.15    0.67    8.36 v mprj/u_wb_host/_2745_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_wb_host/_1162_ (net)
+                  0.15    0.00    8.36 v mprj/u_wb_host/_2747_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    8.91 v mprj/u_wb_host/_2747_/X (sky130_fd_sc_hd__or4_1)
+     4    0.02                           mprj/u_wb_host/_1164_ (net)
+                  0.15    0.00    8.91 v mprj/u_wb_host/_2749_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    9.44 v mprj/u_wb_host/_2749_/X (sky130_fd_sc_hd__or4_1)
+     4    0.01                           mprj/u_wb_host/_1166_ (net)
+                  0.15    0.00    9.44 v mprj/u_wb_host/_2751_/D (sky130_fd_sc_hd__or4_2)
+                  0.16    0.70   10.14 v mprj/u_wb_host/_2751_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_wb_host/_1168_ (net)
+                  0.16    0.00   10.14 v mprj/u_wb_host/_2764_/C (sky130_fd_sc_hd__or4_2)
+                  0.17    0.78   10.92 v mprj/u_wb_host/_2764_/X (sky130_fd_sc_hd__or4_2)
+     7    0.02                           mprj/u_wb_host/_1181_ (net)
+                  0.17    0.00   10.92 v mprj/u_wb_host/_3642_/C (sky130_fd_sc_hd__and4_1)
+                  0.07    0.29   11.21 v mprj/u_wb_host/_3642_/X (sky130_fd_sc_hd__and4_1)
+     2    0.01                           mprj/u_wb_host/_1746_ (net)
+                  0.07    0.00   11.21 v mprj/u_wb_host/fanout399/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.12    0.24   11.45 v mprj/u_wb_host/fanout399/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.06                           mprj/u_wb_host/net399 (net)
+                  0.12    0.00   11.45 v mprj/u_wb_host/_3680_/B1 (sky130_fd_sc_hd__a22o_1)
+                  0.04    0.21   11.66 v mprj/u_wb_host/_3680_/X (sky130_fd_sc_hd__a22o_1)
+     1    0.00                           mprj/u_wb_host/_0207_ (net)
+                  0.04    0.00   11.66 v mprj/u_wb_host/_5669_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 11.66   data arrival time
 
                          10.00   10.00   clock lbist_clk (rise edge)
                           0.00   10.00   clock source latency
                   0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   10.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.11   10.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   10.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00   10.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   10.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00   10.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   10.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   10.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   10.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00   10.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17   10.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00   10.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00   10.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   11.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00   10.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00   11.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   11.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   11.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00   11.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00   11.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   11.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00   11.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   11.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   11.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   11.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00   11.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00   11.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00   11.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00   11.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   12.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00   11.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   12.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00   12.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00   12.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00   12.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   12.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00   12.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00   12.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   12.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00   12.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00   12.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00   12.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00   12.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   13.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   13.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00   12.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00   13.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14   13.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00   12.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   13.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00   13.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00   13.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   13.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   13.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   13.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00   13.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19   13.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00   13.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10   13.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00   13.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14   13.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00   13.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   13.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00   13.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   14.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00   13.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   13.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00   13.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00   14.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   14.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00   14.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13   14.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00   14.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62   14.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01   14.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29   15.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13   14.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00   14.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13   14.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00   14.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25   15.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00   15.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   15.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00   15.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13   15.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00   15.17 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.13   15.30 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00   15.30 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   15.42 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
-                  0.04    0.00   15.42 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.13    0.18   15.60 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.07                           mprj/u_wb_host/clknet_4_10_0_lbist_clk_int (net)
-                  0.13    0.00   15.60 ^ mprj/u_wb_host/_6526_/CLK (sky130_fd_sc_hd__dfstp_1)
-                         -0.25   15.35   clock uncertainty
-                          0.39   15.75   clock reconvergence pessimism
-                         -0.04   15.71   library setup time
-                                 15.71   data required time
+                  0.06    0.00   15.32 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   15.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00   15.43 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12   15.55 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00   15.55 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13   15.68 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
+                  0.05    0.00   15.68 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   15.80 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_7_0_lbist_clk_int (net)
+                  0.04    0.00   15.80 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   15.98 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_14_0_lbist_clk_int (net)
+                  0.13    0.00   15.98 ^ mprj/u_wb_host/_5669_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   15.73   clock uncertainty
+                          0.41   16.15   clock reconvergence pessimism
+                         -0.09   16.05   library setup time
+                                 16.05   data required time
 -----------------------------------------------------------------------------
-                                 15.71   data required time
-                                -10.92   data arrival time
+                                 16.05   data required time
+                                -11.66   data arrival time
 -----------------------------------------------------------------------------
-                                  4.79   slack (MET)
+                                  4.40   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_5644_
+Startpoint: mprj/u_wb_host/_5638_
             (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5663_
+Endpoint: mprj/u_wb_host/_5651_
           (rising edge-triggered flip-flop clocked by lbist_clk)
 Path Group: lbist_clk
 Path Type: max
@@ -128558,2126 +138843,324 @@
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
                   0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.72 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
-                  0.06    0.00    5.72 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.85 ^ mprj/u_wb_host/clkbuf_3_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_0_0_lbist_clk_int (net)
-                  0.04    0.00    5.85 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.14    0.20    6.05 ^ mprj/u_wb_host/clkbuf_4_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    28    0.08                           mprj/u_wb_host/clknet_4_0_0_lbist_clk_int (net)
-                  0.14    0.00    6.05 ^ mprj/u_wb_host/_5644_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.11    0.48    6.53 v mprj/u_wb_host/_5644_/Q (sky130_fd_sc_hd__dfrtp_1)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    6.45 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_3_0_lbist_clk_int (net)
+                  0.12    0.00    6.45 ^ mprj/u_wb_host/_5638_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.10    0.47    6.92 v mprj/u_wb_host/_5638_/Q (sky130_fd_sc_hd__dfrtp_1)
      5    0.02                           mprj/u_wb_host/u_lbist.u_lbist_core.scan_pat_cnt[1] (net)
-                  0.11    0.00    6.53 v mprj/u_wb_host/_2747_/B (sky130_fd_sc_hd__or4_2)
-                  0.15    0.76    7.29 v mprj/u_wb_host/_2747_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1161_ (net)
-                  0.15    0.00    7.30 v mprj/u_wb_host/_2749_/D (sky130_fd_sc_hd__or4_2)
-                  0.15    0.67    7.97 v mprj/u_wb_host/_2749_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1163_ (net)
-                  0.15    0.00    7.97 v mprj/u_wb_host/_2751_/D (sky130_fd_sc_hd__or4_2)
-                  0.21    0.75    8.72 v mprj/u_wb_host/_2751_/X (sky130_fd_sc_hd__or4_2)
-     8    0.03                           mprj/u_wb_host/_1165_ (net)
-                  0.21    0.00    8.72 v mprj/u_wb_host/_2753_/D (sky130_fd_sc_hd__or4_1)
-                  0.14    0.54    9.27 v mprj/u_wb_host/_2753_/X (sky130_fd_sc_hd__or4_1)
-     4    0.01                           mprj/u_wb_host/_1167_ (net)
-                  0.14    0.00    9.27 v mprj/u_wb_host/_2755_/D (sky130_fd_sc_hd__or4_2)
-                  0.19    0.72    9.99 v mprj/u_wb_host/_2755_/X (sky130_fd_sc_hd__or4_2)
-     8    0.03                           mprj/u_wb_host/_1169_ (net)
-                  0.19    0.00    9.99 v mprj/u_wb_host/_2768_/C (sky130_fd_sc_hd__or4_4)
-                  0.14    0.63   10.62 v mprj/u_wb_host/_2768_/X (sky130_fd_sc_hd__or4_4)
-     7    0.04                           mprj/u_wb_host/_1182_ (net)
-                  0.14    0.00   10.62 v mprj/u_wb_host/_3641_/A1_N (sky130_fd_sc_hd__a2bb2o_1)
-                  0.04    0.29   10.91 ^ mprj/u_wb_host/_3641_/X (sky130_fd_sc_hd__a2bb2o_1)
-     1    0.00                           mprj/u_wb_host/_0195_ (net)
-                  0.04    0.00   10.91 ^ mprj/u_wb_host/_5663_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 10.91   data arrival time
+                  0.10    0.00    6.92 v mprj/u_wb_host/_2743_/A (sky130_fd_sc_hd__or4_2)
+                  0.14    0.77    7.69 v mprj/u_wb_host/_2743_/X (sky130_fd_sc_hd__or4_2)
+     4    0.01                           mprj/u_wb_host/_1160_ (net)
+                  0.14    0.00    7.69 v mprj/u_wb_host/_2745_/D (sky130_fd_sc_hd__or4_2)
+                  0.15    0.67    8.36 v mprj/u_wb_host/_2745_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_wb_host/_1162_ (net)
+                  0.15    0.00    8.36 v mprj/u_wb_host/_2747_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    8.91 v mprj/u_wb_host/_2747_/X (sky130_fd_sc_hd__or4_1)
+     4    0.02                           mprj/u_wb_host/_1164_ (net)
+                  0.15    0.00    8.91 v mprj/u_wb_host/_2749_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    9.44 v mprj/u_wb_host/_2749_/X (sky130_fd_sc_hd__or4_1)
+     4    0.01                           mprj/u_wb_host/_1166_ (net)
+                  0.15    0.00    9.44 v mprj/u_wb_host/_2751_/D (sky130_fd_sc_hd__or4_2)
+                  0.16    0.70   10.14 v mprj/u_wb_host/_2751_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_wb_host/_1168_ (net)
+                  0.16    0.00   10.14 v mprj/u_wb_host/_2764_/C (sky130_fd_sc_hd__or4_2)
+                  0.17    0.78   10.92 v mprj/u_wb_host/_2764_/X (sky130_fd_sc_hd__or4_2)
+     7    0.02                           mprj/u_wb_host/_1181_ (net)
+                  0.17    0.00   10.92 v mprj/u_wb_host/_3552_/B (sky130_fd_sc_hd__and3b_1)
+                  0.08    0.27   11.19 v mprj/u_wb_host/_3552_/X (sky130_fd_sc_hd__and3b_1)
+     2    0.01                           mprj/u_wb_host/_1678_ (net)
+                  0.08    0.00   11.19 v mprj/u_wb_host/fanout404/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.17    0.24   11.43 v mprj/u_wb_host/fanout404/X (sky130_fd_sc_hd__clkbuf_2)
+    12    0.04                           mprj/u_wb_host/net404 (net)
+                  0.17    0.00   11.43 v mprj/u_wb_host/_3606_/B1 (sky130_fd_sc_hd__a22o_1)
+                  0.04    0.23   11.66 v mprj/u_wb_host/_3606_/X (sky130_fd_sc_hd__a22o_1)
+     1    0.00                           mprj/u_wb_host/_0189_ (net)
+                  0.04    0.00   11.66 v mprj/u_wb_host/_5651_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 11.66   data arrival time
 
                          10.00   10.00   clock lbist_clk (rise edge)
                           0.00   10.00   clock source latency
                   0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   10.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.11   10.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   10.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00   10.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   10.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00   10.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   10.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   10.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   10.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00   10.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17   10.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00   10.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00   10.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   11.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00   10.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00   11.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   11.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   11.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00   11.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00   11.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   11.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00   11.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   11.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   11.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   11.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00   11.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00   11.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00   11.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00   11.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   12.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00   11.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   12.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00   12.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00   12.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00   12.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   12.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00   12.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00   12.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   12.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00   12.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00   12.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00   12.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00   12.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   13.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   13.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00   12.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00   13.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14   13.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00   12.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   13.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00   13.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00   13.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   13.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   13.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   13.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00   13.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19   13.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00   13.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10   13.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00   13.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14   13.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00   13.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   13.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00   13.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   14.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00   13.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   13.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00   13.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00   14.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   14.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00   14.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13   14.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00   14.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62   14.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01   14.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29   15.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13   14.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00   14.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13   14.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00   14.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25   15.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00   15.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   15.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00   15.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13   15.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00   15.17 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.13   15.30 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00   15.32 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   15.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00   15.43 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12   15.55 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00   15.55 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   15.69 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00   15.30 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   15.42 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00   15.69 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   15.81 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
-                  0.04    0.00   15.42 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.13    0.18   15.60 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.07                           mprj/u_wb_host/clknet_4_10_0_lbist_clk_int (net)
-                  0.13    0.00   15.60 ^ mprj/u_wb_host/_5663_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   15.35   clock uncertainty
-                          0.39   15.75   clock reconvergence pessimism
-                         -0.05   15.70   library setup time
-                                 15.70   data required time
+                  0.04    0.00   15.81 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.18   15.99 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_10_0_lbist_clk_int (net)
+                  0.12    0.00   15.99 ^ mprj/u_wb_host/_5651_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   15.74   clock uncertainty
+                          0.41   16.15   clock reconvergence pessimism
+                         -0.10   16.06   library setup time
+                                 16.06   data required time
 -----------------------------------------------------------------------------
-                                 15.70   data required time
-                                -10.91   data arrival time
+                                 16.06   data required time
+                                -11.66   data arrival time
 -----------------------------------------------------------------------------
-                                  4.79   slack (MET)
+                                  4.40   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_5665_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5662_
-          (rising edge-triggered flip-flop clocked by lbist_clk)
-Path Group: lbist_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.71 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.71 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.84 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
-                  0.04    0.00    5.84 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.12    0.19    6.03 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    26    0.06                           mprj/u_wb_host/clknet_4_11_0_lbist_clk_int (net)
-                  0.12    0.00    6.04 ^ mprj/u_wb_host/_5665_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.16    0.65    6.68 v mprj/u_wb_host/_5665_/Q (sky130_fd_sc_hd__dfrtp_4)
-    34    0.09                           mprj/u_wb_host/u_lbist.u_lbist_core.clk_cnt[0] (net)
-                  0.16    0.00    6.68 v mprj/u_wb_host/_2758_/A (sky130_fd_sc_hd__or4_2)
-                  0.15    0.79    7.48 v mprj/u_wb_host/_2758_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1172_ (net)
-                  0.15    0.00    7.48 v mprj/u_wb_host/_2760_/D (sky130_fd_sc_hd__or4_1)
-                  0.13    0.51    7.99 v mprj/u_wb_host/_2760_/X (sky130_fd_sc_hd__or4_1)
-     4    0.01                           mprj/u_wb_host/_1174_ (net)
-                  0.13    0.00    7.99 v mprj/u_wb_host/_2762_/D (sky130_fd_sc_hd__or4_2)
-                  0.15    0.66    8.65 v mprj/u_wb_host/_2762_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1176_ (net)
-                  0.15    0.00    8.65 v mprj/u_wb_host/_2764_/D (sky130_fd_sc_hd__or4_4)
-                  0.12    0.53    9.18 v mprj/u_wb_host/_2764_/X (sky130_fd_sc_hd__or4_4)
-     6    0.03                           mprj/u_wb_host/_1178_ (net)
-                  0.12    0.00    9.18 v mprj/u_wb_host/_2766_/D (sky130_fd_sc_hd__or4_4)
-                  0.25    0.68    9.86 v mprj/u_wb_host/_2766_/X (sky130_fd_sc_hd__or4_4)
-    40    0.12                           mprj/u_wb_host/_1180_ (net)
-                  0.25    0.00    9.86 v mprj/u_wb_host/_2767_/B (sky130_fd_sc_hd__nor2_2)
-                  0.27    0.32   10.18 ^ mprj/u_wb_host/_2767_/Y (sky130_fd_sc_hd__nor2_2)
-     6    0.02                           mprj/u_wb_host/_1181_ (net)
-                  0.27    0.00   10.18 ^ mprj/u_wb_host/_2782_/B (sky130_fd_sc_hd__and2_1)
-                  0.14    0.25   10.43 ^ mprj/u_wb_host/_2782_/X (sky130_fd_sc_hd__and2_1)
-     2    0.01                           mprj/u_wb_host/_1189_ (net)
-                  0.14    0.00   10.43 ^ mprj/u_wb_host/_3640_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.15   10.57 ^ mprj/u_wb_host/_3640_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0194_ (net)
-                  0.04    0.00   10.57 ^ mprj/u_wb_host/_5662_/D (sky130_fd_sc_hd__dfrtp_4)
-                                 10.57   data arrival time
-
-                         10.00   10.00   clock lbist_clk (rise edge)
-                          0.00   10.00   clock source latency
-                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   10.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00   10.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   10.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00   10.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   10.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00   10.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00   10.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00   11.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00   11.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   11.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00   11.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00   11.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00   11.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00   12.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00   12.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00   12.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00   12.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00   12.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00   12.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00   12.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   13.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00   13.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   13.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00   13.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19   13.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00   13.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   13.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00   13.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   13.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00   13.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13   14.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00   14.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62   14.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01   14.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29   15.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00   15.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13   15.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00   15.17 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.13   15.30 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00   15.30 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   15.42 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
-                  0.04    0.00   15.42 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.13    0.18   15.60 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.07                           mprj/u_wb_host/clknet_4_10_0_lbist_clk_int (net)
-                  0.13    0.00   15.60 ^ mprj/u_wb_host/_5662_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                         -0.25   15.35   clock uncertainty
-                          0.42   15.78   clock reconvergence pessimism
-                         -0.05   15.73   library setup time
-                                 15.73   data required time
------------------------------------------------------------------------------
-                                 15.73   data required time
-                                -10.57   data arrival time
------------------------------------------------------------------------------
-                                  5.15   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5665_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_6532_
-          (rising edge-triggered flip-flop clocked by lbist_clk)
-Path Group: lbist_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.71 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.71 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.84 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
-                  0.04    0.00    5.84 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.12    0.19    6.03 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    26    0.06                           mprj/u_wb_host/clknet_4_11_0_lbist_clk_int (net)
-                  0.12    0.00    6.04 ^ mprj/u_wb_host/_5665_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.16    0.65    6.68 v mprj/u_wb_host/_5665_/Q (sky130_fd_sc_hd__dfrtp_4)
-    34    0.09                           mprj/u_wb_host/u_lbist.u_lbist_core.clk_cnt[0] (net)
-                  0.16    0.00    6.68 v mprj/u_wb_host/_2758_/A (sky130_fd_sc_hd__or4_2)
-                  0.15    0.79    7.48 v mprj/u_wb_host/_2758_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1172_ (net)
-                  0.15    0.00    7.48 v mprj/u_wb_host/_2760_/D (sky130_fd_sc_hd__or4_1)
-                  0.13    0.51    7.99 v mprj/u_wb_host/_2760_/X (sky130_fd_sc_hd__or4_1)
-     4    0.01                           mprj/u_wb_host/_1174_ (net)
-                  0.13    0.00    7.99 v mprj/u_wb_host/_2762_/D (sky130_fd_sc_hd__or4_2)
-                  0.15    0.66    8.65 v mprj/u_wb_host/_2762_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1176_ (net)
-                  0.15    0.00    8.65 v mprj/u_wb_host/_2764_/D (sky130_fd_sc_hd__or4_4)
-                  0.12    0.53    9.18 v mprj/u_wb_host/_2764_/X (sky130_fd_sc_hd__or4_4)
-     6    0.03                           mprj/u_wb_host/_1178_ (net)
-                  0.12    0.00    9.18 v mprj/u_wb_host/_2766_/D (sky130_fd_sc_hd__or4_4)
-                  0.25    0.68    9.86 v mprj/u_wb_host/_2766_/X (sky130_fd_sc_hd__or4_4)
-    40    0.12                           mprj/u_wb_host/_1180_ (net)
-                  0.25    0.00    9.86 v mprj/u_wb_host/_2767_/B (sky130_fd_sc_hd__nor2_2)
-                  0.27    0.32   10.18 ^ mprj/u_wb_host/_2767_/Y (sky130_fd_sc_hd__nor2_2)
-     6    0.02                           mprj/u_wb_host/_1181_ (net)
-                  0.27    0.00   10.18 ^ mprj/u_wb_host/_2782_/B (sky130_fd_sc_hd__and2_1)
-                  0.14    0.25   10.43 ^ mprj/u_wb_host/_2782_/X (sky130_fd_sc_hd__and2_1)
-     2    0.01                           mprj/u_wb_host/_1189_ (net)
-                  0.14    0.00   10.43 ^ mprj/u_wb_host/_2783_/B1 (sky130_fd_sc_hd__a21o_1)
-                  0.03    0.10   10.53 ^ mprj/u_wb_host/_2783_/X (sky130_fd_sc_hd__a21o_1)
-     1    0.00                           mprj/u_wb_host/_0009_ (net)
-                  0.03    0.00   10.53 ^ mprj/u_wb_host/_6532_/D (sky130_fd_sc_hd__dfrtp_4)
-                                 10.53   data arrival time
-
-                         10.00   10.00   clock lbist_clk (rise edge)
-                          0.00   10.00   clock source latency
-                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   10.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00   10.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   10.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00   10.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   10.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00   10.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00   10.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00   11.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00   11.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   11.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00   11.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00   11.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00   11.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00   12.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00   12.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00   12.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00   12.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00   12.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00   12.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00   12.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   13.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00   13.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   13.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00   13.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19   13.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00   13.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   13.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00   13.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   13.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00   13.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13   14.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00   14.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62   14.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01   14.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29   15.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00   15.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13   15.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00   15.17 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.13   15.30 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00   15.30 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   15.42 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
-                  0.04    0.00   15.42 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.13    0.18   15.60 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.07                           mprj/u_wb_host/clknet_4_10_0_lbist_clk_int (net)
-                  0.13    0.00   15.60 ^ mprj/u_wb_host/_6532_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                         -0.25   15.35   clock uncertainty
-                          0.42   15.78   clock reconvergence pessimism
-                         -0.05   15.73   library setup time
-                                 15.73   data required time
------------------------------------------------------------------------------
-                                 15.73   data required time
-                                -10.53   data arrival time
------------------------------------------------------------------------------
-                                  5.20   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5665_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_6528_
-          (rising edge-triggered flip-flop clocked by lbist_clk)
-Path Group: lbist_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.71 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.71 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.84 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
-                  0.04    0.00    5.84 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.12    0.19    6.03 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    26    0.06                           mprj/u_wb_host/clknet_4_11_0_lbist_clk_int (net)
-                  0.12    0.00    6.04 ^ mprj/u_wb_host/_5665_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.16    0.65    6.68 v mprj/u_wb_host/_5665_/Q (sky130_fd_sc_hd__dfrtp_4)
-    34    0.09                           mprj/u_wb_host/u_lbist.u_lbist_core.clk_cnt[0] (net)
-                  0.16    0.00    6.68 v mprj/u_wb_host/_2758_/A (sky130_fd_sc_hd__or4_2)
-                  0.15    0.79    7.48 v mprj/u_wb_host/_2758_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1172_ (net)
-                  0.15    0.00    7.48 v mprj/u_wb_host/_2760_/D (sky130_fd_sc_hd__or4_1)
-                  0.13    0.51    7.99 v mprj/u_wb_host/_2760_/X (sky130_fd_sc_hd__or4_1)
-     4    0.01                           mprj/u_wb_host/_1174_ (net)
-                  0.13    0.00    7.99 v mprj/u_wb_host/_2762_/D (sky130_fd_sc_hd__or4_2)
-                  0.15    0.66    8.65 v mprj/u_wb_host/_2762_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1176_ (net)
-                  0.15    0.00    8.65 v mprj/u_wb_host/_2764_/D (sky130_fd_sc_hd__or4_4)
-                  0.12    0.53    9.18 v mprj/u_wb_host/_2764_/X (sky130_fd_sc_hd__or4_4)
-     6    0.03                           mprj/u_wb_host/_1178_ (net)
-                  0.12    0.00    9.18 v mprj/u_wb_host/_2766_/D (sky130_fd_sc_hd__or4_4)
-                  0.25    0.68    9.86 v mprj/u_wb_host/_2766_/X (sky130_fd_sc_hd__or4_4)
-    40    0.12                           mprj/u_wb_host/_1180_ (net)
-                  0.25    0.00    9.86 v mprj/u_wb_host/_2773_/S (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.40   10.26 v mprj/u_wb_host/_2773_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_1185_ (net)
-                  0.06    0.00   10.26 v mprj/u_wb_host/_2774_/A2 (sky130_fd_sc_hd__o21a_1)
-                  0.03    0.17   10.43 v mprj/u_wb_host/_2774_/X (sky130_fd_sc_hd__o21a_1)
-     1    0.00                           mprj/u_wb_host/_0005_ (net)
-                  0.03    0.00   10.43 v mprj/u_wb_host/_6528_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 10.43   data arrival time
-
-                         10.00   10.00   clock lbist_clk (rise edge)
-                          0.00   10.00   clock source latency
-                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   10.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00   10.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   10.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00   10.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   10.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00   10.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00   10.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00   11.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00   11.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   11.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00   11.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00   11.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00   11.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00   12.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00   12.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00   12.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00   12.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00   12.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00   12.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00   12.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   13.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00   13.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   13.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00   13.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19   13.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00   13.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   13.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00   13.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   13.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00   13.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13   14.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00   14.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62   14.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01   14.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29   15.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00   15.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13   15.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00   15.17 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.13   15.30 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00   15.30 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   15.42 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
-                  0.04    0.00   15.42 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.13    0.18   15.60 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.07                           mprj/u_wb_host/clknet_4_10_0_lbist_clk_int (net)
-                  0.13    0.00   15.60 ^ mprj/u_wb_host/_6528_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   15.35   clock uncertainty
-                          0.42   15.78   clock reconvergence pessimism
-                         -0.09   15.69   library setup time
-                                 15.69   data required time
------------------------------------------------------------------------------
-                                 15.69   data required time
-                                -10.43   data arrival time
------------------------------------------------------------------------------
-                                  5.26   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5665_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_6531_
-          (rising edge-triggered flip-flop clocked by lbist_clk)
-Path Group: lbist_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.71 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.71 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.84 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
-                  0.04    0.00    5.84 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.12    0.19    6.03 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    26    0.06                           mprj/u_wb_host/clknet_4_11_0_lbist_clk_int (net)
-                  0.12    0.00    6.04 ^ mprj/u_wb_host/_5665_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.16    0.65    6.68 v mprj/u_wb_host/_5665_/Q (sky130_fd_sc_hd__dfrtp_4)
-    34    0.09                           mprj/u_wb_host/u_lbist.u_lbist_core.clk_cnt[0] (net)
-                  0.16    0.00    6.68 v mprj/u_wb_host/_2758_/A (sky130_fd_sc_hd__or4_2)
-                  0.15    0.79    7.48 v mprj/u_wb_host/_2758_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1172_ (net)
-                  0.15    0.00    7.48 v mprj/u_wb_host/_2760_/D (sky130_fd_sc_hd__or4_1)
-                  0.13    0.51    7.99 v mprj/u_wb_host/_2760_/X (sky130_fd_sc_hd__or4_1)
-     4    0.01                           mprj/u_wb_host/_1174_ (net)
-                  0.13    0.00    7.99 v mprj/u_wb_host/_2762_/D (sky130_fd_sc_hd__or4_2)
-                  0.15    0.66    8.65 v mprj/u_wb_host/_2762_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1176_ (net)
-                  0.15    0.00    8.65 v mprj/u_wb_host/_2764_/D (sky130_fd_sc_hd__or4_4)
-                  0.12    0.53    9.18 v mprj/u_wb_host/_2764_/X (sky130_fd_sc_hd__or4_4)
-     6    0.03                           mprj/u_wb_host/_1178_ (net)
-                  0.12    0.00    9.18 v mprj/u_wb_host/_2766_/D (sky130_fd_sc_hd__or4_4)
-                  0.25    0.68    9.86 v mprj/u_wb_host/_2766_/X (sky130_fd_sc_hd__or4_4)
-    40    0.12                           mprj/u_wb_host/_1180_ (net)
-                  0.25    0.00    9.86 v mprj/u_wb_host/_2767_/B (sky130_fd_sc_hd__nor2_2)
-                  0.27    0.32   10.18 ^ mprj/u_wb_host/_2767_/Y (sky130_fd_sc_hd__nor2_2)
-     6    0.02                           mprj/u_wb_host/_1181_ (net)
-                  0.27    0.00   10.18 ^ mprj/u_wb_host/_2785_/A3 (sky130_fd_sc_hd__a32o_1)
-                  0.06    0.21   10.39 ^ mprj/u_wb_host/_2785_/X (sky130_fd_sc_hd__a32o_1)
-     1    0.00                           mprj/u_wb_host/_0008_ (net)
-                  0.06    0.00   10.39 ^ mprj/u_wb_host/_6531_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 10.39   data arrival time
-
-                         10.00   10.00   clock lbist_clk (rise edge)
-                          0.00   10.00   clock source latency
-                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   10.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00   10.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   10.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00   10.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   10.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00   10.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00   10.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00   11.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00   11.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   11.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00   11.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00   11.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00   11.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00   12.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00   12.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00   12.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00   12.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00   12.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00   12.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00   12.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   13.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00   13.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   13.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00   13.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19   13.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00   13.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   13.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00   13.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   13.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00   13.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13   14.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00   14.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62   14.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01   14.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29   15.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00   15.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13   15.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00   15.17 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.13   15.30 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00   15.30 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   15.42 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
-                  0.04    0.00   15.42 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.13    0.18   15.60 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.07                           mprj/u_wb_host/clknet_4_10_0_lbist_clk_int (net)
-                  0.13    0.00   15.61 ^ mprj/u_wb_host/_6531_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   15.36   clock uncertainty
-                          0.42   15.78   clock reconvergence pessimism
-                         -0.05   15.73   library setup time
-                                 15.73   data required time
------------------------------------------------------------------------------
-                                 15.73   data required time
-                                -10.39   data arrival time
------------------------------------------------------------------------------
-                                  5.34   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5665_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_6527_
-          (rising edge-triggered flip-flop clocked by lbist_clk)
-Path Group: lbist_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.71 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.71 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.84 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
-                  0.04    0.00    5.84 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.12    0.19    6.03 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    26    0.06                           mprj/u_wb_host/clknet_4_11_0_lbist_clk_int (net)
-                  0.12    0.00    6.04 ^ mprj/u_wb_host/_5665_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.16    0.65    6.68 v mprj/u_wb_host/_5665_/Q (sky130_fd_sc_hd__dfrtp_4)
-    34    0.09                           mprj/u_wb_host/u_lbist.u_lbist_core.clk_cnt[0] (net)
-                  0.16    0.00    6.68 v mprj/u_wb_host/_2758_/A (sky130_fd_sc_hd__or4_2)
-                  0.15    0.79    7.48 v mprj/u_wb_host/_2758_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1172_ (net)
-                  0.15    0.00    7.48 v mprj/u_wb_host/_2760_/D (sky130_fd_sc_hd__or4_1)
-                  0.13    0.51    7.99 v mprj/u_wb_host/_2760_/X (sky130_fd_sc_hd__or4_1)
-     4    0.01                           mprj/u_wb_host/_1174_ (net)
-                  0.13    0.00    7.99 v mprj/u_wb_host/_2762_/D (sky130_fd_sc_hd__or4_2)
-                  0.15    0.66    8.65 v mprj/u_wb_host/_2762_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1176_ (net)
-                  0.15    0.00    8.65 v mprj/u_wb_host/_2764_/D (sky130_fd_sc_hd__or4_4)
-                  0.12    0.53    9.18 v mprj/u_wb_host/_2764_/X (sky130_fd_sc_hd__or4_4)
-     6    0.03                           mprj/u_wb_host/_1178_ (net)
-                  0.12    0.00    9.18 v mprj/u_wb_host/_2766_/D (sky130_fd_sc_hd__or4_4)
-                  0.25    0.68    9.86 v mprj/u_wb_host/_2766_/X (sky130_fd_sc_hd__or4_4)
-    40    0.12                           mprj/u_wb_host/_1180_ (net)
-                  0.25    0.00    9.86 v mprj/u_wb_host/_2767_/B (sky130_fd_sc_hd__nor2_2)
-                  0.27    0.32   10.18 ^ mprj/u_wb_host/_2767_/Y (sky130_fd_sc_hd__nor2_2)
-     6    0.02                           mprj/u_wb_host/_1181_ (net)
-                  0.27    0.00   10.18 ^ mprj/u_wb_host/_2775_/A2 (sky130_fd_sc_hd__a22o_1)
-                  0.06    0.20   10.38 ^ mprj/u_wb_host/_2775_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_wb_host/_0004_ (net)
-                  0.06    0.00   10.38 ^ mprj/u_wb_host/_6527_/D (sky130_fd_sc_hd__dfrtp_4)
-                                 10.38   data arrival time
-
-                         10.00   10.00   clock lbist_clk (rise edge)
-                          0.00   10.00   clock source latency
-                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   10.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00   10.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   10.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00   10.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   10.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00   10.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00   10.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00   11.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00   11.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   11.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00   11.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00   11.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00   11.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00   12.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00   12.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00   12.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00   12.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00   12.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00   12.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00   12.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   13.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00   13.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   13.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00   13.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19   13.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00   13.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   13.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00   13.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   13.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00   13.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13   14.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00   14.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62   14.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01   14.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29   15.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00   15.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13   15.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00   15.17 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.13   15.30 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00   15.30 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   15.42 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
-                  0.04    0.00   15.42 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.13    0.18   15.60 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.07                           mprj/u_wb_host/clknet_4_10_0_lbist_clk_int (net)
-                  0.13    0.00   15.61 ^ mprj/u_wb_host/_6527_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                         -0.25   15.36   clock uncertainty
-                          0.42   15.78   clock reconvergence pessimism
-                         -0.05   15.72   library setup time
-                                 15.72   data required time
------------------------------------------------------------------------------
-                                 15.72   data required time
-                                -10.38   data arrival time
------------------------------------------------------------------------------
-                                  5.34   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5665_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_6529_
-          (rising edge-triggered flip-flop clocked by lbist_clk)
-Path Group: lbist_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.71 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.71 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.84 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
-                  0.04    0.00    5.84 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.12    0.19    6.03 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    26    0.06                           mprj/u_wb_host/clknet_4_11_0_lbist_clk_int (net)
-                  0.12    0.00    6.04 ^ mprj/u_wb_host/_5665_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.16    0.65    6.68 v mprj/u_wb_host/_5665_/Q (sky130_fd_sc_hd__dfrtp_4)
-    34    0.09                           mprj/u_wb_host/u_lbist.u_lbist_core.clk_cnt[0] (net)
-                  0.16    0.00    6.68 v mprj/u_wb_host/_2758_/A (sky130_fd_sc_hd__or4_2)
-                  0.15    0.79    7.48 v mprj/u_wb_host/_2758_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1172_ (net)
-                  0.15    0.00    7.48 v mprj/u_wb_host/_2760_/D (sky130_fd_sc_hd__or4_1)
-                  0.13    0.51    7.99 v mprj/u_wb_host/_2760_/X (sky130_fd_sc_hd__or4_1)
-     4    0.01                           mprj/u_wb_host/_1174_ (net)
-                  0.13    0.00    7.99 v mprj/u_wb_host/_2762_/D (sky130_fd_sc_hd__or4_2)
-                  0.15    0.66    8.65 v mprj/u_wb_host/_2762_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1176_ (net)
-                  0.15    0.00    8.65 v mprj/u_wb_host/_2764_/D (sky130_fd_sc_hd__or4_4)
-                  0.12    0.53    9.18 v mprj/u_wb_host/_2764_/X (sky130_fd_sc_hd__or4_4)
-     6    0.03                           mprj/u_wb_host/_1178_ (net)
-                  0.12    0.00    9.18 v mprj/u_wb_host/_2766_/D (sky130_fd_sc_hd__or4_4)
-                  0.25    0.68    9.86 v mprj/u_wb_host/_2766_/X (sky130_fd_sc_hd__or4_4)
-    40    0.12                           mprj/u_wb_host/_1180_ (net)
-                  0.25    0.00    9.86 v mprj/u_wb_host/_2767_/B (sky130_fd_sc_hd__nor2_2)
-                  0.27    0.32   10.18 ^ mprj/u_wb_host/_2767_/Y (sky130_fd_sc_hd__nor2_2)
-     6    0.02                           mprj/u_wb_host/_1181_ (net)
-                  0.27    0.00   10.18 ^ mprj/u_wb_host/_2772_/A2 (sky130_fd_sc_hd__a22o_1)
-                  0.04    0.19   10.37 ^ mprj/u_wb_host/_2772_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_wb_host/_0006_ (net)
-                  0.04    0.00   10.37 ^ mprj/u_wb_host/_6529_/D (sky130_fd_sc_hd__dfrtp_4)
-                                 10.37   data arrival time
-
-                         10.00   10.00   clock lbist_clk (rise edge)
-                          0.00   10.00   clock source latency
-                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   10.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00   10.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   10.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00   10.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   10.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00   10.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00   10.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00   11.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00   11.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   11.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00   11.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00   11.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00   11.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00   12.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00   12.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00   12.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00   12.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00   12.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00   12.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00   12.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   13.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00   13.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   13.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00   13.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19   13.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00   13.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   13.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00   13.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   13.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00   13.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13   14.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00   14.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62   14.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01   14.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29   15.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00   15.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13   15.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00   15.17 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.13   15.30 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00   15.30 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   15.42 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
-                  0.04    0.00   15.42 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.13    0.18   15.60 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.07                           mprj/u_wb_host/clknet_4_10_0_lbist_clk_int (net)
-                  0.13    0.00   15.61 ^ mprj/u_wb_host/_6529_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                         -0.25   15.36   clock uncertainty
-                          0.42   15.78   clock reconvergence pessimism
-                         -0.05   15.73   library setup time
-                                 15.73   data required time
------------------------------------------------------------------------------
-                                 15.73   data required time
-                                -10.37   data arrival time
------------------------------------------------------------------------------
-                                  5.36   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5665_
-            (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_6533_
-          (rising edge-triggered flip-flop clocked by lbist_clk)
-Path Group: lbist_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock lbist_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.71 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.71 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.84 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
-                  0.04    0.00    5.84 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.12    0.19    6.03 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    26    0.06                           mprj/u_wb_host/clknet_4_11_0_lbist_clk_int (net)
-                  0.12    0.00    6.04 ^ mprj/u_wb_host/_5665_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.16    0.65    6.68 v mprj/u_wb_host/_5665_/Q (sky130_fd_sc_hd__dfrtp_4)
-    34    0.09                           mprj/u_wb_host/u_lbist.u_lbist_core.clk_cnt[0] (net)
-                  0.16    0.00    6.68 v mprj/u_wb_host/_2758_/A (sky130_fd_sc_hd__or4_2)
-                  0.15    0.79    7.48 v mprj/u_wb_host/_2758_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1172_ (net)
-                  0.15    0.00    7.48 v mprj/u_wb_host/_2760_/D (sky130_fd_sc_hd__or4_1)
-                  0.13    0.51    7.99 v mprj/u_wb_host/_2760_/X (sky130_fd_sc_hd__or4_1)
-     4    0.01                           mprj/u_wb_host/_1174_ (net)
-                  0.13    0.00    7.99 v mprj/u_wb_host/_2762_/D (sky130_fd_sc_hd__or4_2)
-                  0.15    0.66    8.65 v mprj/u_wb_host/_2762_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1176_ (net)
-                  0.15    0.00    8.65 v mprj/u_wb_host/_2764_/D (sky130_fd_sc_hd__or4_4)
-                  0.12    0.53    9.18 v mprj/u_wb_host/_2764_/X (sky130_fd_sc_hd__or4_4)
-     6    0.03                           mprj/u_wb_host/_1178_ (net)
-                  0.12    0.00    9.18 v mprj/u_wb_host/_2766_/D (sky130_fd_sc_hd__or4_4)
-                  0.25    0.68    9.86 v mprj/u_wb_host/_2766_/X (sky130_fd_sc_hd__or4_4)
-    40    0.12                           mprj/u_wb_host/_1180_ (net)
-                  0.25    0.00    9.86 v mprj/u_wb_host/_2767_/B (sky130_fd_sc_hd__nor2_2)
-                  0.27    0.32   10.18 ^ mprj/u_wb_host/_2767_/Y (sky130_fd_sc_hd__nor2_2)
-     6    0.02                           mprj/u_wb_host/_1181_ (net)
-                  0.27    0.00   10.18 ^ mprj/u_wb_host/_3124_/B (sky130_fd_sc_hd__and2_1)
-                  0.05    0.17   10.35 ^ mprj/u_wb_host/_3124_/X (sky130_fd_sc_hd__and2_1)
-     1    0.00                           mprj/u_wb_host/_0002_ (net)
-                  0.05    0.00   10.35 ^ mprj/u_wb_host/_6533_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 10.35   data arrival time
-
-                         10.00   10.00   clock lbist_clk (rise edge)
-                          0.00   10.00   clock source latency
-                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   10.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00   10.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   10.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00   10.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   10.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00   10.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00   10.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00   11.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00   11.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   11.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00   11.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00   11.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00   11.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00   12.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00   12.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00   12.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00   12.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00   12.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00   12.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00   12.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   13.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00   13.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   13.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00   13.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19   13.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00   13.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   13.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00   13.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   13.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00   13.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13   14.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00   14.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62   14.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01   14.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29   15.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00   15.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13   15.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00   15.17 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.13   15.30 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00   15.30 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   15.42 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
-                  0.04    0.00   15.42 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.13    0.18   15.60 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.07                           mprj/u_wb_host/clknet_4_10_0_lbist_clk_int (net)
-                  0.13    0.00   15.61 ^ mprj/u_wb_host/_6533_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   15.36   clock uncertainty
-                          0.42   15.78   clock reconvergence pessimism
-                         -0.05   15.73   library setup time
-                                 15.73   data required time
------------------------------------------------------------------------------
-                                 15.73   data required time
-                                -10.35   data arrival time
------------------------------------------------------------------------------
-                                  5.38   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5665_
+Startpoint: mprj/u_wb_host/_5638_
             (rising edge-triggered flip-flop clocked by lbist_clk)
 Endpoint: mprj/u_wb_host/_5660_
           (rising edge-triggered flip-flop clocked by lbist_clk)
@@ -130692,263 +139175,326 @@
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
                   0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.71 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.71 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.84 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
-                  0.04    0.00    5.84 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.12    0.19    6.03 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    26    0.06                           mprj/u_wb_host/clknet_4_11_0_lbist_clk_int (net)
-                  0.12    0.00    6.04 ^ mprj/u_wb_host/_5665_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.16    0.65    6.68 v mprj/u_wb_host/_5665_/Q (sky130_fd_sc_hd__dfrtp_4)
-    34    0.09                           mprj/u_wb_host/u_lbist.u_lbist_core.clk_cnt[0] (net)
-                  0.16    0.00    6.68 v mprj/u_wb_host/_2758_/A (sky130_fd_sc_hd__or4_2)
-                  0.15    0.79    7.48 v mprj/u_wb_host/_2758_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1172_ (net)
-                  0.15    0.00    7.48 v mprj/u_wb_host/_2760_/D (sky130_fd_sc_hd__or4_1)
-                  0.13    0.51    7.99 v mprj/u_wb_host/_2760_/X (sky130_fd_sc_hd__or4_1)
-     4    0.01                           mprj/u_wb_host/_1174_ (net)
-                  0.13    0.00    7.99 v mprj/u_wb_host/_2762_/D (sky130_fd_sc_hd__or4_2)
-                  0.15    0.66    8.65 v mprj/u_wb_host/_2762_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1176_ (net)
-                  0.15    0.00    8.65 v mprj/u_wb_host/_2764_/D (sky130_fd_sc_hd__or4_4)
-                  0.12    0.53    9.18 v mprj/u_wb_host/_2764_/X (sky130_fd_sc_hd__or4_4)
-     6    0.03                           mprj/u_wb_host/_1178_ (net)
-                  0.12    0.00    9.18 v mprj/u_wb_host/_2766_/D (sky130_fd_sc_hd__or4_4)
-                  0.25    0.68    9.86 v mprj/u_wb_host/_2766_/X (sky130_fd_sc_hd__or4_4)
-    40    0.12                           mprj/u_wb_host/_1180_ (net)
-                  0.25    0.00    9.86 v mprj/u_wb_host/_3631_/A_N (sky130_fd_sc_hd__and2b_1)
-                  0.04    0.27   10.13 ^ mprj/u_wb_host/_3631_/X (sky130_fd_sc_hd__and2b_1)
-     1    0.00                           mprj/u_wb_host/_1737_ (net)
-                  0.04    0.00   10.13 ^ mprj/u_wb_host/_3632_/B (sky130_fd_sc_hd__or2_1)
-                  0.04    0.09   10.22 ^ mprj/u_wb_host/_3632_/X (sky130_fd_sc_hd__or2_1)
-     1    0.00                           mprj/u_wb_host/_1738_ (net)
-                  0.04    0.00   10.22 ^ mprj/u_wb_host/_3633_/B1 (sky130_fd_sc_hd__a221o_1)
-                  0.05    0.13   10.34 ^ mprj/u_wb_host/_3633_/X (sky130_fd_sc_hd__a221o_1)
-     1    0.00                           mprj/u_wb_host/_0192_ (net)
-                  0.05    0.00   10.34 ^ mprj/u_wb_host/_5660_/D (sky130_fd_sc_hd__dfstp_2)
-                                 10.34   data arrival time
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    6.45 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_3_0_lbist_clk_int (net)
+                  0.12    0.00    6.45 ^ mprj/u_wb_host/_5638_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.10    0.47    6.92 v mprj/u_wb_host/_5638_/Q (sky130_fd_sc_hd__dfrtp_1)
+     5    0.02                           mprj/u_wb_host/u_lbist.u_lbist_core.scan_pat_cnt[1] (net)
+                  0.10    0.00    6.92 v mprj/u_wb_host/_2743_/A (sky130_fd_sc_hd__or4_2)
+                  0.14    0.77    7.69 v mprj/u_wb_host/_2743_/X (sky130_fd_sc_hd__or4_2)
+     4    0.01                           mprj/u_wb_host/_1160_ (net)
+                  0.14    0.00    7.69 v mprj/u_wb_host/_2745_/D (sky130_fd_sc_hd__or4_2)
+                  0.15    0.67    8.36 v mprj/u_wb_host/_2745_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_wb_host/_1162_ (net)
+                  0.15    0.00    8.36 v mprj/u_wb_host/_2747_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    8.91 v mprj/u_wb_host/_2747_/X (sky130_fd_sc_hd__or4_1)
+     4    0.02                           mprj/u_wb_host/_1164_ (net)
+                  0.15    0.00    8.91 v mprj/u_wb_host/_2749_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    9.44 v mprj/u_wb_host/_2749_/X (sky130_fd_sc_hd__or4_1)
+     4    0.01                           mprj/u_wb_host/_1166_ (net)
+                  0.15    0.00    9.44 v mprj/u_wb_host/_2751_/D (sky130_fd_sc_hd__or4_2)
+                  0.16    0.70   10.14 v mprj/u_wb_host/_2751_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_wb_host/_1168_ (net)
+                  0.16    0.00   10.14 v mprj/u_wb_host/_2764_/C (sky130_fd_sc_hd__or4_2)
+                  0.17    0.78   10.92 v mprj/u_wb_host/_2764_/X (sky130_fd_sc_hd__or4_2)
+     7    0.02                           mprj/u_wb_host/_1181_ (net)
+                  0.17    0.00   10.92 v mprj/u_wb_host/_3642_/C (sky130_fd_sc_hd__and4_1)
+                  0.07    0.29   11.21 v mprj/u_wb_host/_3642_/X (sky130_fd_sc_hd__and4_1)
+     2    0.01                           mprj/u_wb_host/_1746_ (net)
+                  0.07    0.00   11.21 v mprj/u_wb_host/fanout399/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.12    0.24   11.45 v mprj/u_wb_host/fanout399/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.06                           mprj/u_wb_host/net399 (net)
+                  0.12    0.00   11.45 v mprj/u_wb_host/_3645_/B1 (sky130_fd_sc_hd__a22o_1)
+                  0.04    0.21   11.65 v mprj/u_wb_host/_3645_/X (sky130_fd_sc_hd__a22o_1)
+     1    0.00                           mprj/u_wb_host/_0198_ (net)
+                  0.04    0.00   11.65 v mprj/u_wb_host/_5660_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 11.65   data arrival time
 
                          10.00   10.00   clock lbist_clk (rise edge)
                           0.00   10.00   clock source latency
                   0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   10.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.11   10.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   10.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00   10.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   10.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00   10.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   10.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   10.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   10.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00   10.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17   10.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00   10.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00   10.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   11.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00   10.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00   11.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   11.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   11.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00   11.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00   11.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   11.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00   11.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   11.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   11.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   11.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00   11.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00   11.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00   11.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00   11.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   12.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00   11.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   12.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00   12.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00   12.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00   12.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   12.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00   12.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00   12.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   12.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00   12.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00   12.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00   12.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00   12.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   13.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   13.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00   12.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00   13.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14   13.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00   12.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   13.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00   13.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00   13.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   13.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   13.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   13.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00   13.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19   13.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00   13.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10   13.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00   13.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14   13.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00   13.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   13.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00   13.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   14.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00   13.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   13.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00   13.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00   14.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   14.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00   14.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13   14.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00   14.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62   14.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01   14.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29   15.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13   14.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00   14.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13   14.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00   14.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25   15.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00   15.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   15.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00   15.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13   15.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00   15.17 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.13   15.30 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00   15.30 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   15.42 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
-                  0.04    0.00   15.42 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.13    0.18   15.60 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.07                           mprj/u_wb_host/clknet_4_10_0_lbist_clk_int (net)
-                  0.13    0.00   15.60 ^ mprj/u_wb_host/_5660_/CLK (sky130_fd_sc_hd__dfstp_2)
-                         -0.25   15.35   clock uncertainty
-                          0.42   15.78   clock reconvergence pessimism
-                         -0.04   15.73   library setup time
-                                 15.73   data required time
+                  0.06    0.00   15.32 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   15.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00   15.43 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12   15.55 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00   15.55 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13   15.68 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
+                  0.05    0.00   15.68 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   15.80 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_7_0_lbist_clk_int (net)
+                  0.04    0.00   15.80 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   15.98 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_14_0_lbist_clk_int (net)
+                  0.13    0.00   15.98 ^ mprj/u_wb_host/_5660_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   15.73   clock uncertainty
+                          0.41   16.15   clock reconvergence pessimism
+                         -0.09   16.05   library setup time
+                                 16.05   data required time
 -----------------------------------------------------------------------------
-                                 15.73   data required time
-                                -10.34   data arrival time
+                                 16.05   data required time
+                                -11.65   data arrival time
 -----------------------------------------------------------------------------
-                                  5.39   slack (MET)
+                                  4.40   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_5665_
+Startpoint: mprj/u_wb_host/_5638_
             (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_6530_
+Endpoint: mprj/u_wb_host/_5652_
           (rising edge-triggered flip-flop clocked by lbist_clk)
 Path Group: lbist_clk
 Path Type: max
@@ -130961,257 +139507,326 @@
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
                   0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.71 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.71 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.84 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
-                  0.04    0.00    5.84 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.12    0.19    6.03 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    26    0.06                           mprj/u_wb_host/clknet_4_11_0_lbist_clk_int (net)
-                  0.12    0.00    6.04 ^ mprj/u_wb_host/_5665_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.16    0.65    6.68 v mprj/u_wb_host/_5665_/Q (sky130_fd_sc_hd__dfrtp_4)
-    34    0.09                           mprj/u_wb_host/u_lbist.u_lbist_core.clk_cnt[0] (net)
-                  0.16    0.00    6.68 v mprj/u_wb_host/_2758_/A (sky130_fd_sc_hd__or4_2)
-                  0.15    0.79    7.48 v mprj/u_wb_host/_2758_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1172_ (net)
-                  0.15    0.00    7.48 v mprj/u_wb_host/_2760_/D (sky130_fd_sc_hd__or4_1)
-                  0.13    0.51    7.99 v mprj/u_wb_host/_2760_/X (sky130_fd_sc_hd__or4_1)
-     4    0.01                           mprj/u_wb_host/_1174_ (net)
-                  0.13    0.00    7.99 v mprj/u_wb_host/_2762_/D (sky130_fd_sc_hd__or4_2)
-                  0.15    0.66    8.65 v mprj/u_wb_host/_2762_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_wb_host/_1176_ (net)
-                  0.15    0.00    8.65 v mprj/u_wb_host/_2764_/D (sky130_fd_sc_hd__or4_4)
-                  0.12    0.53    9.18 v mprj/u_wb_host/_2764_/X (sky130_fd_sc_hd__or4_4)
-     6    0.03                           mprj/u_wb_host/_1178_ (net)
-                  0.12    0.00    9.18 v mprj/u_wb_host/_2771_/A4 (sky130_fd_sc_hd__o41a_4)
-                  0.11    0.46    9.64 v mprj/u_wb_host/_2771_/X (sky130_fd_sc_hd__o41a_4)
-     9    0.04                           mprj/u_wb_host/_1184_ (net)
-                  0.11    0.00    9.64 v mprj/u_wb_host/_2781_/B1 (sky130_fd_sc_hd__a2bb2o_1)
-                  0.05    0.30    9.94 v mprj/u_wb_host/_2781_/X (sky130_fd_sc_hd__a2bb2o_1)
-     1    0.00                           mprj/u_wb_host/_0007_ (net)
-                  0.05    0.00    9.94 v mprj/u_wb_host/_6530_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  9.94   data arrival time
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    6.45 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_3_0_lbist_clk_int (net)
+                  0.12    0.00    6.45 ^ mprj/u_wb_host/_5638_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.10    0.47    6.92 v mprj/u_wb_host/_5638_/Q (sky130_fd_sc_hd__dfrtp_1)
+     5    0.02                           mprj/u_wb_host/u_lbist.u_lbist_core.scan_pat_cnt[1] (net)
+                  0.10    0.00    6.92 v mprj/u_wb_host/_2743_/A (sky130_fd_sc_hd__or4_2)
+                  0.14    0.77    7.69 v mprj/u_wb_host/_2743_/X (sky130_fd_sc_hd__or4_2)
+     4    0.01                           mprj/u_wb_host/_1160_ (net)
+                  0.14    0.00    7.69 v mprj/u_wb_host/_2745_/D (sky130_fd_sc_hd__or4_2)
+                  0.15    0.67    8.36 v mprj/u_wb_host/_2745_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_wb_host/_1162_ (net)
+                  0.15    0.00    8.36 v mprj/u_wb_host/_2747_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    8.91 v mprj/u_wb_host/_2747_/X (sky130_fd_sc_hd__or4_1)
+     4    0.02                           mprj/u_wb_host/_1164_ (net)
+                  0.15    0.00    8.91 v mprj/u_wb_host/_2749_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    9.44 v mprj/u_wb_host/_2749_/X (sky130_fd_sc_hd__or4_1)
+     4    0.01                           mprj/u_wb_host/_1166_ (net)
+                  0.15    0.00    9.44 v mprj/u_wb_host/_2751_/D (sky130_fd_sc_hd__or4_2)
+                  0.16    0.70   10.14 v mprj/u_wb_host/_2751_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_wb_host/_1168_ (net)
+                  0.16    0.00   10.14 v mprj/u_wb_host/_2764_/C (sky130_fd_sc_hd__or4_2)
+                  0.17    0.78   10.92 v mprj/u_wb_host/_2764_/X (sky130_fd_sc_hd__or4_2)
+     7    0.02                           mprj/u_wb_host/_1181_ (net)
+                  0.17    0.00   10.92 v mprj/u_wb_host/_3552_/B (sky130_fd_sc_hd__and3b_1)
+                  0.08    0.27   11.19 v mprj/u_wb_host/_3552_/X (sky130_fd_sc_hd__and3b_1)
+     2    0.01                           mprj/u_wb_host/_1678_ (net)
+                  0.08    0.00   11.19 v mprj/u_wb_host/fanout404/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.17    0.24   11.43 v mprj/u_wb_host/fanout404/X (sky130_fd_sc_hd__clkbuf_2)
+    12    0.04                           mprj/u_wb_host/net404 (net)
+                  0.17    0.00   11.43 v mprj/u_wb_host/_3609_/B1 (sky130_fd_sc_hd__a22o_1)
+                  0.04    0.23   11.66 v mprj/u_wb_host/_3609_/X (sky130_fd_sc_hd__a22o_1)
+     1    0.00                           mprj/u_wb_host/_0190_ (net)
+                  0.04    0.00   11.66 v mprj/u_wb_host/_5652_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 11.66   data arrival time
 
                          10.00   10.00   clock lbist_clk (rise edge)
                           0.00   10.00   clock source latency
                   0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   10.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.11   10.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   10.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00   10.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   10.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00   10.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   10.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   10.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   10.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00   10.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17   10.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00   10.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00   10.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   11.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00   10.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00   11.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   11.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   11.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00   11.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00   11.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   11.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00   11.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   11.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   11.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   11.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00   11.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00   11.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00   11.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00   11.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   12.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00   11.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   12.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00   12.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00   12.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00   12.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   12.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00   12.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00   12.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   12.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00   12.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00   12.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00   12.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00   12.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   13.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   13.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00   12.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00   13.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14   13.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00   12.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   13.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00   13.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00   13.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   13.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   13.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   13.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00   13.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19   13.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00   13.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10   13.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00   13.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14   13.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00   13.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   13.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00   13.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   14.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00   13.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   13.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00   13.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00   14.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   14.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00   14.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13   14.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00   14.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62   14.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01   14.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29   15.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13   14.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00   14.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13   14.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00   14.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25   15.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00   15.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   15.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00   15.03 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13   15.17 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00   15.17 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.13   15.30 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00   15.32 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   15.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00   15.43 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12   15.55 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00   15.55 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   15.69 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00   15.30 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   15.42 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00   15.69 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   15.81 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
      2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
-                  0.04    0.00   15.42 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.13    0.18   15.60 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.07                           mprj/u_wb_host/clknet_4_10_0_lbist_clk_int (net)
-                  0.13    0.00   15.60 ^ mprj/u_wb_host/_6530_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   15.35   clock uncertainty
-                          0.42   15.78   clock reconvergence pessimism
-                         -0.10   15.68   library setup time
-                                 15.68   data required time
+                  0.04    0.00   15.81 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.18   15.99 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_10_0_lbist_clk_int (net)
+                  0.12    0.00   15.99 ^ mprj/u_wb_host/_5652_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   15.74   clock uncertainty
+                          0.41   16.15   clock reconvergence pessimism
+                         -0.09   16.06   library setup time
+                                 16.06   data required time
 -----------------------------------------------------------------------------
-                                 15.68   data required time
-                                 -9.94   data arrival time
+                                 16.06   data required time
+                                -11.66   data arrival time
 -----------------------------------------------------------------------------
-                                  5.74   slack (MET)
+                                  4.40   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_6527_
+Startpoint: mprj/u_wb_host/_5638_
             (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5711_
+Endpoint: mprj/u_wb_host/_5665_
           (rising edge-triggered flip-flop clocked by lbist_clk)
 Path Group: lbist_clk
 Path Type: max
@@ -131224,251 +139839,326 @@
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
                   0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.71 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.71 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.84 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
-                  0.04    0.00    5.84 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.13    0.20    6.04 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.07                           mprj/u_wb_host/clknet_4_10_0_lbist_clk_int (net)
-                  0.13    0.00    6.04 ^ mprj/u_wb_host/_6527_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.61    0.87    6.91 ^ mprj/u_wb_host/_6527_/Q (sky130_fd_sc_hd__dfrtp_4)
-    68    0.22                           mprj/u_wb_host/u_lbist.u_lbist_core.next_lbist_done (net)
-                  0.61    0.02    6.93 ^ mprj/u_wb_host/_3707_/C (sky130_fd_sc_hd__and3_2)
-                  0.18    0.40    7.33 ^ mprj/u_wb_host/_3707_/X (sky130_fd_sc_hd__and3_2)
-     4    0.03                           mprj/u_wb_host/_1792_ (net)
-                  0.18    0.00    7.33 ^ mprj/u_wb_host/_3709_/B1 (sky130_fd_sc_hd__o21ai_4)
-                  0.57    0.45    7.79 v mprj/u_wb_host/_3709_/Y (sky130_fd_sc_hd__o21ai_4)
-    88    0.26                           mprj/u_wb_host/_1794_ (net)
-                  0.58    0.05    7.84 v mprj/u_wb_host/_3728_/B (sky130_fd_sc_hd__or2_2)
-                  0.12    0.60    8.44 v mprj/u_wb_host/_3728_/X (sky130_fd_sc_hd__or2_2)
-     6    0.03                           mprj/u_wb_host/_1811_ (net)
-                  0.12    0.00    8.44 v mprj/u_wb_host/_3917_/B1 (sky130_fd_sc_hd__o22a_1)
-                  0.05    0.21    8.65 v mprj/u_wb_host/_3917_/X (sky130_fd_sc_hd__o22a_1)
-     1    0.00                           mprj/u_wb_host/_0242_ (net)
-                  0.05    0.00    8.65 v mprj/u_wb_host/_5711_/D (sky130_fd_sc_hd__dfstp_1)
-                                  8.65   data arrival time
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    6.45 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_3_0_lbist_clk_int (net)
+                  0.12    0.00    6.45 ^ mprj/u_wb_host/_5638_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.10    0.47    6.92 v mprj/u_wb_host/_5638_/Q (sky130_fd_sc_hd__dfrtp_1)
+     5    0.02                           mprj/u_wb_host/u_lbist.u_lbist_core.scan_pat_cnt[1] (net)
+                  0.10    0.00    6.92 v mprj/u_wb_host/_2743_/A (sky130_fd_sc_hd__or4_2)
+                  0.14    0.77    7.69 v mprj/u_wb_host/_2743_/X (sky130_fd_sc_hd__or4_2)
+     4    0.01                           mprj/u_wb_host/_1160_ (net)
+                  0.14    0.00    7.69 v mprj/u_wb_host/_2745_/D (sky130_fd_sc_hd__or4_2)
+                  0.15    0.67    8.36 v mprj/u_wb_host/_2745_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_wb_host/_1162_ (net)
+                  0.15    0.00    8.36 v mprj/u_wb_host/_2747_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    8.91 v mprj/u_wb_host/_2747_/X (sky130_fd_sc_hd__or4_1)
+     4    0.02                           mprj/u_wb_host/_1164_ (net)
+                  0.15    0.00    8.91 v mprj/u_wb_host/_2749_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    9.44 v mprj/u_wb_host/_2749_/X (sky130_fd_sc_hd__or4_1)
+     4    0.01                           mprj/u_wb_host/_1166_ (net)
+                  0.15    0.00    9.44 v mprj/u_wb_host/_2751_/D (sky130_fd_sc_hd__or4_2)
+                  0.16    0.70   10.14 v mprj/u_wb_host/_2751_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_wb_host/_1168_ (net)
+                  0.16    0.00   10.14 v mprj/u_wb_host/_2764_/C (sky130_fd_sc_hd__or4_2)
+                  0.17    0.78   10.92 v mprj/u_wb_host/_2764_/X (sky130_fd_sc_hd__or4_2)
+     7    0.02                           mprj/u_wb_host/_1181_ (net)
+                  0.17    0.00   10.92 v mprj/u_wb_host/_3642_/C (sky130_fd_sc_hd__and4_1)
+                  0.07    0.29   11.21 v mprj/u_wb_host/_3642_/X (sky130_fd_sc_hd__and4_1)
+     2    0.01                           mprj/u_wb_host/_1746_ (net)
+                  0.07    0.00   11.21 v mprj/u_wb_host/fanout399/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.12    0.24   11.45 v mprj/u_wb_host/fanout399/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.06                           mprj/u_wb_host/net399 (net)
+                  0.12    0.00   11.45 v mprj/u_wb_host/_3665_/B1 (sky130_fd_sc_hd__a22o_1)
+                  0.04    0.21   11.66 v mprj/u_wb_host/_3665_/X (sky130_fd_sc_hd__a22o_1)
+     1    0.00                           mprj/u_wb_host/_0203_ (net)
+                  0.04    0.00   11.66 v mprj/u_wb_host/_5665_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 11.66   data arrival time
 
                          10.00   10.00   clock lbist_clk (rise edge)
                           0.00   10.00   clock source latency
                   0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   10.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.11   10.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   10.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00   10.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   10.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00   10.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   10.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   10.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   10.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00   10.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17   10.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00   10.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00   10.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   11.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00   10.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00   11.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   11.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   11.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00   11.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00   11.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   11.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00   11.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   11.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   11.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   11.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00   11.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00   11.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00   11.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00   11.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   12.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00   11.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   12.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00   12.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00   12.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00   12.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   12.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00   12.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00   12.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   12.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00   12.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00   12.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00   12.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00   12.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   13.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   13.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00   12.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00   13.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14   13.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00   12.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   13.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00   13.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00   13.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   13.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   13.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   13.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00   13.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19   13.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00   13.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10   13.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00   13.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14   13.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00   13.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   13.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00   13.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   14.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00   13.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   13.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00   13.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00   14.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   14.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00   14.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13   14.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00   14.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62   14.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01   14.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29   15.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13   14.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00   14.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13   14.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00   14.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25   15.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00   15.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   15.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00   15.03 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13   15.17 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00   15.17 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14   15.30 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_1_0_lbist_clk_int (net)
-                  0.06    0.00   15.31 ^ mprj/u_wb_host/clkbuf_3_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   15.42 ^ mprj/u_wb_host/clkbuf_3_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_3_0_lbist_clk_int (net)
-                  0.04    0.00   15.42 ^ mprj/u_wb_host/clkbuf_4_6_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.16   15.58 ^ mprj/u_wb_host/clkbuf_4_6_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    12    0.05                           mprj/u_wb_host/clknet_4_6_0_lbist_clk_int (net)
-                  0.09    0.00   15.58 ^ mprj/u_wb_host/_5711_/CLK (sky130_fd_sc_hd__dfstp_1)
-                         -0.25   15.33   clock uncertainty
-                          0.39   15.72   clock reconvergence pessimism
-                         -0.06   15.66   library setup time
-                                 15.66   data required time
+                  0.06    0.00   15.32 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   15.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00   15.43 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12   15.55 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00   15.55 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   15.69 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
+                  0.06    0.00   15.69 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   15.81 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
+                  0.04    0.00   15.81 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.18   15.99 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_10_0_lbist_clk_int (net)
+                  0.12    0.00   15.99 ^ mprj/u_wb_host/_5665_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   15.74   clock uncertainty
+                          0.41   16.15   clock reconvergence pessimism
+                         -0.09   16.06   library setup time
+                                 16.06   data required time
 -----------------------------------------------------------------------------
-                                 15.66   data required time
-                                 -8.65   data arrival time
+                                 16.06   data required time
+                                -11.66   data arrival time
 -----------------------------------------------------------------------------
-                                  7.01   slack (MET)
+                                  4.40   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_6527_
+Startpoint: mprj/u_wb_host/_5638_
             (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5712_
+Endpoint: mprj/u_wb_host/_5667_
           (rising edge-triggered flip-flop clocked by lbist_clk)
 Path Group: lbist_clk
 Path Type: max
@@ -131481,251 +140171,326 @@
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
                   0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.71 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.71 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.84 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
-                  0.04    0.00    5.84 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.13    0.20    6.04 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.07                           mprj/u_wb_host/clknet_4_10_0_lbist_clk_int (net)
-                  0.13    0.00    6.04 ^ mprj/u_wb_host/_6527_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.61    0.87    6.91 ^ mprj/u_wb_host/_6527_/Q (sky130_fd_sc_hd__dfrtp_4)
-    68    0.22                           mprj/u_wb_host/u_lbist.u_lbist_core.next_lbist_done (net)
-                  0.61    0.02    6.93 ^ mprj/u_wb_host/_3707_/C (sky130_fd_sc_hd__and3_2)
-                  0.18    0.40    7.33 ^ mprj/u_wb_host/_3707_/X (sky130_fd_sc_hd__and3_2)
-     4    0.03                           mprj/u_wb_host/_1792_ (net)
-                  0.18    0.00    7.33 ^ mprj/u_wb_host/_3709_/B1 (sky130_fd_sc_hd__o21ai_4)
-                  0.57    0.45    7.79 v mprj/u_wb_host/_3709_/Y (sky130_fd_sc_hd__o21ai_4)
-    88    0.26                           mprj/u_wb_host/_1794_ (net)
-                  0.58    0.05    7.84 v mprj/u_wb_host/_3728_/B (sky130_fd_sc_hd__or2_2)
-                  0.12    0.60    8.44 v mprj/u_wb_host/_3728_/X (sky130_fd_sc_hd__or2_2)
-     6    0.03                           mprj/u_wb_host/_1811_ (net)
-                  0.12    0.00    8.44 v mprj/u_wb_host/_3919_/B1 (sky130_fd_sc_hd__o22a_1)
-                  0.04    0.21    8.65 v mprj/u_wb_host/_3919_/X (sky130_fd_sc_hd__o22a_1)
-     1    0.00                           mprj/u_wb_host/_0243_ (net)
-                  0.04    0.00    8.65 v mprj/u_wb_host/_5712_/D (sky130_fd_sc_hd__dfstp_1)
-                                  8.65   data arrival time
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    6.45 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_3_0_lbist_clk_int (net)
+                  0.12    0.00    6.45 ^ mprj/u_wb_host/_5638_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.10    0.47    6.92 v mprj/u_wb_host/_5638_/Q (sky130_fd_sc_hd__dfrtp_1)
+     5    0.02                           mprj/u_wb_host/u_lbist.u_lbist_core.scan_pat_cnt[1] (net)
+                  0.10    0.00    6.92 v mprj/u_wb_host/_2743_/A (sky130_fd_sc_hd__or4_2)
+                  0.14    0.77    7.69 v mprj/u_wb_host/_2743_/X (sky130_fd_sc_hd__or4_2)
+     4    0.01                           mprj/u_wb_host/_1160_ (net)
+                  0.14    0.00    7.69 v mprj/u_wb_host/_2745_/D (sky130_fd_sc_hd__or4_2)
+                  0.15    0.67    8.36 v mprj/u_wb_host/_2745_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_wb_host/_1162_ (net)
+                  0.15    0.00    8.36 v mprj/u_wb_host/_2747_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    8.91 v mprj/u_wb_host/_2747_/X (sky130_fd_sc_hd__or4_1)
+     4    0.02                           mprj/u_wb_host/_1164_ (net)
+                  0.15    0.00    8.91 v mprj/u_wb_host/_2749_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    9.44 v mprj/u_wb_host/_2749_/X (sky130_fd_sc_hd__or4_1)
+     4    0.01                           mprj/u_wb_host/_1166_ (net)
+                  0.15    0.00    9.44 v mprj/u_wb_host/_2751_/D (sky130_fd_sc_hd__or4_2)
+                  0.16    0.70   10.14 v mprj/u_wb_host/_2751_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_wb_host/_1168_ (net)
+                  0.16    0.00   10.14 v mprj/u_wb_host/_2764_/C (sky130_fd_sc_hd__or4_2)
+                  0.17    0.78   10.92 v mprj/u_wb_host/_2764_/X (sky130_fd_sc_hd__or4_2)
+     7    0.02                           mprj/u_wb_host/_1181_ (net)
+                  0.17    0.00   10.92 v mprj/u_wb_host/_3642_/C (sky130_fd_sc_hd__and4_1)
+                  0.07    0.29   11.21 v mprj/u_wb_host/_3642_/X (sky130_fd_sc_hd__and4_1)
+     2    0.01                           mprj/u_wb_host/_1746_ (net)
+                  0.07    0.00   11.21 v mprj/u_wb_host/fanout399/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.12    0.24   11.45 v mprj/u_wb_host/fanout399/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.06                           mprj/u_wb_host/net399 (net)
+                  0.12    0.00   11.45 v mprj/u_wb_host/_3672_/B1 (sky130_fd_sc_hd__a22o_1)
+                  0.04    0.20   11.65 v mprj/u_wb_host/_3672_/X (sky130_fd_sc_hd__a22o_1)
+     1    0.00                           mprj/u_wb_host/_0205_ (net)
+                  0.04    0.00   11.65 v mprj/u_wb_host/_5667_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 11.65   data arrival time
 
                          10.00   10.00   clock lbist_clk (rise edge)
                           0.00   10.00   clock source latency
                   0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   10.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.11   10.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   10.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00   10.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   10.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00   10.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   10.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   10.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   10.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00   10.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17   10.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00   10.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00   10.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   11.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00   10.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00   11.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   11.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   11.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00   11.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00   11.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   11.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00   11.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   11.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   11.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   11.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00   11.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00   11.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00   11.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00   11.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   12.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00   11.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   12.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00   12.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00   12.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00   12.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   12.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00   12.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00   12.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   12.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00   12.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00   12.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00   12.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00   12.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   13.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   13.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00   12.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00   13.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14   13.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00   12.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   13.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00   13.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00   13.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   13.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   13.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   13.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00   13.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19   13.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00   13.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10   13.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00   13.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14   13.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00   13.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   13.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00   13.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   14.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00   13.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   13.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00   13.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00   14.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   14.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00   14.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13   14.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00   14.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62   14.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01   14.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29   15.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13   14.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00   14.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13   14.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00   14.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25   15.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00   15.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   15.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00   15.03 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13   15.17 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00   15.17 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14   15.30 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_1_0_lbist_clk_int (net)
-                  0.06    0.00   15.31 ^ mprj/u_wb_host/clkbuf_3_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   15.42 ^ mprj/u_wb_host/clkbuf_3_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_3_0_lbist_clk_int (net)
-                  0.04    0.00   15.42 ^ mprj/u_wb_host/clkbuf_4_6_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.16   15.58 ^ mprj/u_wb_host/clkbuf_4_6_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    12    0.05                           mprj/u_wb_host/clknet_4_6_0_lbist_clk_int (net)
-                  0.09    0.00   15.58 ^ mprj/u_wb_host/_5712_/CLK (sky130_fd_sc_hd__dfstp_1)
-                         -0.25   15.33   clock uncertainty
-                          0.39   15.72   clock reconvergence pessimism
-                         -0.06   15.67   library setup time
-                                 15.67   data required time
+                  0.06    0.00   15.32 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   15.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00   15.43 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12   15.55 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00   15.55 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13   15.68 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
+                  0.05    0.00   15.68 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   15.80 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_7_0_lbist_clk_int (net)
+                  0.04    0.00   15.80 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   15.98 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_14_0_lbist_clk_int (net)
+                  0.13    0.00   15.98 ^ mprj/u_wb_host/_5667_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   15.73   clock uncertainty
+                          0.41   16.15   clock reconvergence pessimism
+                         -0.09   16.06   library setup time
+                                 16.06   data required time
 -----------------------------------------------------------------------------
-                                 15.67   data required time
-                                 -8.65   data arrival time
+                                 16.06   data required time
+                                -11.65   data arrival time
 -----------------------------------------------------------------------------
-                                  7.02   slack (MET)
+                                  4.40   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_6527_
+Startpoint: mprj/u_wb_host/_5638_
             (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5687_
+Endpoint: mprj/u_wb_host/_5663_
           (rising edge-triggered flip-flop clocked by lbist_clk)
 Path Group: lbist_clk
 Path Type: max
@@ -131738,251 +140503,326 @@
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
                   0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.71 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.71 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.84 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
-                  0.04    0.00    5.84 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.13    0.20    6.04 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.07                           mprj/u_wb_host/clknet_4_10_0_lbist_clk_int (net)
-                  0.13    0.00    6.04 ^ mprj/u_wb_host/_6527_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.61    0.87    6.91 ^ mprj/u_wb_host/_6527_/Q (sky130_fd_sc_hd__dfrtp_4)
-    68    0.22                           mprj/u_wb_host/u_lbist.u_lbist_core.next_lbist_done (net)
-                  0.61    0.02    6.93 ^ mprj/u_wb_host/_3707_/C (sky130_fd_sc_hd__and3_2)
-                  0.18    0.40    7.33 ^ mprj/u_wb_host/_3707_/X (sky130_fd_sc_hd__and3_2)
-     4    0.03                           mprj/u_wb_host/_1792_ (net)
-                  0.18    0.00    7.33 ^ mprj/u_wb_host/_3709_/B1 (sky130_fd_sc_hd__o21ai_4)
-                  0.57    0.45    7.79 v mprj/u_wb_host/_3709_/Y (sky130_fd_sc_hd__o21ai_4)
-    88    0.26                           mprj/u_wb_host/_1794_ (net)
-                  0.58    0.05    7.84 v mprj/u_wb_host/_3728_/B (sky130_fd_sc_hd__or2_2)
-                  0.12    0.60    8.44 v mprj/u_wb_host/_3728_/X (sky130_fd_sc_hd__or2_2)
-     6    0.03                           mprj/u_wb_host/_1811_ (net)
-                  0.12    0.00    8.44 v mprj/u_wb_host/_3758_/B1 (sky130_fd_sc_hd__o22a_1)
-                  0.04    0.21    8.65 v mprj/u_wb_host/_3758_/X (sky130_fd_sc_hd__o22a_1)
-     1    0.00                           mprj/u_wb_host/_0218_ (net)
-                  0.04    0.00    8.65 v mprj/u_wb_host/_5687_/D (sky130_fd_sc_hd__dfstp_4)
-                                  8.65   data arrival time
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    6.45 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_3_0_lbist_clk_int (net)
+                  0.12    0.00    6.45 ^ mprj/u_wb_host/_5638_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.10    0.47    6.92 v mprj/u_wb_host/_5638_/Q (sky130_fd_sc_hd__dfrtp_1)
+     5    0.02                           mprj/u_wb_host/u_lbist.u_lbist_core.scan_pat_cnt[1] (net)
+                  0.10    0.00    6.92 v mprj/u_wb_host/_2743_/A (sky130_fd_sc_hd__or4_2)
+                  0.14    0.77    7.69 v mprj/u_wb_host/_2743_/X (sky130_fd_sc_hd__or4_2)
+     4    0.01                           mprj/u_wb_host/_1160_ (net)
+                  0.14    0.00    7.69 v mprj/u_wb_host/_2745_/D (sky130_fd_sc_hd__or4_2)
+                  0.15    0.67    8.36 v mprj/u_wb_host/_2745_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_wb_host/_1162_ (net)
+                  0.15    0.00    8.36 v mprj/u_wb_host/_2747_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    8.91 v mprj/u_wb_host/_2747_/X (sky130_fd_sc_hd__or4_1)
+     4    0.02                           mprj/u_wb_host/_1164_ (net)
+                  0.15    0.00    8.91 v mprj/u_wb_host/_2749_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    9.44 v mprj/u_wb_host/_2749_/X (sky130_fd_sc_hd__or4_1)
+     4    0.01                           mprj/u_wb_host/_1166_ (net)
+                  0.15    0.00    9.44 v mprj/u_wb_host/_2751_/D (sky130_fd_sc_hd__or4_2)
+                  0.16    0.70   10.14 v mprj/u_wb_host/_2751_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_wb_host/_1168_ (net)
+                  0.16    0.00   10.14 v mprj/u_wb_host/_2764_/C (sky130_fd_sc_hd__or4_2)
+                  0.17    0.78   10.92 v mprj/u_wb_host/_2764_/X (sky130_fd_sc_hd__or4_2)
+     7    0.02                           mprj/u_wb_host/_1181_ (net)
+                  0.17    0.00   10.92 v mprj/u_wb_host/_3642_/C (sky130_fd_sc_hd__and4_1)
+                  0.07    0.29   11.21 v mprj/u_wb_host/_3642_/X (sky130_fd_sc_hd__and4_1)
+     2    0.01                           mprj/u_wb_host/_1746_ (net)
+                  0.07    0.00   11.21 v mprj/u_wb_host/fanout399/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.12    0.24   11.45 v mprj/u_wb_host/fanout399/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.06                           mprj/u_wb_host/net399 (net)
+                  0.12    0.00   11.45 v mprj/u_wb_host/_3658_/B1 (sky130_fd_sc_hd__a22o_1)
+                  0.04    0.21   11.65 v mprj/u_wb_host/_3658_/X (sky130_fd_sc_hd__a22o_1)
+     1    0.00                           mprj/u_wb_host/_0201_ (net)
+                  0.04    0.00   11.65 v mprj/u_wb_host/_5663_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 11.65   data arrival time
 
                          10.00   10.00   clock lbist_clk (rise edge)
                           0.00   10.00   clock source latency
                   0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   10.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.11   10.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   10.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00   10.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   10.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00   10.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   10.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   10.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   10.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00   10.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17   10.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00   10.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00   10.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   11.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00   10.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00   11.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   11.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   11.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00   11.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00   11.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   11.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00   11.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   11.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   11.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   11.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00   11.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00   11.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00   11.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00   11.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   12.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00   11.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   12.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00   12.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00   12.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00   12.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   12.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00   12.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00   12.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   12.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00   12.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00   12.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00   12.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00   12.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   13.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   13.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00   12.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00   13.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14   13.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00   12.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   13.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00   13.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00   13.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   13.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   13.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   13.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00   13.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19   13.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00   13.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10   13.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00   13.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14   13.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00   13.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   13.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00   13.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   14.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00   13.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   13.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00   13.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00   14.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   14.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00   14.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13   14.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00   14.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62   14.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01   14.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29   15.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13   14.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00   14.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13   14.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00   14.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25   15.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00   15.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   15.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00   15.03 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13   15.17 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00   15.17 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14   15.30 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_1_0_lbist_clk_int (net)
-                  0.06    0.00   15.31 ^ mprj/u_wb_host/clkbuf_3_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   15.42 ^ mprj/u_wb_host/clkbuf_3_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_3_0_lbist_clk_int (net)
-                  0.04    0.00   15.42 ^ mprj/u_wb_host/clkbuf_4_7_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.10    0.16   15.59 ^ mprj/u_wb_host/clkbuf_4_7_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.05                           mprj/u_wb_host/clknet_4_7_0_lbist_clk_int (net)
-                  0.10    0.00   15.59 ^ mprj/u_wb_host/_5687_/CLK (sky130_fd_sc_hd__dfstp_4)
-                         -0.25   15.34   clock uncertainty
-                          0.39   15.73   clock reconvergence pessimism
-                         -0.06   15.67   library setup time
-                                 15.67   data required time
+                  0.06    0.00   15.32 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   15.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00   15.43 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12   15.55 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00   15.55 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   15.69 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
+                  0.06    0.00   15.69 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   15.81 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
+                  0.04    0.00   15.81 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.18   15.99 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_10_0_lbist_clk_int (net)
+                  0.12    0.00   15.99 ^ mprj/u_wb_host/_5663_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   15.74   clock uncertainty
+                          0.41   16.15   clock reconvergence pessimism
+                         -0.09   16.06   library setup time
+                                 16.06   data required time
 -----------------------------------------------------------------------------
-                                 15.67   data required time
-                                 -8.65   data arrival time
+                                 16.06   data required time
+                                -11.65   data arrival time
 -----------------------------------------------------------------------------
-                                  7.02   slack (MET)
+                                  4.41   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_6527_
+Startpoint: mprj/u_wb_host/_5638_
             (rising edge-triggered flip-flop clocked by lbist_clk)
-Endpoint: mprj/u_wb_host/_5689_
+Endpoint: mprj/u_wb_host/_5662_
           (rising edge-triggered flip-flop clocked by lbist_clk)
 Path Group: lbist_clk
 Path Type: max
@@ -131995,5208 +140835,11963 @@
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
                   0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00    0.13 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.23    0.35 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00    0.35 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00    0.50 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    0.61 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00    0.61 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    0.83 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00    0.83 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    0.97 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00    0.97 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.08 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00    1.08 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00    1.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.44 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00    1.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    1.56 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00    1.56 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.22    1.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00    1.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    1.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00    1.91 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.02 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00    2.02 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.23 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00    2.24 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00    2.37 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.49 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00    2.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    2.70 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00    2.70 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    2.84 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00    2.84 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    2.95 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00    2.95 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00    3.17 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14    3.30 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00    3.30 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    3.41 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00    3.41 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.21    3.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00    3.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    3.76 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.12    3.87 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00    3.87 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00    4.01 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00    4.15 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00    4.29 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    4.43 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00    4.43 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.67    5.10 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01    5.11 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31    5.42 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00    5.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    5.57 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
-                  0.05    0.00    5.57 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.71 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
-                  0.06    0.00    5.71 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    5.84 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
-                  0.04    0.00    5.84 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.13    0.20    6.04 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.07                           mprj/u_wb_host/clknet_4_10_0_lbist_clk_int (net)
-                  0.13    0.00    6.04 ^ mprj/u_wb_host/_6527_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.61    0.87    6.91 ^ mprj/u_wb_host/_6527_/Q (sky130_fd_sc_hd__dfrtp_4)
-    68    0.22                           mprj/u_wb_host/u_lbist.u_lbist_core.next_lbist_done (net)
-                  0.61    0.02    6.93 ^ mprj/u_wb_host/_3707_/C (sky130_fd_sc_hd__and3_2)
-                  0.18    0.40    7.33 ^ mprj/u_wb_host/_3707_/X (sky130_fd_sc_hd__and3_2)
-     4    0.03                           mprj/u_wb_host/_1792_ (net)
-                  0.18    0.00    7.33 ^ mprj/u_wb_host/_3709_/B1 (sky130_fd_sc_hd__o21ai_4)
-                  0.57    0.45    7.79 v mprj/u_wb_host/_3709_/Y (sky130_fd_sc_hd__o21ai_4)
-    88    0.26                           mprj/u_wb_host/_1794_ (net)
-                  0.58    0.05    7.84 v mprj/u_wb_host/_3728_/B (sky130_fd_sc_hd__or2_2)
-                  0.12    0.60    8.44 v mprj/u_wb_host/_3728_/X (sky130_fd_sc_hd__or2_2)
-     6    0.03                           mprj/u_wb_host/_1811_ (net)
-                  0.12    0.00    8.44 v mprj/u_wb_host/_3772_/B1 (sky130_fd_sc_hd__o22a_1)
-                  0.04    0.21    8.65 v mprj/u_wb_host/_3772_/X (sky130_fd_sc_hd__o22a_1)
-     1    0.00                           mprj/u_wb_host/_0220_ (net)
-                  0.04    0.00    8.65 v mprj/u_wb_host/_5689_/D (sky130_fd_sc_hd__dfstp_4)
-                                  8.65   data arrival time
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    6.45 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_3_0_lbist_clk_int (net)
+                  0.12    0.00    6.45 ^ mprj/u_wb_host/_5638_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.10    0.47    6.92 v mprj/u_wb_host/_5638_/Q (sky130_fd_sc_hd__dfrtp_1)
+     5    0.02                           mprj/u_wb_host/u_lbist.u_lbist_core.scan_pat_cnt[1] (net)
+                  0.10    0.00    6.92 v mprj/u_wb_host/_2743_/A (sky130_fd_sc_hd__or4_2)
+                  0.14    0.77    7.69 v mprj/u_wb_host/_2743_/X (sky130_fd_sc_hd__or4_2)
+     4    0.01                           mprj/u_wb_host/_1160_ (net)
+                  0.14    0.00    7.69 v mprj/u_wb_host/_2745_/D (sky130_fd_sc_hd__or4_2)
+                  0.15    0.67    8.36 v mprj/u_wb_host/_2745_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_wb_host/_1162_ (net)
+                  0.15    0.00    8.36 v mprj/u_wb_host/_2747_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    8.91 v mprj/u_wb_host/_2747_/X (sky130_fd_sc_hd__or4_1)
+     4    0.02                           mprj/u_wb_host/_1164_ (net)
+                  0.15    0.00    8.91 v mprj/u_wb_host/_2749_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    9.44 v mprj/u_wb_host/_2749_/X (sky130_fd_sc_hd__or4_1)
+     4    0.01                           mprj/u_wb_host/_1166_ (net)
+                  0.15    0.00    9.44 v mprj/u_wb_host/_2751_/D (sky130_fd_sc_hd__or4_2)
+                  0.16    0.70   10.14 v mprj/u_wb_host/_2751_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_wb_host/_1168_ (net)
+                  0.16    0.00   10.14 v mprj/u_wb_host/_2764_/C (sky130_fd_sc_hd__or4_2)
+                  0.17    0.78   10.92 v mprj/u_wb_host/_2764_/X (sky130_fd_sc_hd__or4_2)
+     7    0.02                           mprj/u_wb_host/_1181_ (net)
+                  0.17    0.00   10.92 v mprj/u_wb_host/_3642_/C (sky130_fd_sc_hd__and4_1)
+                  0.07    0.29   11.21 v mprj/u_wb_host/_3642_/X (sky130_fd_sc_hd__and4_1)
+     2    0.01                           mprj/u_wb_host/_1746_ (net)
+                  0.07    0.00   11.21 v mprj/u_wb_host/fanout399/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.12    0.24   11.45 v mprj/u_wb_host/fanout399/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.06                           mprj/u_wb_host/net399 (net)
+                  0.12    0.00   11.45 v mprj/u_wb_host/_3654_/B1 (sky130_fd_sc_hd__a22o_1)
+                  0.04    0.20   11.65 v mprj/u_wb_host/_3654_/X (sky130_fd_sc_hd__a22o_1)
+     1    0.00                           mprj/u_wb_host/_0200_ (net)
+                  0.04    0.00   11.65 v mprj/u_wb_host/_5662_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 11.65   data arrival time
 
                          10.00   10.00   clock lbist_clk (rise edge)
                           0.00   10.00   clock source latency
                   0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
                   0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   10.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
-                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.08    0.21   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.11   10.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
-                  0.08    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.46 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   10.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
-                  0.04    0.00   10.46 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   10.57 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
-                  0.03    0.00   10.57 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   10.77 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   10.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   10.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00   10.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17   10.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
-                  0.07    0.00   10.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   10.90 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.17    0.00   10.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   11.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
-                  0.04    0.00   10.90 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d2 (net)
-                  0.03    0.00   11.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   11.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   11.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00   11.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.34 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00   11.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   11.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
-                  0.04    0.00   11.34 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   11.44 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   11.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   11.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
-                  0.03    0.00   11.44 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.07    0.20   11.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00   11.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
-                  0.07    0.00   11.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   11.77 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.15    0.00   11.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   12.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
-                  0.04    0.00   11.77 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   11.88 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d4 (net)
-                  0.03    0.00   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.07 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   12.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00   12.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
-                  0.06    0.00   12.07 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00   12.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   12.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
-                  0.04    0.00   12.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
-                  0.03    0.00   12.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.50 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.04    0.00   12.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00   12.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
-                  0.06    0.00   12.50 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   12.63 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00   12.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
-                  0.04    0.00   12.63 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   12.74 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   13.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   13.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
-                  0.03    0.00   12.74 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.20   12.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00   13.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14   13.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
-                  0.06    0.00   12.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   13.06 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.00   13.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
-                  0.04    0.00   13.06 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   13.17 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   13.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   13.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
      1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
-                  0.03    0.00   13.17 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
-                  0.06    0.19   13.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
+                  0.03    0.00   13.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10   13.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00   13.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14   13.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
-                  0.06    0.00   13.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   13.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.00   13.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   14.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
-                  0.04    0.00   13.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11   13.59 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
-                  0.03    0.00   13.59 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00   14.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   14.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00   14.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
-                  0.07    0.00   13.72 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/u_skew_lbist.d12 (net)
-                  0.06    0.00   13.85 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.00   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
      1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
-                  0.05    0.00   13.98 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.13   14.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_wb_host/net208 (net)
-                  0.04    0.00   14.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.88    0.62   14.73 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.08                           mprj/lbist_clk (net)
-                  0.88    0.01   14.74 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.29   15.03 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.00   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13   14.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00   14.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13   14.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00   14.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25   15.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00   15.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   15.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
-                  0.08    0.00   15.03 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13   15.17 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
-                  0.05    0.00   15.17 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14   15.30 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_2_1_0_lbist_clk_int (net)
-                  0.06    0.00   15.31 ^ mprj/u_wb_host/clkbuf_3_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   15.42 ^ mprj/u_wb_host/clkbuf_3_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.01                           mprj/u_wb_host/clknet_3_3_0_lbist_clk_int (net)
-                  0.04    0.00   15.42 ^ mprj/u_wb_host/clkbuf_4_7_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.10    0.16   15.59 ^ mprj/u_wb_host/clkbuf_4_7_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.05                           mprj/u_wb_host/clknet_4_7_0_lbist_clk_int (net)
-                  0.10    0.00   15.59 ^ mprj/u_wb_host/_5689_/CLK (sky130_fd_sc_hd__dfstp_4)
-                         -0.25   15.34   clock uncertainty
-                          0.39   15.73   clock reconvergence pessimism
-                         -0.06   15.67   library setup time
-                                 15.67   data required time
+                  0.06    0.00   15.32 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   15.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00   15.43 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12   15.55 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00   15.55 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   15.69 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
+                  0.06    0.00   15.69 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   15.81 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
+                  0.04    0.00   15.81 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.18   15.99 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_10_0_lbist_clk_int (net)
+                  0.12    0.00   15.99 ^ mprj/u_wb_host/_5662_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   15.74   clock uncertainty
+                          0.41   16.15   clock reconvergence pessimism
+                         -0.09   16.06   library setup time
+                                 16.06   data required time
 -----------------------------------------------------------------------------
-                                 15.67   data required time
-                                 -8.65   data arrival time
+                                 16.06   data required time
+                                -11.65   data arrival time
 -----------------------------------------------------------------------------
-                                  7.03   slack (MET)
+                                  4.41   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_09078_
-            (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_08990_
-          (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Path Group: mac_rx_clk
+Startpoint: mprj/u_wb_host/_5638_
+            (rising edge-triggered flip-flop clocked by lbist_clk)
+Endpoint: mprj/u_wb_host/_5639_
+          (rising edge-triggered flip-flop clocked by lbist_clk)
+Path Group: lbist_clk
 Path Type: max
 
 Fanout     Cap    Slew   Delay    Time   Description
 -----------------------------------------------------------------------------
-                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock lbist_clk (rise edge)
                           0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1   11.12                           mprj_io[12] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[12] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.09 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.16    5.25 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
-                  0.06    0.00    5.25 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.27    5.52 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.12                           mprj/u_mac_wrap/clknet_3_5_0_phy_rx_clk (net)
-                  0.22    0.00    5.52 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.20    5.72 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.03                           mprj/u_mac_wrap/clknet_leaf_8_phy_rx_clk (net)
-                  0.06    0.00    5.72 ^ mprj/u_mac_wrap/_09078_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.05    0.34    6.06 ^ mprj/u_mac_wrap/_09078_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[1] (net)
-                  0.05    0.00    6.06 ^ mprj/u_mac_wrap/fanout451/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.17    0.20    6.27 ^ mprj/u_mac_wrap/fanout451/X (sky130_fd_sc_hd__clkbuf_2)
-     7    0.03                           mprj/u_mac_wrap/net451 (net)
-                  0.17    0.00    6.27 ^ mprj/u_mac_wrap/fanout450/A (sky130_fd_sc_hd__buf_2)
-                  0.21    0.27    6.54 ^ mprj/u_mac_wrap/fanout450/X (sky130_fd_sc_hd__buf_2)
-    10    0.04                           mprj/u_mac_wrap/net450 (net)
-                  0.21    0.00    6.54 ^ mprj/u_mac_wrap/_04293_/C (sky130_fd_sc_hd__and4_1)
-                  0.18    0.33    6.88 ^ mprj/u_mac_wrap/_04293_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01642_ (net)
-                  0.18    0.00    6.88 ^ mprj/u_mac_wrap/_04295_/D (sky130_fd_sc_hd__and4_2)
-                  0.14    0.35    7.22 ^ mprj/u_mac_wrap/_04295_/X (sky130_fd_sc_hd__and4_2)
-     6    0.02                           mprj/u_mac_wrap/_01644_ (net)
-                  0.14    0.00    7.22 ^ mprj/u_mac_wrap/_04297_/D (sky130_fd_sc_hd__and4_1)
-                  0.20    0.34    7.56 ^ mprj/u_mac_wrap/_04297_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01646_ (net)
-                  0.20    0.00    7.56 ^ mprj/u_mac_wrap/_04298_/C (sky130_fd_sc_hd__nand3_1)
-                  0.15    0.19    7.75 v mprj/u_mac_wrap/_04298_/Y (sky130_fd_sc_hd__nand3_1)
-     3    0.01                           mprj/u_mac_wrap/_01647_ (net)
-                  0.15    0.00    7.75 v mprj/u_mac_wrap/_04304_/A1 (sky130_fd_sc_hd__a2111o_1)
-                  0.13    0.50    8.25 v mprj/u_mac_wrap/_04304_/X (sky130_fd_sc_hd__a2111o_1)
-     3    0.02                           mprj/u_mac_wrap/_01653_ (net)
-                  0.13    0.00    8.25 v mprj/u_mac_wrap/_04320_/B1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.35    8.60 v mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_mac_wrap/_01669_ (net)
-                  0.05    0.00    8.60 v mprj/u_mac_wrap/_04321_/D (sky130_fd_sc_hd__or4_1)
-                  0.11    0.46    9.06 v mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01670_ (net)
-                  0.11    0.00    9.06 v mprj/u_mac_wrap/_04330_/B (sky130_fd_sc_hd__nor4_2)
-                  0.41    0.45    9.51 ^ mprj/u_mac_wrap/_04330_/Y (sky130_fd_sc_hd__nor4_2)
-     4    0.01                           mprj/u_mac_wrap/_01679_ (net)
-                  0.41    0.00    9.51 ^ mprj/u_mac_wrap/_04361_/A_N (sky130_fd_sc_hd__nand3b_1)
-                  0.20    0.27    9.78 ^ mprj/u_mac_wrap/_04361_/Y (sky130_fd_sc_hd__nand3b_1)
-     3    0.02                           mprj/u_mac_wrap/_01710_ (net)
-                  0.20    0.00    9.78 ^ mprj/u_mac_wrap/_04609_/A_N (sky130_fd_sc_hd__and2b_1)
-                  0.08    0.27   10.05 v mprj/u_mac_wrap/_04609_/X (sky130_fd_sc_hd__and2b_1)
-     3    0.01                           mprj/u_mac_wrap/_01928_ (net)
-                  0.08    0.00   10.05 v mprj/u_mac_wrap/_04620_/A (sky130_fd_sc_hd__or2_1)
-                  0.11    0.32   10.37 v mprj/u_mac_wrap/_04620_/X (sky130_fd_sc_hd__or2_1)
-     3    0.02                           mprj/u_mac_wrap/_01939_ (net)
-                  0.11    0.00   10.37 v mprj/u_mac_wrap/_06049_/A (sky130_fd_sc_hd__or3_1)
-                  0.14    0.50   10.87 v mprj/u_mac_wrap/_06049_/X (sky130_fd_sc_hd__or3_1)
-     2    0.02                           mprj/u_mac_wrap/_02846_ (net)
-                  0.14    0.00   10.87 v mprj/u_mac_wrap/fanout237/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.12    0.23   11.10 v mprj/u_mac_wrap/fanout237/X (sky130_fd_sc_hd__clkbuf_2)
-    10    0.03                           mprj/u_mac_wrap/net237 (net)
-                  0.12    0.00   11.10 v mprj/u_mac_wrap/fanout236/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.10    0.25   11.34 v mprj/u_mac_wrap/fanout236/X (sky130_fd_sc_hd__clkbuf_4)
-    10    0.05                           mprj/u_mac_wrap/net236 (net)
-                  0.10    0.00   11.35 v mprj/u_mac_wrap/_06051_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.33   11.68 v mprj/u_mac_wrap/_06051_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00522_ (net)
-                  0.05    0.00   11.68 v mprj/u_mac_wrap/_08990_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 11.68   data arrival time
-
-                         40.00   40.00   clock mac_rx_clk (rise edge)
-                          0.00   40.00   clock source latency
-                  1.00    0.00   40.00 ^ mprj_io[12] (inout)
-     1    6.12                           mprj_io[12] (net)
-                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[12] (net)
-                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00   41.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10   41.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00   41.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21   41.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17   41.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00   41.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21   41.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00   41.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43   42.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03   42.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24   42.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00   42.61 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.15   42.76 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00   42.77 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14   42.91 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_3_0_phy_rx_clk (net)
-                  0.06    0.00   42.91 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.17    0.22   43.12 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.09                           mprj/u_mac_wrap/clknet_3_6_0_phy_rx_clk (net)
-                  0.17    0.00   43.13 ^ mprj/u_mac_wrap/clkbuf_leaf_27_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15   43.28 ^ mprj/u_mac_wrap/clkbuf_leaf_27_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.01                           mprj/u_mac_wrap/clknet_leaf_27_phy_rx_clk (net)
-                  0.04    0.00   43.28 ^ mprj/u_mac_wrap/_08990_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   43.03   clock uncertainty
-                          2.33   45.36   clock reconvergence pessimism
-                         -0.12   45.24   library setup time
-                                 45.24   data required time
------------------------------------------------------------------------------
-                                 45.24   data required time
-                                -11.68   data arrival time
------------------------------------------------------------------------------
-                                 33.56   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_09078_
-            (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_09006_
-          (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Path Group: mac_rx_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_rx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1   11.12                           mprj_io[12] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[12] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.09 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.16    5.25 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
-                  0.06    0.00    5.25 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.27    5.52 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.12                           mprj/u_mac_wrap/clknet_3_5_0_phy_rx_clk (net)
-                  0.22    0.00    5.52 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.20    5.72 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.03                           mprj/u_mac_wrap/clknet_leaf_8_phy_rx_clk (net)
-                  0.06    0.00    5.72 ^ mprj/u_mac_wrap/_09078_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.05    0.34    6.06 ^ mprj/u_mac_wrap/_09078_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[1] (net)
-                  0.05    0.00    6.06 ^ mprj/u_mac_wrap/fanout451/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.17    0.20    6.27 ^ mprj/u_mac_wrap/fanout451/X (sky130_fd_sc_hd__clkbuf_2)
-     7    0.03                           mprj/u_mac_wrap/net451 (net)
-                  0.17    0.00    6.27 ^ mprj/u_mac_wrap/fanout450/A (sky130_fd_sc_hd__buf_2)
-                  0.21    0.27    6.54 ^ mprj/u_mac_wrap/fanout450/X (sky130_fd_sc_hd__buf_2)
-    10    0.04                           mprj/u_mac_wrap/net450 (net)
-                  0.21    0.00    6.54 ^ mprj/u_mac_wrap/_04293_/C (sky130_fd_sc_hd__and4_1)
-                  0.18    0.33    6.88 ^ mprj/u_mac_wrap/_04293_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01642_ (net)
-                  0.18    0.00    6.88 ^ mprj/u_mac_wrap/_04295_/D (sky130_fd_sc_hd__and4_2)
-                  0.14    0.35    7.22 ^ mprj/u_mac_wrap/_04295_/X (sky130_fd_sc_hd__and4_2)
-     6    0.02                           mprj/u_mac_wrap/_01644_ (net)
-                  0.14    0.00    7.22 ^ mprj/u_mac_wrap/_04297_/D (sky130_fd_sc_hd__and4_1)
-                  0.20    0.34    7.56 ^ mprj/u_mac_wrap/_04297_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01646_ (net)
-                  0.20    0.00    7.56 ^ mprj/u_mac_wrap/_04298_/C (sky130_fd_sc_hd__nand3_1)
-                  0.15    0.19    7.75 v mprj/u_mac_wrap/_04298_/Y (sky130_fd_sc_hd__nand3_1)
-     3    0.01                           mprj/u_mac_wrap/_01647_ (net)
-                  0.15    0.00    7.75 v mprj/u_mac_wrap/_04304_/A1 (sky130_fd_sc_hd__a2111o_1)
-                  0.13    0.50    8.25 v mprj/u_mac_wrap/_04304_/X (sky130_fd_sc_hd__a2111o_1)
-     3    0.02                           mprj/u_mac_wrap/_01653_ (net)
-                  0.13    0.00    8.25 v mprj/u_mac_wrap/_04320_/B1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.35    8.60 v mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_mac_wrap/_01669_ (net)
-                  0.05    0.00    8.60 v mprj/u_mac_wrap/_04321_/D (sky130_fd_sc_hd__or4_1)
-                  0.11    0.46    9.06 v mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01670_ (net)
-                  0.11    0.00    9.06 v mprj/u_mac_wrap/_04330_/B (sky130_fd_sc_hd__nor4_2)
-                  0.41    0.45    9.51 ^ mprj/u_mac_wrap/_04330_/Y (sky130_fd_sc_hd__nor4_2)
-     4    0.01                           mprj/u_mac_wrap/_01679_ (net)
-                  0.41    0.00    9.51 ^ mprj/u_mac_wrap/_04361_/A_N (sky130_fd_sc_hd__nand3b_1)
-                  0.20    0.27    9.78 ^ mprj/u_mac_wrap/_04361_/Y (sky130_fd_sc_hd__nand3b_1)
-     3    0.02                           mprj/u_mac_wrap/_01710_ (net)
-                  0.20    0.00    9.78 ^ mprj/u_mac_wrap/_04609_/A_N (sky130_fd_sc_hd__and2b_1)
-                  0.08    0.27   10.05 v mprj/u_mac_wrap/_04609_/X (sky130_fd_sc_hd__and2b_1)
-     3    0.01                           mprj/u_mac_wrap/_01928_ (net)
-                  0.08    0.00   10.05 v mprj/u_mac_wrap/_04620_/A (sky130_fd_sc_hd__or2_1)
-                  0.11    0.32   10.37 v mprj/u_mac_wrap/_04620_/X (sky130_fd_sc_hd__or2_1)
-     3    0.02                           mprj/u_mac_wrap/_01939_ (net)
-                  0.11    0.00   10.37 v mprj/u_mac_wrap/_06049_/A (sky130_fd_sc_hd__or3_1)
-                  0.14    0.50   10.87 v mprj/u_mac_wrap/_06049_/X (sky130_fd_sc_hd__or3_1)
-     2    0.02                           mprj/u_mac_wrap/_02846_ (net)
-                  0.14    0.00   10.87 v mprj/u_mac_wrap/fanout237/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.12    0.23   11.10 v mprj/u_mac_wrap/fanout237/X (sky130_fd_sc_hd__clkbuf_2)
-    10    0.03                           mprj/u_mac_wrap/net237 (net)
-                  0.12    0.00   11.10 v mprj/u_mac_wrap/fanout236/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.10    0.25   11.34 v mprj/u_mac_wrap/fanout236/X (sky130_fd_sc_hd__clkbuf_4)
-    10    0.05                           mprj/u_mac_wrap/net236 (net)
-                  0.10    0.00   11.34 v mprj/u_mac_wrap/_06067_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.33   11.68 v mprj/u_mac_wrap/_06067_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00538_ (net)
-                  0.05    0.00   11.68 v mprj/u_mac_wrap/_09006_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 11.68   data arrival time
-
-                         40.00   40.00   clock mac_rx_clk (rise edge)
-                          0.00   40.00   clock source latency
-                  1.00    0.00   40.00 ^ mprj_io[12] (inout)
-     1    6.12                           mprj_io[12] (net)
-                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[12] (net)
-                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00   41.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10   41.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00   41.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21   41.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17   41.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00   41.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21   41.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00   41.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43   42.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03   42.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24   42.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00   42.61 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.15   42.76 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00   42.77 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14   42.91 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_3_0_phy_rx_clk (net)
-                  0.06    0.00   42.91 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.17    0.22   43.12 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.09                           mprj/u_mac_wrap/clknet_3_6_0_phy_rx_clk (net)
-                  0.17    0.00   43.13 ^ mprj/u_mac_wrap/clkbuf_leaf_27_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15   43.28 ^ mprj/u_mac_wrap/clkbuf_leaf_27_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.01                           mprj/u_mac_wrap/clknet_leaf_27_phy_rx_clk (net)
-                  0.04    0.00   43.28 ^ mprj/u_mac_wrap/_09006_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   43.03   clock uncertainty
-                          2.33   45.36   clock reconvergence pessimism
-                         -0.12   45.24   library setup time
-                                 45.24   data required time
------------------------------------------------------------------------------
-                                 45.24   data required time
-                                -11.68   data arrival time
------------------------------------------------------------------------------
-                                 33.57   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_09078_
-            (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_08998_
-          (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Path Group: mac_rx_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_rx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1   11.12                           mprj_io[12] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[12] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.09 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.16    5.25 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
-                  0.06    0.00    5.25 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.27    5.52 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.12                           mprj/u_mac_wrap/clknet_3_5_0_phy_rx_clk (net)
-                  0.22    0.00    5.52 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.20    5.72 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.03                           mprj/u_mac_wrap/clknet_leaf_8_phy_rx_clk (net)
-                  0.06    0.00    5.72 ^ mprj/u_mac_wrap/_09078_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.05    0.34    6.06 ^ mprj/u_mac_wrap/_09078_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[1] (net)
-                  0.05    0.00    6.06 ^ mprj/u_mac_wrap/fanout451/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.17    0.20    6.27 ^ mprj/u_mac_wrap/fanout451/X (sky130_fd_sc_hd__clkbuf_2)
-     7    0.03                           mprj/u_mac_wrap/net451 (net)
-                  0.17    0.00    6.27 ^ mprj/u_mac_wrap/fanout450/A (sky130_fd_sc_hd__buf_2)
-                  0.21    0.27    6.54 ^ mprj/u_mac_wrap/fanout450/X (sky130_fd_sc_hd__buf_2)
-    10    0.04                           mprj/u_mac_wrap/net450 (net)
-                  0.21    0.00    6.54 ^ mprj/u_mac_wrap/_04293_/C (sky130_fd_sc_hd__and4_1)
-                  0.18    0.33    6.88 ^ mprj/u_mac_wrap/_04293_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01642_ (net)
-                  0.18    0.00    6.88 ^ mprj/u_mac_wrap/_04295_/D (sky130_fd_sc_hd__and4_2)
-                  0.14    0.35    7.22 ^ mprj/u_mac_wrap/_04295_/X (sky130_fd_sc_hd__and4_2)
-     6    0.02                           mprj/u_mac_wrap/_01644_ (net)
-                  0.14    0.00    7.22 ^ mprj/u_mac_wrap/_04297_/D (sky130_fd_sc_hd__and4_1)
-                  0.20    0.34    7.56 ^ mprj/u_mac_wrap/_04297_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01646_ (net)
-                  0.20    0.00    7.56 ^ mprj/u_mac_wrap/_04298_/C (sky130_fd_sc_hd__nand3_1)
-                  0.15    0.19    7.75 v mprj/u_mac_wrap/_04298_/Y (sky130_fd_sc_hd__nand3_1)
-     3    0.01                           mprj/u_mac_wrap/_01647_ (net)
-                  0.15    0.00    7.75 v mprj/u_mac_wrap/_04304_/A1 (sky130_fd_sc_hd__a2111o_1)
-                  0.13    0.50    8.25 v mprj/u_mac_wrap/_04304_/X (sky130_fd_sc_hd__a2111o_1)
-     3    0.02                           mprj/u_mac_wrap/_01653_ (net)
-                  0.13    0.00    8.25 v mprj/u_mac_wrap/_04320_/B1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.35    8.60 v mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_mac_wrap/_01669_ (net)
-                  0.05    0.00    8.60 v mprj/u_mac_wrap/_04321_/D (sky130_fd_sc_hd__or4_1)
-                  0.11    0.46    9.06 v mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01670_ (net)
-                  0.11    0.00    9.06 v mprj/u_mac_wrap/_04330_/B (sky130_fd_sc_hd__nor4_2)
-                  0.41    0.45    9.51 ^ mprj/u_mac_wrap/_04330_/Y (sky130_fd_sc_hd__nor4_2)
-     4    0.01                           mprj/u_mac_wrap/_01679_ (net)
-                  0.41    0.00    9.51 ^ mprj/u_mac_wrap/_04361_/A_N (sky130_fd_sc_hd__nand3b_1)
-                  0.20    0.27    9.78 ^ mprj/u_mac_wrap/_04361_/Y (sky130_fd_sc_hd__nand3b_1)
-     3    0.02                           mprj/u_mac_wrap/_01710_ (net)
-                  0.20    0.00    9.78 ^ mprj/u_mac_wrap/_04609_/A_N (sky130_fd_sc_hd__and2b_1)
-                  0.08    0.27   10.05 v mprj/u_mac_wrap/_04609_/X (sky130_fd_sc_hd__and2b_1)
-     3    0.01                           mprj/u_mac_wrap/_01928_ (net)
-                  0.08    0.00   10.05 v mprj/u_mac_wrap/_04620_/A (sky130_fd_sc_hd__or2_1)
-                  0.11    0.32   10.37 v mprj/u_mac_wrap/_04620_/X (sky130_fd_sc_hd__or2_1)
-     3    0.02                           mprj/u_mac_wrap/_01939_ (net)
-                  0.11    0.00   10.37 v mprj/u_mac_wrap/_06049_/A (sky130_fd_sc_hd__or3_1)
-                  0.14    0.50   10.87 v mprj/u_mac_wrap/_06049_/X (sky130_fd_sc_hd__or3_1)
-     2    0.02                           mprj/u_mac_wrap/_02846_ (net)
-                  0.14    0.00   10.87 v mprj/u_mac_wrap/fanout237/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.12    0.23   11.10 v mprj/u_mac_wrap/fanout237/X (sky130_fd_sc_hd__clkbuf_2)
-    10    0.03                           mprj/u_mac_wrap/net237 (net)
-                  0.12    0.00   11.10 v mprj/u_mac_wrap/fanout236/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.10    0.25   11.34 v mprj/u_mac_wrap/fanout236/X (sky130_fd_sc_hd__clkbuf_4)
-    10    0.05                           mprj/u_mac_wrap/net236 (net)
-                  0.10    0.00   11.34 v mprj/u_mac_wrap/_06059_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.33   11.67 v mprj/u_mac_wrap/_06059_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00530_ (net)
-                  0.05    0.00   11.67 v mprj/u_mac_wrap/_08998_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 11.67   data arrival time
-
-                         40.00   40.00   clock mac_rx_clk (rise edge)
-                          0.00   40.00   clock source latency
-                  1.00    0.00   40.00 ^ mprj_io[12] (inout)
-     1    6.12                           mprj_io[12] (net)
-                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[12] (net)
-                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00   41.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10   41.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00   41.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21   41.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17   41.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00   41.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21   41.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00   41.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43   42.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03   42.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24   42.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00   42.61 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.15   42.76 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00   42.77 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14   42.91 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_3_0_phy_rx_clk (net)
-                  0.06    0.00   42.91 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.17    0.22   43.12 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.09                           mprj/u_mac_wrap/clknet_3_6_0_phy_rx_clk (net)
-                  0.17    0.00   43.13 ^ mprj/u_mac_wrap/clkbuf_leaf_27_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15   43.28 ^ mprj/u_mac_wrap/clkbuf_leaf_27_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.01                           mprj/u_mac_wrap/clknet_leaf_27_phy_rx_clk (net)
-                  0.04    0.00   43.28 ^ mprj/u_mac_wrap/_08998_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   43.03   clock uncertainty
-                          2.33   45.36   clock reconvergence pessimism
-                         -0.12   45.24   library setup time
-                                 45.24   data required time
------------------------------------------------------------------------------
-                                 45.24   data required time
-                                -11.67   data arrival time
------------------------------------------------------------------------------
-                                 33.57   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_09078_
-            (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_09014_
-          (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Path Group: mac_rx_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_rx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1   11.12                           mprj_io[12] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[12] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.09 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.16    5.25 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
-                  0.06    0.00    5.25 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.27    5.52 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.12                           mprj/u_mac_wrap/clknet_3_5_0_phy_rx_clk (net)
-                  0.22    0.00    5.52 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.20    5.72 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.03                           mprj/u_mac_wrap/clknet_leaf_8_phy_rx_clk (net)
-                  0.06    0.00    5.72 ^ mprj/u_mac_wrap/_09078_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.05    0.34    6.06 ^ mprj/u_mac_wrap/_09078_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[1] (net)
-                  0.05    0.00    6.06 ^ mprj/u_mac_wrap/fanout451/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.17    0.20    6.27 ^ mprj/u_mac_wrap/fanout451/X (sky130_fd_sc_hd__clkbuf_2)
-     7    0.03                           mprj/u_mac_wrap/net451 (net)
-                  0.17    0.00    6.27 ^ mprj/u_mac_wrap/fanout450/A (sky130_fd_sc_hd__buf_2)
-                  0.21    0.27    6.54 ^ mprj/u_mac_wrap/fanout450/X (sky130_fd_sc_hd__buf_2)
-    10    0.04                           mprj/u_mac_wrap/net450 (net)
-                  0.21    0.00    6.54 ^ mprj/u_mac_wrap/_04293_/C (sky130_fd_sc_hd__and4_1)
-                  0.18    0.33    6.88 ^ mprj/u_mac_wrap/_04293_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01642_ (net)
-                  0.18    0.00    6.88 ^ mprj/u_mac_wrap/_04295_/D (sky130_fd_sc_hd__and4_2)
-                  0.14    0.35    7.22 ^ mprj/u_mac_wrap/_04295_/X (sky130_fd_sc_hd__and4_2)
-     6    0.02                           mprj/u_mac_wrap/_01644_ (net)
-                  0.14    0.00    7.22 ^ mprj/u_mac_wrap/_04297_/D (sky130_fd_sc_hd__and4_1)
-                  0.20    0.34    7.56 ^ mprj/u_mac_wrap/_04297_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01646_ (net)
-                  0.20    0.00    7.56 ^ mprj/u_mac_wrap/_04298_/C (sky130_fd_sc_hd__nand3_1)
-                  0.15    0.19    7.75 v mprj/u_mac_wrap/_04298_/Y (sky130_fd_sc_hd__nand3_1)
-     3    0.01                           mprj/u_mac_wrap/_01647_ (net)
-                  0.15    0.00    7.75 v mprj/u_mac_wrap/_04304_/A1 (sky130_fd_sc_hd__a2111o_1)
-                  0.13    0.50    8.25 v mprj/u_mac_wrap/_04304_/X (sky130_fd_sc_hd__a2111o_1)
-     3    0.02                           mprj/u_mac_wrap/_01653_ (net)
-                  0.13    0.00    8.25 v mprj/u_mac_wrap/_04320_/B1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.35    8.60 v mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_mac_wrap/_01669_ (net)
-                  0.05    0.00    8.60 v mprj/u_mac_wrap/_04321_/D (sky130_fd_sc_hd__or4_1)
-                  0.11    0.46    9.06 v mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01670_ (net)
-                  0.11    0.00    9.06 v mprj/u_mac_wrap/_04330_/B (sky130_fd_sc_hd__nor4_2)
-                  0.41    0.45    9.51 ^ mprj/u_mac_wrap/_04330_/Y (sky130_fd_sc_hd__nor4_2)
-     4    0.01                           mprj/u_mac_wrap/_01679_ (net)
-                  0.41    0.00    9.51 ^ mprj/u_mac_wrap/_04361_/A_N (sky130_fd_sc_hd__nand3b_1)
-                  0.20    0.27    9.78 ^ mprj/u_mac_wrap/_04361_/Y (sky130_fd_sc_hd__nand3b_1)
-     3    0.02                           mprj/u_mac_wrap/_01710_ (net)
-                  0.20    0.00    9.78 ^ mprj/u_mac_wrap/_04609_/A_N (sky130_fd_sc_hd__and2b_1)
-                  0.08    0.27   10.05 v mprj/u_mac_wrap/_04609_/X (sky130_fd_sc_hd__and2b_1)
-     3    0.01                           mprj/u_mac_wrap/_01928_ (net)
-                  0.08    0.00   10.05 v mprj/u_mac_wrap/_04620_/A (sky130_fd_sc_hd__or2_1)
-                  0.11    0.32   10.37 v mprj/u_mac_wrap/_04620_/X (sky130_fd_sc_hd__or2_1)
-     3    0.02                           mprj/u_mac_wrap/_01939_ (net)
-                  0.11    0.00   10.37 v mprj/u_mac_wrap/_06049_/A (sky130_fd_sc_hd__or3_1)
-                  0.14    0.50   10.87 v mprj/u_mac_wrap/_06049_/X (sky130_fd_sc_hd__or3_1)
-     2    0.02                           mprj/u_mac_wrap/_02846_ (net)
-                  0.14    0.00   10.87 v mprj/u_mac_wrap/fanout237/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.12    0.23   11.10 v mprj/u_mac_wrap/fanout237/X (sky130_fd_sc_hd__clkbuf_2)
-    10    0.03                           mprj/u_mac_wrap/net237 (net)
-                  0.12    0.00   11.10 v mprj/u_mac_wrap/fanout236/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.10    0.25   11.34 v mprj/u_mac_wrap/fanout236/X (sky130_fd_sc_hd__clkbuf_4)
-    10    0.05                           mprj/u_mac_wrap/net236 (net)
-                  0.10    0.00   11.34 v mprj/u_mac_wrap/_06075_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.34   11.68 v mprj/u_mac_wrap/_06075_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00546_ (net)
-                  0.05    0.00   11.68 v mprj/u_mac_wrap/_09014_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 11.68   data arrival time
-
-                         40.00   40.00   clock mac_rx_clk (rise edge)
-                          0.00   40.00   clock source latency
-                  1.00    0.00   40.00 ^ mprj_io[12] (inout)
-     1    6.12                           mprj_io[12] (net)
-                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[12] (net)
-                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00   41.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10   41.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00   41.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21   41.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17   41.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00   41.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21   41.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00   41.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43   42.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03   42.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24   42.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00   42.61 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.15   42.76 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00   42.77 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14   42.91 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_3_0_phy_rx_clk (net)
-                  0.06    0.00   42.91 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.17    0.22   43.12 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.09                           mprj/u_mac_wrap/clknet_3_6_0_phy_rx_clk (net)
-                  0.17    0.00   43.13 ^ mprj/u_mac_wrap/clkbuf_leaf_26_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.17   43.29 ^ mprj/u_mac_wrap/clkbuf_leaf_26_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.03                           mprj/u_mac_wrap/clknet_leaf_26_phy_rx_clk (net)
-                  0.05    0.00   43.29 ^ mprj/u_mac_wrap/_09014_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   43.04   clock uncertainty
-                          2.33   45.37   clock reconvergence pessimism
-                         -0.12   45.25   library setup time
-                                 45.25   data required time
------------------------------------------------------------------------------
-                                 45.25   data required time
-                                -11.68   data arrival time
------------------------------------------------------------------------------
-                                 33.57   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_09078_
-            (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_09007_
-          (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Path Group: mac_rx_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_rx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1   11.12                           mprj_io[12] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[12] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.09 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.16    5.25 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
-                  0.06    0.00    5.25 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.27    5.52 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.12                           mprj/u_mac_wrap/clknet_3_5_0_phy_rx_clk (net)
-                  0.22    0.00    5.52 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.20    5.72 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.03                           mprj/u_mac_wrap/clknet_leaf_8_phy_rx_clk (net)
-                  0.06    0.00    5.72 ^ mprj/u_mac_wrap/_09078_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.05    0.34    6.06 ^ mprj/u_mac_wrap/_09078_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[1] (net)
-                  0.05    0.00    6.06 ^ mprj/u_mac_wrap/fanout451/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.17    0.20    6.27 ^ mprj/u_mac_wrap/fanout451/X (sky130_fd_sc_hd__clkbuf_2)
-     7    0.03                           mprj/u_mac_wrap/net451 (net)
-                  0.17    0.00    6.27 ^ mprj/u_mac_wrap/fanout450/A (sky130_fd_sc_hd__buf_2)
-                  0.21    0.27    6.54 ^ mprj/u_mac_wrap/fanout450/X (sky130_fd_sc_hd__buf_2)
-    10    0.04                           mprj/u_mac_wrap/net450 (net)
-                  0.21    0.00    6.54 ^ mprj/u_mac_wrap/_04293_/C (sky130_fd_sc_hd__and4_1)
-                  0.18    0.33    6.88 ^ mprj/u_mac_wrap/_04293_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01642_ (net)
-                  0.18    0.00    6.88 ^ mprj/u_mac_wrap/_04295_/D (sky130_fd_sc_hd__and4_2)
-                  0.14    0.35    7.22 ^ mprj/u_mac_wrap/_04295_/X (sky130_fd_sc_hd__and4_2)
-     6    0.02                           mprj/u_mac_wrap/_01644_ (net)
-                  0.14    0.00    7.22 ^ mprj/u_mac_wrap/_04297_/D (sky130_fd_sc_hd__and4_1)
-                  0.20    0.34    7.56 ^ mprj/u_mac_wrap/_04297_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01646_ (net)
-                  0.20    0.00    7.56 ^ mprj/u_mac_wrap/_04298_/C (sky130_fd_sc_hd__nand3_1)
-                  0.15    0.19    7.75 v mprj/u_mac_wrap/_04298_/Y (sky130_fd_sc_hd__nand3_1)
-     3    0.01                           mprj/u_mac_wrap/_01647_ (net)
-                  0.15    0.00    7.75 v mprj/u_mac_wrap/_04304_/A1 (sky130_fd_sc_hd__a2111o_1)
-                  0.13    0.50    8.25 v mprj/u_mac_wrap/_04304_/X (sky130_fd_sc_hd__a2111o_1)
-     3    0.02                           mprj/u_mac_wrap/_01653_ (net)
-                  0.13    0.00    8.25 v mprj/u_mac_wrap/_04320_/B1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.35    8.60 v mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_mac_wrap/_01669_ (net)
-                  0.05    0.00    8.60 v mprj/u_mac_wrap/_04321_/D (sky130_fd_sc_hd__or4_1)
-                  0.11    0.46    9.06 v mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01670_ (net)
-                  0.11    0.00    9.06 v mprj/u_mac_wrap/_04330_/B (sky130_fd_sc_hd__nor4_2)
-                  0.41    0.45    9.51 ^ mprj/u_mac_wrap/_04330_/Y (sky130_fd_sc_hd__nor4_2)
-     4    0.01                           mprj/u_mac_wrap/_01679_ (net)
-                  0.41    0.00    9.51 ^ mprj/u_mac_wrap/_04361_/A_N (sky130_fd_sc_hd__nand3b_1)
-                  0.20    0.27    9.78 ^ mprj/u_mac_wrap/_04361_/Y (sky130_fd_sc_hd__nand3b_1)
-     3    0.02                           mprj/u_mac_wrap/_01710_ (net)
-                  0.20    0.00    9.78 ^ mprj/u_mac_wrap/_04609_/A_N (sky130_fd_sc_hd__and2b_1)
-                  0.08    0.27   10.05 v mprj/u_mac_wrap/_04609_/X (sky130_fd_sc_hd__and2b_1)
-     3    0.01                           mprj/u_mac_wrap/_01928_ (net)
-                  0.08    0.00   10.05 v mprj/u_mac_wrap/_04620_/A (sky130_fd_sc_hd__or2_1)
-                  0.11    0.32   10.37 v mprj/u_mac_wrap/_04620_/X (sky130_fd_sc_hd__or2_1)
-     3    0.02                           mprj/u_mac_wrap/_01939_ (net)
-                  0.11    0.00   10.37 v mprj/u_mac_wrap/_06049_/A (sky130_fd_sc_hd__or3_1)
-                  0.14    0.50   10.87 v mprj/u_mac_wrap/_06049_/X (sky130_fd_sc_hd__or3_1)
-     2    0.02                           mprj/u_mac_wrap/_02846_ (net)
-                  0.14    0.00   10.87 v mprj/u_mac_wrap/fanout237/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.12    0.23   11.10 v mprj/u_mac_wrap/fanout237/X (sky130_fd_sc_hd__clkbuf_2)
-    10    0.03                           mprj/u_mac_wrap/net237 (net)
-                  0.12    0.00   11.10 v mprj/u_mac_wrap/fanout234/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.10    0.25   11.34 v mprj/u_mac_wrap/fanout234/X (sky130_fd_sc_hd__clkbuf_4)
-    10    0.05                           mprj/u_mac_wrap/net234 (net)
-                  0.10    0.00   11.35 v mprj/u_mac_wrap/_06068_/S (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.34   11.68 v mprj/u_mac_wrap/_06068_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00539_ (net)
-                  0.06    0.00   11.68 v mprj/u_mac_wrap/_09007_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 11.68   data arrival time
-
-                         40.00   40.00   clock mac_rx_clk (rise edge)
-                          0.00   40.00   clock source latency
-                  1.00    0.00   40.00 ^ mprj_io[12] (inout)
-     1    6.12                           mprj_io[12] (net)
-                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[12] (net)
-                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00   41.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10   41.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00   41.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21   41.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17   41.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00   41.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21   41.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00   41.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43   42.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03   42.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24   42.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00   42.61 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.15   42.76 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00   42.77 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14   42.91 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_3_0_phy_rx_clk (net)
-                  0.06    0.00   42.91 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.17    0.22   43.12 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.09                           mprj/u_mac_wrap/clknet_3_6_0_phy_rx_clk (net)
-                  0.17    0.00   43.13 ^ mprj/u_mac_wrap/clkbuf_leaf_31_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.18   43.31 ^ mprj/u_mac_wrap/clkbuf_leaf_31_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    15    0.05                           mprj/u_mac_wrap/clknet_leaf_31_phy_rx_clk (net)
-                  0.07    0.00   43.31 ^ mprj/u_mac_wrap/_09007_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   43.06   clock uncertainty
-                          2.33   45.39   clock reconvergence pessimism
-                         -0.11   45.27   library setup time
-                                 45.27   data required time
------------------------------------------------------------------------------
-                                 45.27   data required time
-                                -11.68   data arrival time
------------------------------------------------------------------------------
-                                 33.59   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_09078_
-            (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_08997_
-          (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Path Group: mac_rx_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_rx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1   11.12                           mprj_io[12] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[12] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.09 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.16    5.25 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
-                  0.06    0.00    5.25 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.27    5.52 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.12                           mprj/u_mac_wrap/clknet_3_5_0_phy_rx_clk (net)
-                  0.22    0.00    5.52 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.20    5.72 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.03                           mprj/u_mac_wrap/clknet_leaf_8_phy_rx_clk (net)
-                  0.06    0.00    5.72 ^ mprj/u_mac_wrap/_09078_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.05    0.34    6.06 ^ mprj/u_mac_wrap/_09078_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[1] (net)
-                  0.05    0.00    6.06 ^ mprj/u_mac_wrap/fanout451/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.17    0.20    6.27 ^ mprj/u_mac_wrap/fanout451/X (sky130_fd_sc_hd__clkbuf_2)
-     7    0.03                           mprj/u_mac_wrap/net451 (net)
-                  0.17    0.00    6.27 ^ mprj/u_mac_wrap/fanout450/A (sky130_fd_sc_hd__buf_2)
-                  0.21    0.27    6.54 ^ mprj/u_mac_wrap/fanout450/X (sky130_fd_sc_hd__buf_2)
-    10    0.04                           mprj/u_mac_wrap/net450 (net)
-                  0.21    0.00    6.54 ^ mprj/u_mac_wrap/_04293_/C (sky130_fd_sc_hd__and4_1)
-                  0.18    0.33    6.88 ^ mprj/u_mac_wrap/_04293_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01642_ (net)
-                  0.18    0.00    6.88 ^ mprj/u_mac_wrap/_04295_/D (sky130_fd_sc_hd__and4_2)
-                  0.14    0.35    7.22 ^ mprj/u_mac_wrap/_04295_/X (sky130_fd_sc_hd__and4_2)
-     6    0.02                           mprj/u_mac_wrap/_01644_ (net)
-                  0.14    0.00    7.22 ^ mprj/u_mac_wrap/_04297_/D (sky130_fd_sc_hd__and4_1)
-                  0.20    0.34    7.56 ^ mprj/u_mac_wrap/_04297_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01646_ (net)
-                  0.20    0.00    7.56 ^ mprj/u_mac_wrap/_04298_/C (sky130_fd_sc_hd__nand3_1)
-                  0.15    0.19    7.75 v mprj/u_mac_wrap/_04298_/Y (sky130_fd_sc_hd__nand3_1)
-     3    0.01                           mprj/u_mac_wrap/_01647_ (net)
-                  0.15    0.00    7.75 v mprj/u_mac_wrap/_04304_/A1 (sky130_fd_sc_hd__a2111o_1)
-                  0.13    0.50    8.25 v mprj/u_mac_wrap/_04304_/X (sky130_fd_sc_hd__a2111o_1)
-     3    0.02                           mprj/u_mac_wrap/_01653_ (net)
-                  0.13    0.00    8.25 v mprj/u_mac_wrap/_04320_/B1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.35    8.60 v mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_mac_wrap/_01669_ (net)
-                  0.05    0.00    8.60 v mprj/u_mac_wrap/_04321_/D (sky130_fd_sc_hd__or4_1)
-                  0.11    0.46    9.06 v mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01670_ (net)
-                  0.11    0.00    9.06 v mprj/u_mac_wrap/_04330_/B (sky130_fd_sc_hd__nor4_2)
-                  0.41    0.45    9.51 ^ mprj/u_mac_wrap/_04330_/Y (sky130_fd_sc_hd__nor4_2)
-     4    0.01                           mprj/u_mac_wrap/_01679_ (net)
-                  0.41    0.00    9.51 ^ mprj/u_mac_wrap/_04361_/A_N (sky130_fd_sc_hd__nand3b_1)
-                  0.20    0.27    9.78 ^ mprj/u_mac_wrap/_04361_/Y (sky130_fd_sc_hd__nand3b_1)
-     3    0.02                           mprj/u_mac_wrap/_01710_ (net)
-                  0.20    0.00    9.78 ^ mprj/u_mac_wrap/_04609_/A_N (sky130_fd_sc_hd__and2b_1)
-                  0.08    0.27   10.05 v mprj/u_mac_wrap/_04609_/X (sky130_fd_sc_hd__and2b_1)
-     3    0.01                           mprj/u_mac_wrap/_01928_ (net)
-                  0.08    0.00   10.05 v mprj/u_mac_wrap/_04620_/A (sky130_fd_sc_hd__or2_1)
-                  0.11    0.32   10.37 v mprj/u_mac_wrap/_04620_/X (sky130_fd_sc_hd__or2_1)
-     3    0.02                           mprj/u_mac_wrap/_01939_ (net)
-                  0.11    0.00   10.37 v mprj/u_mac_wrap/_06049_/A (sky130_fd_sc_hd__or3_1)
-                  0.14    0.50   10.87 v mprj/u_mac_wrap/_06049_/X (sky130_fd_sc_hd__or3_1)
-     2    0.02                           mprj/u_mac_wrap/_02846_ (net)
-                  0.14    0.00   10.87 v mprj/u_mac_wrap/fanout237/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.12    0.23   11.10 v mprj/u_mac_wrap/fanout237/X (sky130_fd_sc_hd__clkbuf_2)
-    10    0.03                           mprj/u_mac_wrap/net237 (net)
-                  0.12    0.00   11.10 v mprj/u_mac_wrap/fanout234/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.10    0.25   11.34 v mprj/u_mac_wrap/fanout234/X (sky130_fd_sc_hd__clkbuf_4)
-    10    0.05                           mprj/u_mac_wrap/net234 (net)
-                  0.10    0.00   11.35 v mprj/u_mac_wrap/_06058_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.34   11.68 v mprj/u_mac_wrap/_06058_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00529_ (net)
-                  0.05    0.00   11.68 v mprj/u_mac_wrap/_08997_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 11.68   data arrival time
-
-                         40.00   40.00   clock mac_rx_clk (rise edge)
-                          0.00   40.00   clock source latency
-                  1.00    0.00   40.00 ^ mprj_io[12] (inout)
-     1    6.12                           mprj_io[12] (net)
-                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[12] (net)
-                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00   41.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10   41.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00   41.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21   41.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17   41.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00   41.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21   41.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00   41.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43   42.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03   42.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24   42.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00   42.61 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.15   42.76 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00   42.77 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14   42.91 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_3_0_phy_rx_clk (net)
-                  0.06    0.00   42.91 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.17    0.22   43.12 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.09                           mprj/u_mac_wrap/clknet_3_6_0_phy_rx_clk (net)
-                  0.17    0.00   43.13 ^ mprj/u_mac_wrap/clkbuf_leaf_31_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.18   43.31 ^ mprj/u_mac_wrap/clkbuf_leaf_31_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    15    0.05                           mprj/u_mac_wrap/clknet_leaf_31_phy_rx_clk (net)
-                  0.07    0.00   43.31 ^ mprj/u_mac_wrap/_08997_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   43.06   clock uncertainty
-                          2.33   45.39   clock reconvergence pessimism
-                         -0.11   45.27   library setup time
-                                 45.27   data required time
------------------------------------------------------------------------------
-                                 45.27   data required time
-                                -11.68   data arrival time
------------------------------------------------------------------------------
-                                 33.59   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_09078_
-            (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_09002_
-          (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Path Group: mac_rx_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_rx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1   11.12                           mprj_io[12] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[12] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.09 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.16    5.25 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
-                  0.06    0.00    5.25 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.27    5.52 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.12                           mprj/u_mac_wrap/clknet_3_5_0_phy_rx_clk (net)
-                  0.22    0.00    5.52 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.20    5.72 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.03                           mprj/u_mac_wrap/clknet_leaf_8_phy_rx_clk (net)
-                  0.06    0.00    5.72 ^ mprj/u_mac_wrap/_09078_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.05    0.34    6.06 ^ mprj/u_mac_wrap/_09078_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[1] (net)
-                  0.05    0.00    6.06 ^ mprj/u_mac_wrap/fanout451/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.17    0.20    6.27 ^ mprj/u_mac_wrap/fanout451/X (sky130_fd_sc_hd__clkbuf_2)
-     7    0.03                           mprj/u_mac_wrap/net451 (net)
-                  0.17    0.00    6.27 ^ mprj/u_mac_wrap/fanout450/A (sky130_fd_sc_hd__buf_2)
-                  0.21    0.27    6.54 ^ mprj/u_mac_wrap/fanout450/X (sky130_fd_sc_hd__buf_2)
-    10    0.04                           mprj/u_mac_wrap/net450 (net)
-                  0.21    0.00    6.54 ^ mprj/u_mac_wrap/_04293_/C (sky130_fd_sc_hd__and4_1)
-                  0.18    0.33    6.88 ^ mprj/u_mac_wrap/_04293_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01642_ (net)
-                  0.18    0.00    6.88 ^ mprj/u_mac_wrap/_04295_/D (sky130_fd_sc_hd__and4_2)
-                  0.14    0.35    7.22 ^ mprj/u_mac_wrap/_04295_/X (sky130_fd_sc_hd__and4_2)
-     6    0.02                           mprj/u_mac_wrap/_01644_ (net)
-                  0.14    0.00    7.22 ^ mprj/u_mac_wrap/_04297_/D (sky130_fd_sc_hd__and4_1)
-                  0.20    0.34    7.56 ^ mprj/u_mac_wrap/_04297_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01646_ (net)
-                  0.20    0.00    7.56 ^ mprj/u_mac_wrap/_04298_/C (sky130_fd_sc_hd__nand3_1)
-                  0.15    0.19    7.75 v mprj/u_mac_wrap/_04298_/Y (sky130_fd_sc_hd__nand3_1)
-     3    0.01                           mprj/u_mac_wrap/_01647_ (net)
-                  0.15    0.00    7.75 v mprj/u_mac_wrap/_04304_/A1 (sky130_fd_sc_hd__a2111o_1)
-                  0.13    0.50    8.25 v mprj/u_mac_wrap/_04304_/X (sky130_fd_sc_hd__a2111o_1)
-     3    0.02                           mprj/u_mac_wrap/_01653_ (net)
-                  0.13    0.00    8.25 v mprj/u_mac_wrap/_04320_/B1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.35    8.60 v mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_mac_wrap/_01669_ (net)
-                  0.05    0.00    8.60 v mprj/u_mac_wrap/_04321_/D (sky130_fd_sc_hd__or4_1)
-                  0.11    0.46    9.06 v mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01670_ (net)
-                  0.11    0.00    9.06 v mprj/u_mac_wrap/_04330_/B (sky130_fd_sc_hd__nor4_2)
-                  0.41    0.45    9.51 ^ mprj/u_mac_wrap/_04330_/Y (sky130_fd_sc_hd__nor4_2)
-     4    0.01                           mprj/u_mac_wrap/_01679_ (net)
-                  0.41    0.00    9.51 ^ mprj/u_mac_wrap/_04361_/A_N (sky130_fd_sc_hd__nand3b_1)
-                  0.20    0.27    9.78 ^ mprj/u_mac_wrap/_04361_/Y (sky130_fd_sc_hd__nand3b_1)
-     3    0.02                           mprj/u_mac_wrap/_01710_ (net)
-                  0.20    0.00    9.78 ^ mprj/u_mac_wrap/_04609_/A_N (sky130_fd_sc_hd__and2b_1)
-                  0.08    0.27   10.05 v mprj/u_mac_wrap/_04609_/X (sky130_fd_sc_hd__and2b_1)
-     3    0.01                           mprj/u_mac_wrap/_01928_ (net)
-                  0.08    0.00   10.05 v mprj/u_mac_wrap/_04620_/A (sky130_fd_sc_hd__or2_1)
-                  0.11    0.32   10.37 v mprj/u_mac_wrap/_04620_/X (sky130_fd_sc_hd__or2_1)
-     3    0.02                           mprj/u_mac_wrap/_01939_ (net)
-                  0.11    0.00   10.37 v mprj/u_mac_wrap/_06049_/A (sky130_fd_sc_hd__or3_1)
-                  0.14    0.50   10.87 v mprj/u_mac_wrap/_06049_/X (sky130_fd_sc_hd__or3_1)
-     2    0.02                           mprj/u_mac_wrap/_02846_ (net)
-                  0.14    0.00   10.87 v mprj/u_mac_wrap/fanout237/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.12    0.23   11.10 v mprj/u_mac_wrap/fanout237/X (sky130_fd_sc_hd__clkbuf_2)
-    10    0.03                           mprj/u_mac_wrap/net237 (net)
-                  0.12    0.00   11.10 v mprj/u_mac_wrap/fanout236/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.10    0.25   11.34 v mprj/u_mac_wrap/fanout236/X (sky130_fd_sc_hd__clkbuf_4)
-    10    0.05                           mprj/u_mac_wrap/net236 (net)
-                  0.10    0.00   11.35 v mprj/u_mac_wrap/_06063_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.33   11.68 v mprj/u_mac_wrap/_06063_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00534_ (net)
-                  0.05    0.00   11.68 v mprj/u_mac_wrap/_09002_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 11.68   data arrival time
-
-                         40.00   40.00   clock mac_rx_clk (rise edge)
-                          0.00   40.00   clock source latency
-                  1.00    0.00   40.00 ^ mprj_io[12] (inout)
-     1    6.12                           mprj_io[12] (net)
-                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[12] (net)
-                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00   41.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10   41.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00   41.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21   41.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17   41.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00   41.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21   41.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00   41.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43   42.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03   42.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24   42.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00   42.61 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.15   42.76 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00   42.77 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14   42.91 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_3_0_phy_rx_clk (net)
-                  0.06    0.00   42.91 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.17    0.22   43.12 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.09                           mprj/u_mac_wrap/clknet_3_6_0_phy_rx_clk (net)
-                  0.17    0.00   43.13 ^ mprj/u_mac_wrap/clkbuf_leaf_31_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.18   43.31 ^ mprj/u_mac_wrap/clkbuf_leaf_31_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    15    0.05                           mprj/u_mac_wrap/clknet_leaf_31_phy_rx_clk (net)
-                  0.07    0.00   43.31 ^ mprj/u_mac_wrap/_09002_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   43.06   clock uncertainty
-                          2.33   45.39   clock reconvergence pessimism
-                         -0.11   45.27   library setup time
-                                 45.27   data required time
------------------------------------------------------------------------------
-                                 45.27   data required time
-                                -11.68   data arrival time
------------------------------------------------------------------------------
-                                 33.59   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_09078_
-            (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_09015_
-          (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Path Group: mac_rx_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_rx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1   11.12                           mprj_io[12] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[12] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.09 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.16    5.25 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
-                  0.06    0.00    5.25 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.27    5.52 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.12                           mprj/u_mac_wrap/clknet_3_5_0_phy_rx_clk (net)
-                  0.22    0.00    5.52 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.20    5.72 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.03                           mprj/u_mac_wrap/clknet_leaf_8_phy_rx_clk (net)
-                  0.06    0.00    5.72 ^ mprj/u_mac_wrap/_09078_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.05    0.34    6.06 ^ mprj/u_mac_wrap/_09078_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[1] (net)
-                  0.05    0.00    6.06 ^ mprj/u_mac_wrap/fanout451/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.17    0.20    6.27 ^ mprj/u_mac_wrap/fanout451/X (sky130_fd_sc_hd__clkbuf_2)
-     7    0.03                           mprj/u_mac_wrap/net451 (net)
-                  0.17    0.00    6.27 ^ mprj/u_mac_wrap/fanout450/A (sky130_fd_sc_hd__buf_2)
-                  0.21    0.27    6.54 ^ mprj/u_mac_wrap/fanout450/X (sky130_fd_sc_hd__buf_2)
-    10    0.04                           mprj/u_mac_wrap/net450 (net)
-                  0.21    0.00    6.54 ^ mprj/u_mac_wrap/_04293_/C (sky130_fd_sc_hd__and4_1)
-                  0.18    0.33    6.88 ^ mprj/u_mac_wrap/_04293_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01642_ (net)
-                  0.18    0.00    6.88 ^ mprj/u_mac_wrap/_04295_/D (sky130_fd_sc_hd__and4_2)
-                  0.14    0.35    7.22 ^ mprj/u_mac_wrap/_04295_/X (sky130_fd_sc_hd__and4_2)
-     6    0.02                           mprj/u_mac_wrap/_01644_ (net)
-                  0.14    0.00    7.22 ^ mprj/u_mac_wrap/_04297_/D (sky130_fd_sc_hd__and4_1)
-                  0.20    0.34    7.56 ^ mprj/u_mac_wrap/_04297_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01646_ (net)
-                  0.20    0.00    7.56 ^ mprj/u_mac_wrap/_04298_/C (sky130_fd_sc_hd__nand3_1)
-                  0.15    0.19    7.75 v mprj/u_mac_wrap/_04298_/Y (sky130_fd_sc_hd__nand3_1)
-     3    0.01                           mprj/u_mac_wrap/_01647_ (net)
-                  0.15    0.00    7.75 v mprj/u_mac_wrap/_04304_/A1 (sky130_fd_sc_hd__a2111o_1)
-                  0.13    0.50    8.25 v mprj/u_mac_wrap/_04304_/X (sky130_fd_sc_hd__a2111o_1)
-     3    0.02                           mprj/u_mac_wrap/_01653_ (net)
-                  0.13    0.00    8.25 v mprj/u_mac_wrap/_04320_/B1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.35    8.60 v mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_mac_wrap/_01669_ (net)
-                  0.05    0.00    8.60 v mprj/u_mac_wrap/_04321_/D (sky130_fd_sc_hd__or4_1)
-                  0.11    0.46    9.06 v mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01670_ (net)
-                  0.11    0.00    9.06 v mprj/u_mac_wrap/_04330_/B (sky130_fd_sc_hd__nor4_2)
-                  0.41    0.45    9.51 ^ mprj/u_mac_wrap/_04330_/Y (sky130_fd_sc_hd__nor4_2)
-     4    0.01                           mprj/u_mac_wrap/_01679_ (net)
-                  0.41    0.00    9.51 ^ mprj/u_mac_wrap/_04361_/A_N (sky130_fd_sc_hd__nand3b_1)
-                  0.20    0.27    9.78 ^ mprj/u_mac_wrap/_04361_/Y (sky130_fd_sc_hd__nand3b_1)
-     3    0.02                           mprj/u_mac_wrap/_01710_ (net)
-                  0.20    0.00    9.78 ^ mprj/u_mac_wrap/_04609_/A_N (sky130_fd_sc_hd__and2b_1)
-                  0.08    0.27   10.05 v mprj/u_mac_wrap/_04609_/X (sky130_fd_sc_hd__and2b_1)
-     3    0.01                           mprj/u_mac_wrap/_01928_ (net)
-                  0.08    0.00   10.05 v mprj/u_mac_wrap/_04620_/A (sky130_fd_sc_hd__or2_1)
-                  0.11    0.32   10.37 v mprj/u_mac_wrap/_04620_/X (sky130_fd_sc_hd__or2_1)
-     3    0.02                           mprj/u_mac_wrap/_01939_ (net)
-                  0.11    0.00   10.37 v mprj/u_mac_wrap/_06049_/A (sky130_fd_sc_hd__or3_1)
-                  0.14    0.50   10.87 v mprj/u_mac_wrap/_06049_/X (sky130_fd_sc_hd__or3_1)
-     2    0.02                           mprj/u_mac_wrap/_02846_ (net)
-                  0.14    0.00   10.87 v mprj/u_mac_wrap/fanout237/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.12    0.23   11.10 v mprj/u_mac_wrap/fanout237/X (sky130_fd_sc_hd__clkbuf_2)
-    10    0.03                           mprj/u_mac_wrap/net237 (net)
-                  0.12    0.00   11.10 v mprj/u_mac_wrap/fanout236/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.10    0.25   11.34 v mprj/u_mac_wrap/fanout236/X (sky130_fd_sc_hd__clkbuf_4)
-    10    0.05                           mprj/u_mac_wrap/net236 (net)
-                  0.10    0.00   11.35 v mprj/u_mac_wrap/_06076_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.33   11.68 v mprj/u_mac_wrap/_06076_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00547_ (net)
-                  0.05    0.00   11.68 v mprj/u_mac_wrap/_09015_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 11.68   data arrival time
-
-                         40.00   40.00   clock mac_rx_clk (rise edge)
-                          0.00   40.00   clock source latency
-                  1.00    0.00   40.00 ^ mprj_io[12] (inout)
-     1    6.12                           mprj_io[12] (net)
-                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[12] (net)
-                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00   41.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10   41.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00   41.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21   41.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17   41.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00   41.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21   41.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00   41.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43   42.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03   42.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24   42.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00   42.61 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.15   42.76 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00   42.77 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14   42.91 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_3_0_phy_rx_clk (net)
-                  0.06    0.00   42.91 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.17    0.22   43.12 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.09                           mprj/u_mac_wrap/clknet_3_6_0_phy_rx_clk (net)
-                  0.17    0.00   43.13 ^ mprj/u_mac_wrap/clkbuf_leaf_31_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.18   43.31 ^ mprj/u_mac_wrap/clkbuf_leaf_31_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    15    0.05                           mprj/u_mac_wrap/clknet_leaf_31_phy_rx_clk (net)
-                  0.07    0.00   43.31 ^ mprj/u_mac_wrap/_09015_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   43.06   clock uncertainty
-                          2.33   45.39   clock reconvergence pessimism
-                         -0.11   45.28   library setup time
-                                 45.28   data required time
------------------------------------------------------------------------------
-                                 45.28   data required time
-                                -11.68   data arrival time
------------------------------------------------------------------------------
-                                 33.60   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_09078_
-            (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_08991_
-          (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Path Group: mac_rx_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_rx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1   11.12                           mprj_io[12] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[12] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.09 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.16    5.25 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
-                  0.06    0.00    5.25 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.27    5.52 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.12                           mprj/u_mac_wrap/clknet_3_5_0_phy_rx_clk (net)
-                  0.22    0.00    5.52 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.20    5.72 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.03                           mprj/u_mac_wrap/clknet_leaf_8_phy_rx_clk (net)
-                  0.06    0.00    5.72 ^ mprj/u_mac_wrap/_09078_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.05    0.34    6.06 ^ mprj/u_mac_wrap/_09078_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[1] (net)
-                  0.05    0.00    6.06 ^ mprj/u_mac_wrap/fanout451/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.17    0.20    6.27 ^ mprj/u_mac_wrap/fanout451/X (sky130_fd_sc_hd__clkbuf_2)
-     7    0.03                           mprj/u_mac_wrap/net451 (net)
-                  0.17    0.00    6.27 ^ mprj/u_mac_wrap/fanout450/A (sky130_fd_sc_hd__buf_2)
-                  0.21    0.27    6.54 ^ mprj/u_mac_wrap/fanout450/X (sky130_fd_sc_hd__buf_2)
-    10    0.04                           mprj/u_mac_wrap/net450 (net)
-                  0.21    0.00    6.54 ^ mprj/u_mac_wrap/_04293_/C (sky130_fd_sc_hd__and4_1)
-                  0.18    0.33    6.88 ^ mprj/u_mac_wrap/_04293_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01642_ (net)
-                  0.18    0.00    6.88 ^ mprj/u_mac_wrap/_04295_/D (sky130_fd_sc_hd__and4_2)
-                  0.14    0.35    7.22 ^ mprj/u_mac_wrap/_04295_/X (sky130_fd_sc_hd__and4_2)
-     6    0.02                           mprj/u_mac_wrap/_01644_ (net)
-                  0.14    0.00    7.22 ^ mprj/u_mac_wrap/_04297_/D (sky130_fd_sc_hd__and4_1)
-                  0.20    0.34    7.56 ^ mprj/u_mac_wrap/_04297_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01646_ (net)
-                  0.20    0.00    7.56 ^ mprj/u_mac_wrap/_04298_/C (sky130_fd_sc_hd__nand3_1)
-                  0.15    0.19    7.75 v mprj/u_mac_wrap/_04298_/Y (sky130_fd_sc_hd__nand3_1)
-     3    0.01                           mprj/u_mac_wrap/_01647_ (net)
-                  0.15    0.00    7.75 v mprj/u_mac_wrap/_04304_/A1 (sky130_fd_sc_hd__a2111o_1)
-                  0.13    0.50    8.25 v mprj/u_mac_wrap/_04304_/X (sky130_fd_sc_hd__a2111o_1)
-     3    0.02                           mprj/u_mac_wrap/_01653_ (net)
-                  0.13    0.00    8.25 v mprj/u_mac_wrap/_04320_/B1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.35    8.60 v mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_mac_wrap/_01669_ (net)
-                  0.05    0.00    8.60 v mprj/u_mac_wrap/_04321_/D (sky130_fd_sc_hd__or4_1)
-                  0.11    0.46    9.06 v mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01670_ (net)
-                  0.11    0.00    9.06 v mprj/u_mac_wrap/_04330_/B (sky130_fd_sc_hd__nor4_2)
-                  0.41    0.45    9.51 ^ mprj/u_mac_wrap/_04330_/Y (sky130_fd_sc_hd__nor4_2)
-     4    0.01                           mprj/u_mac_wrap/_01679_ (net)
-                  0.41    0.00    9.51 ^ mprj/u_mac_wrap/_04361_/A_N (sky130_fd_sc_hd__nand3b_1)
-                  0.20    0.27    9.78 ^ mprj/u_mac_wrap/_04361_/Y (sky130_fd_sc_hd__nand3b_1)
-     3    0.02                           mprj/u_mac_wrap/_01710_ (net)
-                  0.20    0.00    9.78 ^ mprj/u_mac_wrap/_04609_/A_N (sky130_fd_sc_hd__and2b_1)
-                  0.08    0.27   10.05 v mprj/u_mac_wrap/_04609_/X (sky130_fd_sc_hd__and2b_1)
-     3    0.01                           mprj/u_mac_wrap/_01928_ (net)
-                  0.08    0.00   10.05 v mprj/u_mac_wrap/_04620_/A (sky130_fd_sc_hd__or2_1)
-                  0.11    0.32   10.37 v mprj/u_mac_wrap/_04620_/X (sky130_fd_sc_hd__or2_1)
-     3    0.02                           mprj/u_mac_wrap/_01939_ (net)
-                  0.11    0.00   10.37 v mprj/u_mac_wrap/_06049_/A (sky130_fd_sc_hd__or3_1)
-                  0.14    0.50   10.87 v mprj/u_mac_wrap/_06049_/X (sky130_fd_sc_hd__or3_1)
-     2    0.02                           mprj/u_mac_wrap/_02846_ (net)
-                  0.14    0.00   10.87 v mprj/u_mac_wrap/fanout237/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.12    0.23   11.10 v mprj/u_mac_wrap/fanout237/X (sky130_fd_sc_hd__clkbuf_2)
-    10    0.03                           mprj/u_mac_wrap/net237 (net)
-                  0.12    0.00   11.10 v mprj/u_mac_wrap/fanout234/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.10    0.25   11.34 v mprj/u_mac_wrap/fanout234/X (sky130_fd_sc_hd__clkbuf_4)
-    10    0.05                           mprj/u_mac_wrap/net234 (net)
-                  0.10    0.00   11.35 v mprj/u_mac_wrap/_06052_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.33   11.68 v mprj/u_mac_wrap/_06052_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00523_ (net)
-                  0.05    0.00   11.68 v mprj/u_mac_wrap/_08991_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 11.68   data arrival time
-
-                         40.00   40.00   clock mac_rx_clk (rise edge)
-                          0.00   40.00   clock source latency
-                  1.00    0.00   40.00 ^ mprj_io[12] (inout)
-     1    6.12                           mprj_io[12] (net)
-                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[12] (net)
-                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00   41.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10   41.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00   41.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21   41.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17   41.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00   41.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21   41.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00   41.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43   42.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03   42.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24   42.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00   42.61 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.15   42.76 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00   42.77 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14   42.91 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_3_0_phy_rx_clk (net)
-                  0.06    0.00   42.91 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.17    0.22   43.12 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.09                           mprj/u_mac_wrap/clknet_3_6_0_phy_rx_clk (net)
-                  0.17    0.00   43.13 ^ mprj/u_mac_wrap/clkbuf_leaf_31_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.18   43.31 ^ mprj/u_mac_wrap/clkbuf_leaf_31_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    15    0.05                           mprj/u_mac_wrap/clknet_leaf_31_phy_rx_clk (net)
-                  0.07    0.00   43.31 ^ mprj/u_mac_wrap/_08991_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   43.06   clock uncertainty
-                          2.33   45.39   clock reconvergence pessimism
-                         -0.11   45.28   library setup time
-                                 45.28   data required time
------------------------------------------------------------------------------
-                                 45.28   data required time
-                                -11.68   data arrival time
------------------------------------------------------------------------------
-                                 33.60   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_09078_
-            (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_09016_
-          (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Path Group: mac_rx_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_rx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1   11.12                           mprj_io[12] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[12] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.09 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.16    5.25 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
-                  0.06    0.00    5.25 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.27    5.52 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.12                           mprj/u_mac_wrap/clknet_3_5_0_phy_rx_clk (net)
-                  0.22    0.00    5.52 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.20    5.72 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.03                           mprj/u_mac_wrap/clknet_leaf_8_phy_rx_clk (net)
-                  0.06    0.00    5.72 ^ mprj/u_mac_wrap/_09078_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.05    0.34    6.06 ^ mprj/u_mac_wrap/_09078_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[1] (net)
-                  0.05    0.00    6.06 ^ mprj/u_mac_wrap/fanout451/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.17    0.20    6.27 ^ mprj/u_mac_wrap/fanout451/X (sky130_fd_sc_hd__clkbuf_2)
-     7    0.03                           mprj/u_mac_wrap/net451 (net)
-                  0.17    0.00    6.27 ^ mprj/u_mac_wrap/fanout450/A (sky130_fd_sc_hd__buf_2)
-                  0.21    0.27    6.54 ^ mprj/u_mac_wrap/fanout450/X (sky130_fd_sc_hd__buf_2)
-    10    0.04                           mprj/u_mac_wrap/net450 (net)
-                  0.21    0.00    6.54 ^ mprj/u_mac_wrap/_04293_/C (sky130_fd_sc_hd__and4_1)
-                  0.18    0.33    6.88 ^ mprj/u_mac_wrap/_04293_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01642_ (net)
-                  0.18    0.00    6.88 ^ mprj/u_mac_wrap/_04295_/D (sky130_fd_sc_hd__and4_2)
-                  0.14    0.35    7.22 ^ mprj/u_mac_wrap/_04295_/X (sky130_fd_sc_hd__and4_2)
-     6    0.02                           mprj/u_mac_wrap/_01644_ (net)
-                  0.14    0.00    7.22 ^ mprj/u_mac_wrap/_04297_/D (sky130_fd_sc_hd__and4_1)
-                  0.20    0.34    7.56 ^ mprj/u_mac_wrap/_04297_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01646_ (net)
-                  0.20    0.00    7.56 ^ mprj/u_mac_wrap/_04298_/C (sky130_fd_sc_hd__nand3_1)
-                  0.15    0.19    7.75 v mprj/u_mac_wrap/_04298_/Y (sky130_fd_sc_hd__nand3_1)
-     3    0.01                           mprj/u_mac_wrap/_01647_ (net)
-                  0.15    0.00    7.75 v mprj/u_mac_wrap/_04304_/A1 (sky130_fd_sc_hd__a2111o_1)
-                  0.13    0.50    8.25 v mprj/u_mac_wrap/_04304_/X (sky130_fd_sc_hd__a2111o_1)
-     3    0.02                           mprj/u_mac_wrap/_01653_ (net)
-                  0.13    0.00    8.25 v mprj/u_mac_wrap/_04320_/B1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.35    8.60 v mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_mac_wrap/_01669_ (net)
-                  0.05    0.00    8.60 v mprj/u_mac_wrap/_04321_/D (sky130_fd_sc_hd__or4_1)
-                  0.11    0.46    9.06 v mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01670_ (net)
-                  0.11    0.00    9.06 v mprj/u_mac_wrap/_04330_/B (sky130_fd_sc_hd__nor4_2)
-                  0.41    0.45    9.51 ^ mprj/u_mac_wrap/_04330_/Y (sky130_fd_sc_hd__nor4_2)
-     4    0.01                           mprj/u_mac_wrap/_01679_ (net)
-                  0.41    0.00    9.51 ^ mprj/u_mac_wrap/_04361_/A_N (sky130_fd_sc_hd__nand3b_1)
-                  0.20    0.27    9.78 ^ mprj/u_mac_wrap/_04361_/Y (sky130_fd_sc_hd__nand3b_1)
-     3    0.02                           mprj/u_mac_wrap/_01710_ (net)
-                  0.20    0.00    9.78 ^ mprj/u_mac_wrap/_04609_/A_N (sky130_fd_sc_hd__and2b_1)
-                  0.08    0.27   10.05 v mprj/u_mac_wrap/_04609_/X (sky130_fd_sc_hd__and2b_1)
-     3    0.01                           mprj/u_mac_wrap/_01928_ (net)
-                  0.08    0.00   10.05 v mprj/u_mac_wrap/_04620_/A (sky130_fd_sc_hd__or2_1)
-                  0.11    0.32   10.37 v mprj/u_mac_wrap/_04620_/X (sky130_fd_sc_hd__or2_1)
-     3    0.02                           mprj/u_mac_wrap/_01939_ (net)
-                  0.11    0.00   10.37 v mprj/u_mac_wrap/_06049_/A (sky130_fd_sc_hd__or3_1)
-                  0.14    0.50   10.87 v mprj/u_mac_wrap/_06049_/X (sky130_fd_sc_hd__or3_1)
-     2    0.02                           mprj/u_mac_wrap/_02846_ (net)
-                  0.14    0.00   10.87 v mprj/u_mac_wrap/fanout237/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.12    0.23   11.10 v mprj/u_mac_wrap/fanout237/X (sky130_fd_sc_hd__clkbuf_2)
-    10    0.03                           mprj/u_mac_wrap/net237 (net)
-                  0.12    0.00   11.10 v mprj/u_mac_wrap/fanout236/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.10    0.25   11.34 v mprj/u_mac_wrap/fanout236/X (sky130_fd_sc_hd__clkbuf_4)
-    10    0.05                           mprj/u_mac_wrap/net236 (net)
-                  0.10    0.00   11.35 v mprj/u_mac_wrap/_06077_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.33   11.68 v mprj/u_mac_wrap/_06077_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00548_ (net)
-                  0.05    0.00   11.68 v mprj/u_mac_wrap/_09016_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 11.68   data arrival time
-
-                         40.00   40.00   clock mac_rx_clk (rise edge)
-                          0.00   40.00   clock source latency
-                  1.00    0.00   40.00 ^ mprj_io[12] (inout)
-     1    6.12                           mprj_io[12] (net)
-                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[12] (net)
-                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00   41.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10   41.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00   41.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21   41.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17   41.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00   41.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21   41.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00   41.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43   42.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03   42.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24   42.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00   42.61 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.15   42.76 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00   42.77 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14   42.91 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_3_0_phy_rx_clk (net)
-                  0.06    0.00   42.91 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.17    0.22   43.12 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.09                           mprj/u_mac_wrap/clknet_3_6_0_phy_rx_clk (net)
-                  0.17    0.00   43.13 ^ mprj/u_mac_wrap/clkbuf_leaf_31_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.18   43.31 ^ mprj/u_mac_wrap/clkbuf_leaf_31_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    15    0.05                           mprj/u_mac_wrap/clknet_leaf_31_phy_rx_clk (net)
-                  0.07    0.00   43.31 ^ mprj/u_mac_wrap/_09016_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   43.06   clock uncertainty
-                          2.33   45.39   clock reconvergence pessimism
-                         -0.11   45.27   library setup time
-                                 45.27   data required time
------------------------------------------------------------------------------
-                                 45.27   data required time
-                                -11.68   data arrival time
------------------------------------------------------------------------------
-                                 33.60   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_09078_
-            (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_09013_
-          (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Path Group: mac_rx_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_rx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1   11.12                           mprj_io[12] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[12] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.09 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.16    5.25 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
-                  0.06    0.00    5.25 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.27    5.52 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.12                           mprj/u_mac_wrap/clknet_3_5_0_phy_rx_clk (net)
-                  0.22    0.00    5.52 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.20    5.72 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.03                           mprj/u_mac_wrap/clknet_leaf_8_phy_rx_clk (net)
-                  0.06    0.00    5.72 ^ mprj/u_mac_wrap/_09078_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.05    0.34    6.06 ^ mprj/u_mac_wrap/_09078_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[1] (net)
-                  0.05    0.00    6.06 ^ mprj/u_mac_wrap/fanout451/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.17    0.20    6.27 ^ mprj/u_mac_wrap/fanout451/X (sky130_fd_sc_hd__clkbuf_2)
-     7    0.03                           mprj/u_mac_wrap/net451 (net)
-                  0.17    0.00    6.27 ^ mprj/u_mac_wrap/fanout450/A (sky130_fd_sc_hd__buf_2)
-                  0.21    0.27    6.54 ^ mprj/u_mac_wrap/fanout450/X (sky130_fd_sc_hd__buf_2)
-    10    0.04                           mprj/u_mac_wrap/net450 (net)
-                  0.21    0.00    6.54 ^ mprj/u_mac_wrap/_04293_/C (sky130_fd_sc_hd__and4_1)
-                  0.18    0.33    6.88 ^ mprj/u_mac_wrap/_04293_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01642_ (net)
-                  0.18    0.00    6.88 ^ mprj/u_mac_wrap/_04295_/D (sky130_fd_sc_hd__and4_2)
-                  0.14    0.35    7.22 ^ mprj/u_mac_wrap/_04295_/X (sky130_fd_sc_hd__and4_2)
-     6    0.02                           mprj/u_mac_wrap/_01644_ (net)
-                  0.14    0.00    7.22 ^ mprj/u_mac_wrap/_04297_/D (sky130_fd_sc_hd__and4_1)
-                  0.20    0.34    7.56 ^ mprj/u_mac_wrap/_04297_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01646_ (net)
-                  0.20    0.00    7.56 ^ mprj/u_mac_wrap/_04298_/C (sky130_fd_sc_hd__nand3_1)
-                  0.15    0.19    7.75 v mprj/u_mac_wrap/_04298_/Y (sky130_fd_sc_hd__nand3_1)
-     3    0.01                           mprj/u_mac_wrap/_01647_ (net)
-                  0.15    0.00    7.75 v mprj/u_mac_wrap/_04304_/A1 (sky130_fd_sc_hd__a2111o_1)
-                  0.13    0.50    8.25 v mprj/u_mac_wrap/_04304_/X (sky130_fd_sc_hd__a2111o_1)
-     3    0.02                           mprj/u_mac_wrap/_01653_ (net)
-                  0.13    0.00    8.25 v mprj/u_mac_wrap/_04320_/B1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.35    8.60 v mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_mac_wrap/_01669_ (net)
-                  0.05    0.00    8.60 v mprj/u_mac_wrap/_04321_/D (sky130_fd_sc_hd__or4_1)
-                  0.11    0.46    9.06 v mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01670_ (net)
-                  0.11    0.00    9.06 v mprj/u_mac_wrap/_04330_/B (sky130_fd_sc_hd__nor4_2)
-                  0.41    0.45    9.51 ^ mprj/u_mac_wrap/_04330_/Y (sky130_fd_sc_hd__nor4_2)
-     4    0.01                           mprj/u_mac_wrap/_01679_ (net)
-                  0.41    0.00    9.51 ^ mprj/u_mac_wrap/_04361_/A_N (sky130_fd_sc_hd__nand3b_1)
-                  0.20    0.27    9.78 ^ mprj/u_mac_wrap/_04361_/Y (sky130_fd_sc_hd__nand3b_1)
-     3    0.02                           mprj/u_mac_wrap/_01710_ (net)
-                  0.20    0.00    9.78 ^ mprj/u_mac_wrap/_04609_/A_N (sky130_fd_sc_hd__and2b_1)
-                  0.08    0.27   10.05 v mprj/u_mac_wrap/_04609_/X (sky130_fd_sc_hd__and2b_1)
-     3    0.01                           mprj/u_mac_wrap/_01928_ (net)
-                  0.08    0.00   10.05 v mprj/u_mac_wrap/_04620_/A (sky130_fd_sc_hd__or2_1)
-                  0.11    0.32   10.37 v mprj/u_mac_wrap/_04620_/X (sky130_fd_sc_hd__or2_1)
-     3    0.02                           mprj/u_mac_wrap/_01939_ (net)
-                  0.11    0.00   10.37 v mprj/u_mac_wrap/_06049_/A (sky130_fd_sc_hd__or3_1)
-                  0.14    0.50   10.87 v mprj/u_mac_wrap/_06049_/X (sky130_fd_sc_hd__or3_1)
-     2    0.02                           mprj/u_mac_wrap/_02846_ (net)
-                  0.14    0.00   10.87 v mprj/u_mac_wrap/fanout237/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.12    0.23   11.10 v mprj/u_mac_wrap/fanout237/X (sky130_fd_sc_hd__clkbuf_2)
-    10    0.03                           mprj/u_mac_wrap/net237 (net)
-                  0.12    0.00   11.10 v mprj/u_mac_wrap/fanout236/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.10    0.25   11.34 v mprj/u_mac_wrap/fanout236/X (sky130_fd_sc_hd__clkbuf_4)
-    10    0.05                           mprj/u_mac_wrap/net236 (net)
-                  0.10    0.00   11.35 v mprj/u_mac_wrap/_06074_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.33   11.68 v mprj/u_mac_wrap/_06074_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00545_ (net)
-                  0.05    0.00   11.68 v mprj/u_mac_wrap/_09013_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 11.68   data arrival time
-
-                         40.00   40.00   clock mac_rx_clk (rise edge)
-                          0.00   40.00   clock source latency
-                  1.00    0.00   40.00 ^ mprj_io[12] (inout)
-     1    6.12                           mprj_io[12] (net)
-                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[12] (net)
-                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00   41.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10   41.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00   41.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21   41.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17   41.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00   41.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21   41.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00   41.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43   42.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03   42.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24   42.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00   42.61 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.15   42.76 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00   42.77 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14   42.91 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_3_0_phy_rx_clk (net)
-                  0.06    0.00   42.91 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.17    0.22   43.12 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.09                           mprj/u_mac_wrap/clknet_3_6_0_phy_rx_clk (net)
-                  0.17    0.00   43.13 ^ mprj/u_mac_wrap/clkbuf_leaf_31_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.18   43.31 ^ mprj/u_mac_wrap/clkbuf_leaf_31_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    15    0.05                           mprj/u_mac_wrap/clknet_leaf_31_phy_rx_clk (net)
-                  0.07    0.00   43.31 ^ mprj/u_mac_wrap/_09013_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   43.06   clock uncertainty
-                          2.33   45.39   clock reconvergence pessimism
-                         -0.11   45.28   library setup time
-                                 45.28   data required time
------------------------------------------------------------------------------
-                                 45.28   data required time
-                                -11.68   data arrival time
------------------------------------------------------------------------------
-                                 33.60   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_09078_
-            (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_09010_
-          (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Path Group: mac_rx_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_rx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1   11.12                           mprj_io[12] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[12] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.09 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.16    5.25 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
-                  0.06    0.00    5.25 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.27    5.52 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.12                           mprj/u_mac_wrap/clknet_3_5_0_phy_rx_clk (net)
-                  0.22    0.00    5.52 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.20    5.72 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.03                           mprj/u_mac_wrap/clknet_leaf_8_phy_rx_clk (net)
-                  0.06    0.00    5.72 ^ mprj/u_mac_wrap/_09078_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.05    0.34    6.06 ^ mprj/u_mac_wrap/_09078_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[1] (net)
-                  0.05    0.00    6.06 ^ mprj/u_mac_wrap/fanout451/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.17    0.20    6.27 ^ mprj/u_mac_wrap/fanout451/X (sky130_fd_sc_hd__clkbuf_2)
-     7    0.03                           mprj/u_mac_wrap/net451 (net)
-                  0.17    0.00    6.27 ^ mprj/u_mac_wrap/fanout450/A (sky130_fd_sc_hd__buf_2)
-                  0.21    0.27    6.54 ^ mprj/u_mac_wrap/fanout450/X (sky130_fd_sc_hd__buf_2)
-    10    0.04                           mprj/u_mac_wrap/net450 (net)
-                  0.21    0.00    6.54 ^ mprj/u_mac_wrap/_04293_/C (sky130_fd_sc_hd__and4_1)
-                  0.18    0.33    6.88 ^ mprj/u_mac_wrap/_04293_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01642_ (net)
-                  0.18    0.00    6.88 ^ mprj/u_mac_wrap/_04295_/D (sky130_fd_sc_hd__and4_2)
-                  0.14    0.35    7.22 ^ mprj/u_mac_wrap/_04295_/X (sky130_fd_sc_hd__and4_2)
-     6    0.02                           mprj/u_mac_wrap/_01644_ (net)
-                  0.14    0.00    7.22 ^ mprj/u_mac_wrap/_04297_/D (sky130_fd_sc_hd__and4_1)
-                  0.20    0.34    7.56 ^ mprj/u_mac_wrap/_04297_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01646_ (net)
-                  0.20    0.00    7.56 ^ mprj/u_mac_wrap/_04298_/C (sky130_fd_sc_hd__nand3_1)
-                  0.15    0.19    7.75 v mprj/u_mac_wrap/_04298_/Y (sky130_fd_sc_hd__nand3_1)
-     3    0.01                           mprj/u_mac_wrap/_01647_ (net)
-                  0.15    0.00    7.75 v mprj/u_mac_wrap/_04304_/A1 (sky130_fd_sc_hd__a2111o_1)
-                  0.13    0.50    8.25 v mprj/u_mac_wrap/_04304_/X (sky130_fd_sc_hd__a2111o_1)
-     3    0.02                           mprj/u_mac_wrap/_01653_ (net)
-                  0.13    0.00    8.25 v mprj/u_mac_wrap/_04320_/B1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.35    8.60 v mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_mac_wrap/_01669_ (net)
-                  0.05    0.00    8.60 v mprj/u_mac_wrap/_04321_/D (sky130_fd_sc_hd__or4_1)
-                  0.11    0.46    9.06 v mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01670_ (net)
-                  0.11    0.00    9.06 v mprj/u_mac_wrap/_04330_/B (sky130_fd_sc_hd__nor4_2)
-                  0.41    0.45    9.51 ^ mprj/u_mac_wrap/_04330_/Y (sky130_fd_sc_hd__nor4_2)
-     4    0.01                           mprj/u_mac_wrap/_01679_ (net)
-                  0.41    0.00    9.51 ^ mprj/u_mac_wrap/_04361_/A_N (sky130_fd_sc_hd__nand3b_1)
-                  0.20    0.27    9.78 ^ mprj/u_mac_wrap/_04361_/Y (sky130_fd_sc_hd__nand3b_1)
-     3    0.02                           mprj/u_mac_wrap/_01710_ (net)
-                  0.20    0.00    9.78 ^ mprj/u_mac_wrap/_04609_/A_N (sky130_fd_sc_hd__and2b_1)
-                  0.08    0.27   10.05 v mprj/u_mac_wrap/_04609_/X (sky130_fd_sc_hd__and2b_1)
-     3    0.01                           mprj/u_mac_wrap/_01928_ (net)
-                  0.08    0.00   10.05 v mprj/u_mac_wrap/_04620_/A (sky130_fd_sc_hd__or2_1)
-                  0.11    0.32   10.37 v mprj/u_mac_wrap/_04620_/X (sky130_fd_sc_hd__or2_1)
-     3    0.02                           mprj/u_mac_wrap/_01939_ (net)
-                  0.11    0.00   10.37 v mprj/u_mac_wrap/_06049_/A (sky130_fd_sc_hd__or3_1)
-                  0.14    0.50   10.87 v mprj/u_mac_wrap/_06049_/X (sky130_fd_sc_hd__or3_1)
-     2    0.02                           mprj/u_mac_wrap/_02846_ (net)
-                  0.14    0.00   10.87 v mprj/u_mac_wrap/fanout237/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.12    0.23   11.10 v mprj/u_mac_wrap/fanout237/X (sky130_fd_sc_hd__clkbuf_2)
-    10    0.03                           mprj/u_mac_wrap/net237 (net)
-                  0.12    0.00   11.10 v mprj/u_mac_wrap/fanout236/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.10    0.25   11.34 v mprj/u_mac_wrap/fanout236/X (sky130_fd_sc_hd__clkbuf_4)
-    10    0.05                           mprj/u_mac_wrap/net236 (net)
-                  0.10    0.00   11.34 v mprj/u_mac_wrap/_06071_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.33   11.68 v mprj/u_mac_wrap/_06071_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00542_ (net)
-                  0.05    0.00   11.68 v mprj/u_mac_wrap/_09010_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 11.68   data arrival time
-
-                         40.00   40.00   clock mac_rx_clk (rise edge)
-                          0.00   40.00   clock source latency
-                  1.00    0.00   40.00 ^ mprj_io[12] (inout)
-     1    6.12                           mprj_io[12] (net)
-                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[12] (net)
-                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00   41.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10   41.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00   41.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21   41.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17   41.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00   41.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21   41.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00   41.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43   42.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03   42.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24   42.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00   42.61 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.15   42.76 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00   42.77 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14   42.91 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_3_0_phy_rx_clk (net)
-                  0.06    0.00   42.91 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.17    0.22   43.12 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.09                           mprj/u_mac_wrap/clknet_3_6_0_phy_rx_clk (net)
-                  0.17    0.00   43.13 ^ mprj/u_mac_wrap/clkbuf_leaf_31_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.18   43.31 ^ mprj/u_mac_wrap/clkbuf_leaf_31_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    15    0.05                           mprj/u_mac_wrap/clknet_leaf_31_phy_rx_clk (net)
-                  0.07    0.00   43.31 ^ mprj/u_mac_wrap/_09010_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   43.06   clock uncertainty
-                          2.33   45.39   clock reconvergence pessimism
-                         -0.11   45.28   library setup time
-                                 45.28   data required time
------------------------------------------------------------------------------
-                                 45.28   data required time
-                                -11.68   data arrival time
------------------------------------------------------------------------------
-                                 33.60   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_09078_
-            (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_08999_
-          (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Path Group: mac_rx_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_rx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1   11.12                           mprj_io[12] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[12] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.09 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.16    5.25 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
-                  0.06    0.00    5.25 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.27    5.52 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.12                           mprj/u_mac_wrap/clknet_3_5_0_phy_rx_clk (net)
-                  0.22    0.00    5.52 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.20    5.72 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.03                           mprj/u_mac_wrap/clknet_leaf_8_phy_rx_clk (net)
-                  0.06    0.00    5.72 ^ mprj/u_mac_wrap/_09078_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.05    0.34    6.06 ^ mprj/u_mac_wrap/_09078_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[1] (net)
-                  0.05    0.00    6.06 ^ mprj/u_mac_wrap/fanout451/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.17    0.20    6.27 ^ mprj/u_mac_wrap/fanout451/X (sky130_fd_sc_hd__clkbuf_2)
-     7    0.03                           mprj/u_mac_wrap/net451 (net)
-                  0.17    0.00    6.27 ^ mprj/u_mac_wrap/fanout450/A (sky130_fd_sc_hd__buf_2)
-                  0.21    0.27    6.54 ^ mprj/u_mac_wrap/fanout450/X (sky130_fd_sc_hd__buf_2)
-    10    0.04                           mprj/u_mac_wrap/net450 (net)
-                  0.21    0.00    6.54 ^ mprj/u_mac_wrap/_04293_/C (sky130_fd_sc_hd__and4_1)
-                  0.18    0.33    6.88 ^ mprj/u_mac_wrap/_04293_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01642_ (net)
-                  0.18    0.00    6.88 ^ mprj/u_mac_wrap/_04295_/D (sky130_fd_sc_hd__and4_2)
-                  0.14    0.35    7.22 ^ mprj/u_mac_wrap/_04295_/X (sky130_fd_sc_hd__and4_2)
-     6    0.02                           mprj/u_mac_wrap/_01644_ (net)
-                  0.14    0.00    7.22 ^ mprj/u_mac_wrap/_04297_/D (sky130_fd_sc_hd__and4_1)
-                  0.20    0.34    7.56 ^ mprj/u_mac_wrap/_04297_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01646_ (net)
-                  0.20    0.00    7.56 ^ mprj/u_mac_wrap/_04298_/C (sky130_fd_sc_hd__nand3_1)
-                  0.15    0.19    7.75 v mprj/u_mac_wrap/_04298_/Y (sky130_fd_sc_hd__nand3_1)
-     3    0.01                           mprj/u_mac_wrap/_01647_ (net)
-                  0.15    0.00    7.75 v mprj/u_mac_wrap/_04304_/A1 (sky130_fd_sc_hd__a2111o_1)
-                  0.13    0.50    8.25 v mprj/u_mac_wrap/_04304_/X (sky130_fd_sc_hd__a2111o_1)
-     3    0.02                           mprj/u_mac_wrap/_01653_ (net)
-                  0.13    0.00    8.25 v mprj/u_mac_wrap/_04320_/B1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.35    8.60 v mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_mac_wrap/_01669_ (net)
-                  0.05    0.00    8.60 v mprj/u_mac_wrap/_04321_/D (sky130_fd_sc_hd__or4_1)
-                  0.11    0.46    9.06 v mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01670_ (net)
-                  0.11    0.00    9.06 v mprj/u_mac_wrap/_04330_/B (sky130_fd_sc_hd__nor4_2)
-                  0.41    0.45    9.51 ^ mprj/u_mac_wrap/_04330_/Y (sky130_fd_sc_hd__nor4_2)
-     4    0.01                           mprj/u_mac_wrap/_01679_ (net)
-                  0.41    0.00    9.51 ^ mprj/u_mac_wrap/_04361_/A_N (sky130_fd_sc_hd__nand3b_1)
-                  0.20    0.27    9.78 ^ mprj/u_mac_wrap/_04361_/Y (sky130_fd_sc_hd__nand3b_1)
-     3    0.02                           mprj/u_mac_wrap/_01710_ (net)
-                  0.20    0.00    9.78 ^ mprj/u_mac_wrap/_04609_/A_N (sky130_fd_sc_hd__and2b_1)
-                  0.08    0.27   10.05 v mprj/u_mac_wrap/_04609_/X (sky130_fd_sc_hd__and2b_1)
-     3    0.01                           mprj/u_mac_wrap/_01928_ (net)
-                  0.08    0.00   10.05 v mprj/u_mac_wrap/_04620_/A (sky130_fd_sc_hd__or2_1)
-                  0.11    0.32   10.37 v mprj/u_mac_wrap/_04620_/X (sky130_fd_sc_hd__or2_1)
-     3    0.02                           mprj/u_mac_wrap/_01939_ (net)
-                  0.11    0.00   10.37 v mprj/u_mac_wrap/_06049_/A (sky130_fd_sc_hd__or3_1)
-                  0.14    0.50   10.87 v mprj/u_mac_wrap/_06049_/X (sky130_fd_sc_hd__or3_1)
-     2    0.02                           mprj/u_mac_wrap/_02846_ (net)
-                  0.14    0.00   10.87 v mprj/u_mac_wrap/fanout237/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.12    0.23   11.10 v mprj/u_mac_wrap/fanout237/X (sky130_fd_sc_hd__clkbuf_2)
-    10    0.03                           mprj/u_mac_wrap/net237 (net)
-                  0.12    0.00   11.10 v mprj/u_mac_wrap/fanout234/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.10    0.25   11.34 v mprj/u_mac_wrap/fanout234/X (sky130_fd_sc_hd__clkbuf_4)
-    10    0.05                           mprj/u_mac_wrap/net234 (net)
-                  0.10    0.00   11.35 v mprj/u_mac_wrap/_06060_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.33   11.68 v mprj/u_mac_wrap/_06060_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00531_ (net)
-                  0.05    0.00   11.68 v mprj/u_mac_wrap/_08999_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 11.68   data arrival time
-
-                         40.00   40.00   clock mac_rx_clk (rise edge)
-                          0.00   40.00   clock source latency
-                  1.00    0.00   40.00 ^ mprj_io[12] (inout)
-     1    6.12                           mprj_io[12] (net)
-                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[12] (net)
-                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00   41.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10   41.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00   41.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21   41.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17   41.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00   41.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21   41.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00   41.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43   42.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03   42.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24   42.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00   42.61 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.15   42.76 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00   42.77 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14   42.91 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_3_0_phy_rx_clk (net)
-                  0.06    0.00   42.91 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.17    0.22   43.12 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.09                           mprj/u_mac_wrap/clknet_3_6_0_phy_rx_clk (net)
-                  0.17    0.00   43.13 ^ mprj/u_mac_wrap/clkbuf_leaf_31_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.18   43.31 ^ mprj/u_mac_wrap/clkbuf_leaf_31_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    15    0.05                           mprj/u_mac_wrap/clknet_leaf_31_phy_rx_clk (net)
-                  0.07    0.00   43.31 ^ mprj/u_mac_wrap/_08999_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   43.06   clock uncertainty
-                          2.33   45.39   clock reconvergence pessimism
-                         -0.11   45.28   library setup time
-                                 45.28   data required time
------------------------------------------------------------------------------
-                                 45.28   data required time
-                                -11.68   data arrival time
------------------------------------------------------------------------------
-                                 33.60   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_09078_
-            (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_09018_
-          (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Path Group: mac_rx_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_rx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1   11.12                           mprj_io[12] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[12] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.09 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.16    5.25 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
-                  0.06    0.00    5.25 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.27    5.52 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.12                           mprj/u_mac_wrap/clknet_3_5_0_phy_rx_clk (net)
-                  0.22    0.00    5.52 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.20    5.72 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.03                           mprj/u_mac_wrap/clknet_leaf_8_phy_rx_clk (net)
-                  0.06    0.00    5.72 ^ mprj/u_mac_wrap/_09078_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.05    0.34    6.06 ^ mprj/u_mac_wrap/_09078_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[1] (net)
-                  0.05    0.00    6.06 ^ mprj/u_mac_wrap/fanout451/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.17    0.20    6.27 ^ mprj/u_mac_wrap/fanout451/X (sky130_fd_sc_hd__clkbuf_2)
-     7    0.03                           mprj/u_mac_wrap/net451 (net)
-                  0.17    0.00    6.27 ^ mprj/u_mac_wrap/fanout450/A (sky130_fd_sc_hd__buf_2)
-                  0.21    0.27    6.54 ^ mprj/u_mac_wrap/fanout450/X (sky130_fd_sc_hd__buf_2)
-    10    0.04                           mprj/u_mac_wrap/net450 (net)
-                  0.21    0.00    6.54 ^ mprj/u_mac_wrap/_04293_/C (sky130_fd_sc_hd__and4_1)
-                  0.18    0.33    6.88 ^ mprj/u_mac_wrap/_04293_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01642_ (net)
-                  0.18    0.00    6.88 ^ mprj/u_mac_wrap/_04295_/D (sky130_fd_sc_hd__and4_2)
-                  0.14    0.35    7.22 ^ mprj/u_mac_wrap/_04295_/X (sky130_fd_sc_hd__and4_2)
-     6    0.02                           mprj/u_mac_wrap/_01644_ (net)
-                  0.14    0.00    7.22 ^ mprj/u_mac_wrap/_04297_/D (sky130_fd_sc_hd__and4_1)
-                  0.20    0.34    7.56 ^ mprj/u_mac_wrap/_04297_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01646_ (net)
-                  0.20    0.00    7.56 ^ mprj/u_mac_wrap/_04298_/C (sky130_fd_sc_hd__nand3_1)
-                  0.15    0.19    7.75 v mprj/u_mac_wrap/_04298_/Y (sky130_fd_sc_hd__nand3_1)
-     3    0.01                           mprj/u_mac_wrap/_01647_ (net)
-                  0.15    0.00    7.75 v mprj/u_mac_wrap/_04304_/A1 (sky130_fd_sc_hd__a2111o_1)
-                  0.13    0.50    8.25 v mprj/u_mac_wrap/_04304_/X (sky130_fd_sc_hd__a2111o_1)
-     3    0.02                           mprj/u_mac_wrap/_01653_ (net)
-                  0.13    0.00    8.25 v mprj/u_mac_wrap/_04320_/B1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.35    8.60 v mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_mac_wrap/_01669_ (net)
-                  0.05    0.00    8.60 v mprj/u_mac_wrap/_04321_/D (sky130_fd_sc_hd__or4_1)
-                  0.11    0.46    9.06 v mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01670_ (net)
-                  0.11    0.00    9.06 v mprj/u_mac_wrap/_04330_/B (sky130_fd_sc_hd__nor4_2)
-                  0.41    0.45    9.51 ^ mprj/u_mac_wrap/_04330_/Y (sky130_fd_sc_hd__nor4_2)
-     4    0.01                           mprj/u_mac_wrap/_01679_ (net)
-                  0.41    0.00    9.51 ^ mprj/u_mac_wrap/_04361_/A_N (sky130_fd_sc_hd__nand3b_1)
-                  0.20    0.27    9.78 ^ mprj/u_mac_wrap/_04361_/Y (sky130_fd_sc_hd__nand3b_1)
-     3    0.02                           mprj/u_mac_wrap/_01710_ (net)
-                  0.20    0.00    9.78 ^ mprj/u_mac_wrap/_04609_/A_N (sky130_fd_sc_hd__and2b_1)
-                  0.08    0.27   10.05 v mprj/u_mac_wrap/_04609_/X (sky130_fd_sc_hd__and2b_1)
-     3    0.01                           mprj/u_mac_wrap/_01928_ (net)
-                  0.08    0.00   10.05 v mprj/u_mac_wrap/_04620_/A (sky130_fd_sc_hd__or2_1)
-                  0.11    0.32   10.37 v mprj/u_mac_wrap/_04620_/X (sky130_fd_sc_hd__or2_1)
-     3    0.02                           mprj/u_mac_wrap/_01939_ (net)
-                  0.11    0.00   10.37 v mprj/u_mac_wrap/_06049_/A (sky130_fd_sc_hd__or3_1)
-                  0.14    0.50   10.87 v mprj/u_mac_wrap/_06049_/X (sky130_fd_sc_hd__or3_1)
-     2    0.02                           mprj/u_mac_wrap/_02846_ (net)
-                  0.14    0.00   10.87 v mprj/u_mac_wrap/fanout237/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.12    0.23   11.10 v mprj/u_mac_wrap/fanout237/X (sky130_fd_sc_hd__clkbuf_2)
-    10    0.03                           mprj/u_mac_wrap/net237 (net)
-                  0.12    0.00   11.10 v mprj/u_mac_wrap/fanout236/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.10    0.25   11.34 v mprj/u_mac_wrap/fanout236/X (sky130_fd_sc_hd__clkbuf_4)
-    10    0.05                           mprj/u_mac_wrap/net236 (net)
-                  0.10    0.00   11.34 v mprj/u_mac_wrap/_06079_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.33   11.67 v mprj/u_mac_wrap/_06079_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00550_ (net)
-                  0.05    0.00   11.67 v mprj/u_mac_wrap/_09018_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 11.67   data arrival time
-
-                         40.00   40.00   clock mac_rx_clk (rise edge)
-                          0.00   40.00   clock source latency
-                  1.00    0.00   40.00 ^ mprj_io[12] (inout)
-     1    6.12                           mprj_io[12] (net)
-                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[12] (net)
-                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00   41.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10   41.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00   41.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21   41.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17   41.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00   41.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21   41.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00   41.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43   42.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03   42.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24   42.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00   42.61 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.15   42.76 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00   42.77 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14   42.91 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_3_0_phy_rx_clk (net)
-                  0.06    0.00   42.91 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.17    0.22   43.12 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.09                           mprj/u_mac_wrap/clknet_3_6_0_phy_rx_clk (net)
-                  0.17    0.00   43.13 ^ mprj/u_mac_wrap/clkbuf_leaf_31_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.18   43.31 ^ mprj/u_mac_wrap/clkbuf_leaf_31_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    15    0.05                           mprj/u_mac_wrap/clknet_leaf_31_phy_rx_clk (net)
-                  0.07    0.00   43.31 ^ mprj/u_mac_wrap/_09018_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   43.06   clock uncertainty
-                          2.33   45.39   clock reconvergence pessimism
-                         -0.11   45.28   library setup time
-                                 45.28   data required time
------------------------------------------------------------------------------
-                                 45.28   data required time
-                                -11.67   data arrival time
------------------------------------------------------------------------------
-                                 33.60   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_09078_
-            (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_09000_
-          (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Path Group: mac_rx_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_rx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1   11.12                           mprj_io[12] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[12] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.09 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.16    5.25 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
-                  0.06    0.00    5.25 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.27    5.52 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.12                           mprj/u_mac_wrap/clknet_3_5_0_phy_rx_clk (net)
-                  0.22    0.00    5.52 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.20    5.72 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.03                           mprj/u_mac_wrap/clknet_leaf_8_phy_rx_clk (net)
-                  0.06    0.00    5.72 ^ mprj/u_mac_wrap/_09078_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.05    0.34    6.06 ^ mprj/u_mac_wrap/_09078_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[1] (net)
-                  0.05    0.00    6.06 ^ mprj/u_mac_wrap/fanout451/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.17    0.20    6.27 ^ mprj/u_mac_wrap/fanout451/X (sky130_fd_sc_hd__clkbuf_2)
-     7    0.03                           mprj/u_mac_wrap/net451 (net)
-                  0.17    0.00    6.27 ^ mprj/u_mac_wrap/fanout450/A (sky130_fd_sc_hd__buf_2)
-                  0.21    0.27    6.54 ^ mprj/u_mac_wrap/fanout450/X (sky130_fd_sc_hd__buf_2)
-    10    0.04                           mprj/u_mac_wrap/net450 (net)
-                  0.21    0.00    6.54 ^ mprj/u_mac_wrap/_04293_/C (sky130_fd_sc_hd__and4_1)
-                  0.18    0.33    6.88 ^ mprj/u_mac_wrap/_04293_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01642_ (net)
-                  0.18    0.00    6.88 ^ mprj/u_mac_wrap/_04295_/D (sky130_fd_sc_hd__and4_2)
-                  0.14    0.35    7.22 ^ mprj/u_mac_wrap/_04295_/X (sky130_fd_sc_hd__and4_2)
-     6    0.02                           mprj/u_mac_wrap/_01644_ (net)
-                  0.14    0.00    7.22 ^ mprj/u_mac_wrap/_04297_/D (sky130_fd_sc_hd__and4_1)
-                  0.20    0.34    7.56 ^ mprj/u_mac_wrap/_04297_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01646_ (net)
-                  0.20    0.00    7.56 ^ mprj/u_mac_wrap/_04298_/C (sky130_fd_sc_hd__nand3_1)
-                  0.15    0.19    7.75 v mprj/u_mac_wrap/_04298_/Y (sky130_fd_sc_hd__nand3_1)
-     3    0.01                           mprj/u_mac_wrap/_01647_ (net)
-                  0.15    0.00    7.75 v mprj/u_mac_wrap/_04304_/A1 (sky130_fd_sc_hd__a2111o_1)
-                  0.13    0.50    8.25 v mprj/u_mac_wrap/_04304_/X (sky130_fd_sc_hd__a2111o_1)
-     3    0.02                           mprj/u_mac_wrap/_01653_ (net)
-                  0.13    0.00    8.25 v mprj/u_mac_wrap/_04320_/B1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.35    8.60 v mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_mac_wrap/_01669_ (net)
-                  0.05    0.00    8.60 v mprj/u_mac_wrap/_04321_/D (sky130_fd_sc_hd__or4_1)
-                  0.11    0.46    9.06 v mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01670_ (net)
-                  0.11    0.00    9.06 v mprj/u_mac_wrap/_04330_/B (sky130_fd_sc_hd__nor4_2)
-                  0.41    0.45    9.51 ^ mprj/u_mac_wrap/_04330_/Y (sky130_fd_sc_hd__nor4_2)
-     4    0.01                           mprj/u_mac_wrap/_01679_ (net)
-                  0.41    0.00    9.51 ^ mprj/u_mac_wrap/_04361_/A_N (sky130_fd_sc_hd__nand3b_1)
-                  0.20    0.27    9.78 ^ mprj/u_mac_wrap/_04361_/Y (sky130_fd_sc_hd__nand3b_1)
-     3    0.02                           mprj/u_mac_wrap/_01710_ (net)
-                  0.20    0.00    9.78 ^ mprj/u_mac_wrap/_04609_/A_N (sky130_fd_sc_hd__and2b_1)
-                  0.08    0.27   10.05 v mprj/u_mac_wrap/_04609_/X (sky130_fd_sc_hd__and2b_1)
-     3    0.01                           mprj/u_mac_wrap/_01928_ (net)
-                  0.08    0.00   10.05 v mprj/u_mac_wrap/_04620_/A (sky130_fd_sc_hd__or2_1)
-                  0.11    0.32   10.37 v mprj/u_mac_wrap/_04620_/X (sky130_fd_sc_hd__or2_1)
-     3    0.02                           mprj/u_mac_wrap/_01939_ (net)
-                  0.11    0.00   10.37 v mprj/u_mac_wrap/_06049_/A (sky130_fd_sc_hd__or3_1)
-                  0.14    0.50   10.87 v mprj/u_mac_wrap/_06049_/X (sky130_fd_sc_hd__or3_1)
-     2    0.02                           mprj/u_mac_wrap/_02846_ (net)
-                  0.14    0.00   10.87 v mprj/u_mac_wrap/fanout237/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.12    0.23   11.10 v mprj/u_mac_wrap/fanout237/X (sky130_fd_sc_hd__clkbuf_2)
-    10    0.03                           mprj/u_mac_wrap/net237 (net)
-                  0.12    0.00   11.10 v mprj/u_mac_wrap/fanout234/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.10    0.25   11.34 v mprj/u_mac_wrap/fanout234/X (sky130_fd_sc_hd__clkbuf_4)
-    10    0.05                           mprj/u_mac_wrap/net234 (net)
-                  0.10    0.00   11.35 v mprj/u_mac_wrap/_06061_/S (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.34   11.69 v mprj/u_mac_wrap/_06061_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00532_ (net)
-                  0.06    0.00   11.69 v mprj/u_mac_wrap/_09000_/D (sky130_fd_sc_hd__dfrtp_1)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    6.45 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_3_0_lbist_clk_int (net)
+                  0.12    0.00    6.45 ^ mprj/u_wb_host/_5638_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.10    0.47    6.92 v mprj/u_wb_host/_5638_/Q (sky130_fd_sc_hd__dfrtp_1)
+     5    0.02                           mprj/u_wb_host/u_lbist.u_lbist_core.scan_pat_cnt[1] (net)
+                  0.10    0.00    6.92 v mprj/u_wb_host/_2743_/A (sky130_fd_sc_hd__or4_2)
+                  0.14    0.77    7.69 v mprj/u_wb_host/_2743_/X (sky130_fd_sc_hd__or4_2)
+     4    0.01                           mprj/u_wb_host/_1160_ (net)
+                  0.14    0.00    7.69 v mprj/u_wb_host/_2745_/D (sky130_fd_sc_hd__or4_2)
+                  0.15    0.67    8.36 v mprj/u_wb_host/_2745_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_wb_host/_1162_ (net)
+                  0.15    0.00    8.36 v mprj/u_wb_host/_2747_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    8.91 v mprj/u_wb_host/_2747_/X (sky130_fd_sc_hd__or4_1)
+     4    0.02                           mprj/u_wb_host/_1164_ (net)
+                  0.15    0.00    8.91 v mprj/u_wb_host/_2749_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    9.44 v mprj/u_wb_host/_2749_/X (sky130_fd_sc_hd__or4_1)
+     4    0.01                           mprj/u_wb_host/_1166_ (net)
+                  0.15    0.00    9.44 v mprj/u_wb_host/_2751_/D (sky130_fd_sc_hd__or4_2)
+                  0.16    0.70   10.14 v mprj/u_wb_host/_2751_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_wb_host/_1168_ (net)
+                  0.16    0.00   10.14 v mprj/u_wb_host/_2764_/C (sky130_fd_sc_hd__or4_2)
+                  0.17    0.78   10.92 v mprj/u_wb_host/_2764_/X (sky130_fd_sc_hd__or4_2)
+     7    0.02                           mprj/u_wb_host/_1181_ (net)
+                  0.17    0.00   10.92 v mprj/u_wb_host/_3552_/B (sky130_fd_sc_hd__and3b_1)
+                  0.08    0.27   11.19 v mprj/u_wb_host/_3552_/X (sky130_fd_sc_hd__and3b_1)
+     2    0.01                           mprj/u_wb_host/_1678_ (net)
+                  0.08    0.00   11.19 v mprj/u_wb_host/fanout403/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.15    0.26   11.45 v mprj/u_wb_host/fanout403/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.07                           mprj/u_wb_host/net403 (net)
+                  0.15    0.01   11.46 v mprj/u_wb_host/_3562_/B1 (sky130_fd_sc_hd__a22o_1)
+                  0.05    0.23   11.69 v mprj/u_wb_host/_3562_/X (sky130_fd_sc_hd__a22o_1)
+     1    0.00                           mprj/u_wb_host/_0177_ (net)
+                  0.05    0.00   11.69 v mprj/u_wb_host/_5639_/D (sky130_fd_sc_hd__dfrtp_1)
                                  11.69   data arrival time
 
-                         40.00   40.00   clock mac_rx_clk (rise edge)
-                          0.00   40.00   clock source latency
-                  1.00    0.00   40.00 ^ mprj_io[12] (inout)
-     1    6.12                           mprj_io[12] (net)
-                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[12] (net)
-                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00   41.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10   41.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00   41.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21   41.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17   41.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00   41.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21   41.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00   41.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43   42.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03   42.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24   42.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00   42.61 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16   42.77 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00   42.77 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   42.91 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00   42.91 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.25    0.27   43.19 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
-                  0.25    0.00   43.19 ^ mprj/u_mac_wrap/clkbuf_leaf_29_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.19   43.38 ^ mprj/u_mac_wrap/clkbuf_leaf_29_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     9    0.02                           mprj/u_mac_wrap/clknet_leaf_29_phy_rx_clk (net)
-                  0.05    0.00   43.38 ^ mprj/u_mac_wrap/_09000_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   43.13   clock uncertainty
-                          2.32   45.45   clock reconvergence pessimism
-                         -0.12   45.33   library setup time
-                                 45.33   data required time
+                         10.00   10.00   clock lbist_clk (rise edge)
+                          0.00   10.00   clock source latency
+                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.11   10.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   10.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00   10.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   10.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00   10.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17   10.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00   10.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   11.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00   11.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   11.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00   11.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00   11.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   11.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00   11.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   11.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00   11.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00   11.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   12.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00   12.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00   12.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00   12.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   12.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00   12.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00   12.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00   12.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00   13.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   13.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00   13.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14   13.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00   13.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00   13.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   13.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00   13.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10   13.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00   13.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14   13.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00   13.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   14.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00   14.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   14.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00   14.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13   14.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00   14.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13   14.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00   14.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25   15.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00   15.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   15.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00   15.32 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   15.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00   15.43 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   15.55 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00   15.55 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   15.69 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
+                  0.06    0.00   15.69 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   15.81 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
+                  0.04    0.00   15.81 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.19   15.99 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.07                           mprj/u_wb_host/clknet_4_2_0_lbist_clk_int (net)
+                  0.14    0.00   15.99 ^ mprj/u_wb_host/_5639_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   15.74   clock uncertainty
+                          0.45   16.20   clock reconvergence pessimism
+                         -0.10   16.10   library setup time
+                                 16.10   data required time
 -----------------------------------------------------------------------------
-                                 45.33   data required time
+                                 16.10   data required time
                                 -11.69   data arrival time
 -----------------------------------------------------------------------------
-                                 33.64   slack (MET)
+                                  4.41   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_09078_
-            (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_08989_
-          (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Path Group: mac_rx_clk
+Startpoint: mprj/u_wb_host/_5638_
+            (rising edge-triggered flip-flop clocked by lbist_clk)
+Endpoint: mprj/u_wb_host/_5640_
+          (rising edge-triggered flip-flop clocked by lbist_clk)
+Path Group: lbist_clk
 Path Type: max
 
 Fanout     Cap    Slew   Delay    Time   Description
 -----------------------------------------------------------------------------
-                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock lbist_clk (rise edge)
                           0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1   11.12                           mprj_io[12] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[12] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.09 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.16    5.25 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
-                  0.06    0.00    5.25 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.27    5.52 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.12                           mprj/u_mac_wrap/clknet_3_5_0_phy_rx_clk (net)
-                  0.22    0.00    5.52 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.20    5.72 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.03                           mprj/u_mac_wrap/clknet_leaf_8_phy_rx_clk (net)
-                  0.06    0.00    5.72 ^ mprj/u_mac_wrap/_09078_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.05    0.34    6.06 ^ mprj/u_mac_wrap/_09078_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[1] (net)
-                  0.05    0.00    6.06 ^ mprj/u_mac_wrap/fanout451/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.17    0.20    6.27 ^ mprj/u_mac_wrap/fanout451/X (sky130_fd_sc_hd__clkbuf_2)
-     7    0.03                           mprj/u_mac_wrap/net451 (net)
-                  0.17    0.00    6.27 ^ mprj/u_mac_wrap/fanout450/A (sky130_fd_sc_hd__buf_2)
-                  0.21    0.27    6.54 ^ mprj/u_mac_wrap/fanout450/X (sky130_fd_sc_hd__buf_2)
-    10    0.04                           mprj/u_mac_wrap/net450 (net)
-                  0.21    0.00    6.54 ^ mprj/u_mac_wrap/_04293_/C (sky130_fd_sc_hd__and4_1)
-                  0.18    0.33    6.88 ^ mprj/u_mac_wrap/_04293_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01642_ (net)
-                  0.18    0.00    6.88 ^ mprj/u_mac_wrap/_04295_/D (sky130_fd_sc_hd__and4_2)
-                  0.14    0.35    7.22 ^ mprj/u_mac_wrap/_04295_/X (sky130_fd_sc_hd__and4_2)
-     6    0.02                           mprj/u_mac_wrap/_01644_ (net)
-                  0.14    0.00    7.22 ^ mprj/u_mac_wrap/_04297_/D (sky130_fd_sc_hd__and4_1)
-                  0.20    0.34    7.56 ^ mprj/u_mac_wrap/_04297_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01646_ (net)
-                  0.20    0.00    7.56 ^ mprj/u_mac_wrap/_04298_/C (sky130_fd_sc_hd__nand3_1)
-                  0.15    0.19    7.75 v mprj/u_mac_wrap/_04298_/Y (sky130_fd_sc_hd__nand3_1)
-     3    0.01                           mprj/u_mac_wrap/_01647_ (net)
-                  0.15    0.00    7.75 v mprj/u_mac_wrap/_04304_/A1 (sky130_fd_sc_hd__a2111o_1)
-                  0.13    0.50    8.25 v mprj/u_mac_wrap/_04304_/X (sky130_fd_sc_hd__a2111o_1)
-     3    0.02                           mprj/u_mac_wrap/_01653_ (net)
-                  0.13    0.00    8.25 v mprj/u_mac_wrap/_04320_/B1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.35    8.60 v mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_mac_wrap/_01669_ (net)
-                  0.05    0.00    8.60 v mprj/u_mac_wrap/_04321_/D (sky130_fd_sc_hd__or4_1)
-                  0.11    0.46    9.06 v mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01670_ (net)
-                  0.11    0.00    9.06 v mprj/u_mac_wrap/_04330_/B (sky130_fd_sc_hd__nor4_2)
-                  0.41    0.45    9.51 ^ mprj/u_mac_wrap/_04330_/Y (sky130_fd_sc_hd__nor4_2)
-     4    0.01                           mprj/u_mac_wrap/_01679_ (net)
-                  0.41    0.00    9.51 ^ mprj/u_mac_wrap/_04361_/A_N (sky130_fd_sc_hd__nand3b_1)
-                  0.20    0.27    9.78 ^ mprj/u_mac_wrap/_04361_/Y (sky130_fd_sc_hd__nand3b_1)
-     3    0.02                           mprj/u_mac_wrap/_01710_ (net)
-                  0.20    0.00    9.78 ^ mprj/u_mac_wrap/_04609_/A_N (sky130_fd_sc_hd__and2b_1)
-                  0.08    0.27   10.05 v mprj/u_mac_wrap/_04609_/X (sky130_fd_sc_hd__and2b_1)
-     3    0.01                           mprj/u_mac_wrap/_01928_ (net)
-                  0.08    0.00   10.05 v mprj/u_mac_wrap/_04620_/A (sky130_fd_sc_hd__or2_1)
-                  0.11    0.32   10.37 v mprj/u_mac_wrap/_04620_/X (sky130_fd_sc_hd__or2_1)
-     3    0.02                           mprj/u_mac_wrap/_01939_ (net)
-                  0.11    0.00   10.37 v mprj/u_mac_wrap/_06049_/A (sky130_fd_sc_hd__or3_1)
-                  0.14    0.50   10.87 v mprj/u_mac_wrap/_06049_/X (sky130_fd_sc_hd__or3_1)
-     2    0.02                           mprj/u_mac_wrap/_02846_ (net)
-                  0.14    0.00   10.87 v mprj/u_mac_wrap/fanout237/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.12    0.23   11.10 v mprj/u_mac_wrap/fanout237/X (sky130_fd_sc_hd__clkbuf_2)
-    10    0.03                           mprj/u_mac_wrap/net237 (net)
-                  0.12    0.00   11.10 v mprj/u_mac_wrap/fanout234/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.10    0.25   11.34 v mprj/u_mac_wrap/fanout234/X (sky130_fd_sc_hd__clkbuf_4)
-    10    0.05                           mprj/u_mac_wrap/net234 (net)
-                  0.10    0.00   11.35 v mprj/u_mac_wrap/_06050_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.33   11.68 v mprj/u_mac_wrap/_06050_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00521_ (net)
-                  0.05    0.00   11.68 v mprj/u_mac_wrap/_08989_/D (sky130_fd_sc_hd__dfrtp_1)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    6.45 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_3_0_lbist_clk_int (net)
+                  0.12    0.00    6.45 ^ mprj/u_wb_host/_5638_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.10    0.47    6.92 v mprj/u_wb_host/_5638_/Q (sky130_fd_sc_hd__dfrtp_1)
+     5    0.02                           mprj/u_wb_host/u_lbist.u_lbist_core.scan_pat_cnt[1] (net)
+                  0.10    0.00    6.92 v mprj/u_wb_host/_2743_/A (sky130_fd_sc_hd__or4_2)
+                  0.14    0.77    7.69 v mprj/u_wb_host/_2743_/X (sky130_fd_sc_hd__or4_2)
+     4    0.01                           mprj/u_wb_host/_1160_ (net)
+                  0.14    0.00    7.69 v mprj/u_wb_host/_2745_/D (sky130_fd_sc_hd__or4_2)
+                  0.15    0.67    8.36 v mprj/u_wb_host/_2745_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_wb_host/_1162_ (net)
+                  0.15    0.00    8.36 v mprj/u_wb_host/_2747_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    8.91 v mprj/u_wb_host/_2747_/X (sky130_fd_sc_hd__or4_1)
+     4    0.02                           mprj/u_wb_host/_1164_ (net)
+                  0.15    0.00    8.91 v mprj/u_wb_host/_2749_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    9.44 v mprj/u_wb_host/_2749_/X (sky130_fd_sc_hd__or4_1)
+     4    0.01                           mprj/u_wb_host/_1166_ (net)
+                  0.15    0.00    9.44 v mprj/u_wb_host/_2751_/D (sky130_fd_sc_hd__or4_2)
+                  0.16    0.70   10.14 v mprj/u_wb_host/_2751_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_wb_host/_1168_ (net)
+                  0.16    0.00   10.14 v mprj/u_wb_host/_2764_/C (sky130_fd_sc_hd__or4_2)
+                  0.17    0.78   10.92 v mprj/u_wb_host/_2764_/X (sky130_fd_sc_hd__or4_2)
+     7    0.02                           mprj/u_wb_host/_1181_ (net)
+                  0.17    0.00   10.92 v mprj/u_wb_host/_3552_/B (sky130_fd_sc_hd__and3b_1)
+                  0.08    0.27   11.19 v mprj/u_wb_host/_3552_/X (sky130_fd_sc_hd__and3b_1)
+     2    0.01                           mprj/u_wb_host/_1678_ (net)
+                  0.08    0.00   11.19 v mprj/u_wb_host/fanout403/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.15    0.26   11.45 v mprj/u_wb_host/fanout403/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.07                           mprj/u_wb_host/net403 (net)
+                  0.15    0.01   11.46 v mprj/u_wb_host/_3566_/B1 (sky130_fd_sc_hd__a22o_1)
+                  0.04    0.22   11.68 v mprj/u_wb_host/_3566_/X (sky130_fd_sc_hd__a22o_1)
+     1    0.00                           mprj/u_wb_host/_0178_ (net)
+                  0.04    0.00   11.68 v mprj/u_wb_host/_5640_/D (sky130_fd_sc_hd__dfrtp_1)
                                  11.68   data arrival time
 
-                         40.00   40.00   clock mac_rx_clk (rise edge)
-                          0.00   40.00   clock source latency
-                  1.00    0.00   40.00 ^ mprj_io[12] (inout)
-     1    6.12                           mprj_io[12] (net)
-                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[12] (net)
-                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00   41.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10   41.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00   41.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21   41.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17   41.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00   41.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21   41.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00   41.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43   42.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03   42.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24   42.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00   42.61 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16   42.77 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00   42.77 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   42.91 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00   42.91 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.25    0.27   43.19 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
-                  0.25    0.00   43.19 ^ mprj/u_mac_wrap/clkbuf_leaf_29_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.19   43.38 ^ mprj/u_mac_wrap/clkbuf_leaf_29_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     9    0.02                           mprj/u_mac_wrap/clknet_leaf_29_phy_rx_clk (net)
-                  0.05    0.00   43.38 ^ mprj/u_mac_wrap/_08989_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   43.13   clock uncertainty
-                          2.32   45.45   clock reconvergence pessimism
-                         -0.12   45.33   library setup time
-                                 45.33   data required time
+                         10.00   10.00   clock lbist_clk (rise edge)
+                          0.00   10.00   clock source latency
+                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.11   10.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   10.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00   10.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   10.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00   10.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17   10.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00   10.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   11.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00   11.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   11.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00   11.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00   11.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   11.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00   11.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   11.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00   11.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00   11.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   12.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00   12.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00   12.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00   12.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   12.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00   12.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00   12.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00   12.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00   13.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   13.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00   13.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14   13.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00   13.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00   13.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   13.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00   13.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10   13.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00   13.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14   13.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00   13.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   14.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00   14.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   14.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00   14.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13   14.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00   14.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13   14.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00   14.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25   15.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00   15.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   15.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00   15.32 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   15.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00   15.43 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   15.55 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00   15.55 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   15.69 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
+                  0.06    0.00   15.69 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   15.81 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
+                  0.04    0.00   15.81 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.19   15.99 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.07                           mprj/u_wb_host/clknet_4_2_0_lbist_clk_int (net)
+                  0.14    0.00   15.99 ^ mprj/u_wb_host/_5640_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   15.74   clock uncertainty
+                          0.45   16.20   clock reconvergence pessimism
+                         -0.09   16.10   library setup time
+                                 16.10   data required time
 -----------------------------------------------------------------------------
-                                 45.33   data required time
+                                 16.10   data required time
                                 -11.68   data arrival time
 -----------------------------------------------------------------------------
-                                 33.65   slack (MET)
+                                  4.42   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_09078_
-            (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_08992_
-          (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Path Group: mac_rx_clk
+Startpoint: mprj/u_wb_host/_5638_
+            (rising edge-triggered flip-flop clocked by lbist_clk)
+Endpoint: mprj/u_wb_host/_5638_
+          (rising edge-triggered flip-flop clocked by lbist_clk)
+Path Group: lbist_clk
 Path Type: max
 
 Fanout     Cap    Slew   Delay    Time   Description
 -----------------------------------------------------------------------------
-                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock lbist_clk (rise edge)
                           0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1   11.12                           mprj_io[12] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[12] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.09 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.16    5.25 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
-                  0.06    0.00    5.25 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.27    5.52 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.12                           mprj/u_mac_wrap/clknet_3_5_0_phy_rx_clk (net)
-                  0.22    0.00    5.52 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.20    5.72 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.03                           mprj/u_mac_wrap/clknet_leaf_8_phy_rx_clk (net)
-                  0.06    0.00    5.72 ^ mprj/u_mac_wrap/_09078_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.05    0.34    6.06 ^ mprj/u_mac_wrap/_09078_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[1] (net)
-                  0.05    0.00    6.06 ^ mprj/u_mac_wrap/fanout451/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.17    0.20    6.27 ^ mprj/u_mac_wrap/fanout451/X (sky130_fd_sc_hd__clkbuf_2)
-     7    0.03                           mprj/u_mac_wrap/net451 (net)
-                  0.17    0.00    6.27 ^ mprj/u_mac_wrap/fanout450/A (sky130_fd_sc_hd__buf_2)
-                  0.21    0.27    6.54 ^ mprj/u_mac_wrap/fanout450/X (sky130_fd_sc_hd__buf_2)
-    10    0.04                           mprj/u_mac_wrap/net450 (net)
-                  0.21    0.00    6.54 ^ mprj/u_mac_wrap/_04293_/C (sky130_fd_sc_hd__and4_1)
-                  0.18    0.33    6.88 ^ mprj/u_mac_wrap/_04293_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01642_ (net)
-                  0.18    0.00    6.88 ^ mprj/u_mac_wrap/_04295_/D (sky130_fd_sc_hd__and4_2)
-                  0.14    0.35    7.22 ^ mprj/u_mac_wrap/_04295_/X (sky130_fd_sc_hd__and4_2)
-     6    0.02                           mprj/u_mac_wrap/_01644_ (net)
-                  0.14    0.00    7.22 ^ mprj/u_mac_wrap/_04297_/D (sky130_fd_sc_hd__and4_1)
-                  0.20    0.34    7.56 ^ mprj/u_mac_wrap/_04297_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01646_ (net)
-                  0.20    0.00    7.56 ^ mprj/u_mac_wrap/_04298_/C (sky130_fd_sc_hd__nand3_1)
-                  0.15    0.19    7.75 v mprj/u_mac_wrap/_04298_/Y (sky130_fd_sc_hd__nand3_1)
-     3    0.01                           mprj/u_mac_wrap/_01647_ (net)
-                  0.15    0.00    7.75 v mprj/u_mac_wrap/_04304_/A1 (sky130_fd_sc_hd__a2111o_1)
-                  0.13    0.50    8.25 v mprj/u_mac_wrap/_04304_/X (sky130_fd_sc_hd__a2111o_1)
-     3    0.02                           mprj/u_mac_wrap/_01653_ (net)
-                  0.13    0.00    8.25 v mprj/u_mac_wrap/_04320_/B1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.35    8.60 v mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_mac_wrap/_01669_ (net)
-                  0.05    0.00    8.60 v mprj/u_mac_wrap/_04321_/D (sky130_fd_sc_hd__or4_1)
-                  0.11    0.46    9.06 v mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01670_ (net)
-                  0.11    0.00    9.06 v mprj/u_mac_wrap/_04330_/B (sky130_fd_sc_hd__nor4_2)
-                  0.41    0.45    9.51 ^ mprj/u_mac_wrap/_04330_/Y (sky130_fd_sc_hd__nor4_2)
-     4    0.01                           mprj/u_mac_wrap/_01679_ (net)
-                  0.41    0.00    9.51 ^ mprj/u_mac_wrap/_04361_/A_N (sky130_fd_sc_hd__nand3b_1)
-                  0.20    0.27    9.78 ^ mprj/u_mac_wrap/_04361_/Y (sky130_fd_sc_hd__nand3b_1)
-     3    0.02                           mprj/u_mac_wrap/_01710_ (net)
-                  0.20    0.00    9.78 ^ mprj/u_mac_wrap/_04609_/A_N (sky130_fd_sc_hd__and2b_1)
-                  0.08    0.27   10.05 v mprj/u_mac_wrap/_04609_/X (sky130_fd_sc_hd__and2b_1)
-     3    0.01                           mprj/u_mac_wrap/_01928_ (net)
-                  0.08    0.00   10.05 v mprj/u_mac_wrap/_04620_/A (sky130_fd_sc_hd__or2_1)
-                  0.11    0.32   10.37 v mprj/u_mac_wrap/_04620_/X (sky130_fd_sc_hd__or2_1)
-     3    0.02                           mprj/u_mac_wrap/_01939_ (net)
-                  0.11    0.00   10.37 v mprj/u_mac_wrap/_06049_/A (sky130_fd_sc_hd__or3_1)
-                  0.14    0.50   10.87 v mprj/u_mac_wrap/_06049_/X (sky130_fd_sc_hd__or3_1)
-     2    0.02                           mprj/u_mac_wrap/_02846_ (net)
-                  0.14    0.00   10.87 v mprj/u_mac_wrap/fanout237/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.12    0.23   11.10 v mprj/u_mac_wrap/fanout237/X (sky130_fd_sc_hd__clkbuf_2)
-    10    0.03                           mprj/u_mac_wrap/net237 (net)
-                  0.12    0.00   11.10 v mprj/u_mac_wrap/fanout234/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.10    0.25   11.34 v mprj/u_mac_wrap/fanout234/X (sky130_fd_sc_hd__clkbuf_4)
-    10    0.05                           mprj/u_mac_wrap/net234 (net)
-                  0.10    0.00   11.35 v mprj/u_mac_wrap/_06053_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.33   11.68 v mprj/u_mac_wrap/_06053_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00524_ (net)
-                  0.05    0.00   11.68 v mprj/u_mac_wrap/_08992_/D (sky130_fd_sc_hd__dfrtp_1)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    6.45 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_3_0_lbist_clk_int (net)
+                  0.12    0.00    6.45 ^ mprj/u_wb_host/_5638_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.10    0.47    6.92 v mprj/u_wb_host/_5638_/Q (sky130_fd_sc_hd__dfrtp_1)
+     5    0.02                           mprj/u_wb_host/u_lbist.u_lbist_core.scan_pat_cnt[1] (net)
+                  0.10    0.00    6.92 v mprj/u_wb_host/_2743_/A (sky130_fd_sc_hd__or4_2)
+                  0.14    0.77    7.69 v mprj/u_wb_host/_2743_/X (sky130_fd_sc_hd__or4_2)
+     4    0.01                           mprj/u_wb_host/_1160_ (net)
+                  0.14    0.00    7.69 v mprj/u_wb_host/_2745_/D (sky130_fd_sc_hd__or4_2)
+                  0.15    0.67    8.36 v mprj/u_wb_host/_2745_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_wb_host/_1162_ (net)
+                  0.15    0.00    8.36 v mprj/u_wb_host/_2747_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    8.91 v mprj/u_wb_host/_2747_/X (sky130_fd_sc_hd__or4_1)
+     4    0.02                           mprj/u_wb_host/_1164_ (net)
+                  0.15    0.00    8.91 v mprj/u_wb_host/_2749_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    9.44 v mprj/u_wb_host/_2749_/X (sky130_fd_sc_hd__or4_1)
+     4    0.01                           mprj/u_wb_host/_1166_ (net)
+                  0.15    0.00    9.44 v mprj/u_wb_host/_2751_/D (sky130_fd_sc_hd__or4_2)
+                  0.16    0.70   10.14 v mprj/u_wb_host/_2751_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_wb_host/_1168_ (net)
+                  0.16    0.00   10.14 v mprj/u_wb_host/_2764_/C (sky130_fd_sc_hd__or4_2)
+                  0.17    0.78   10.92 v mprj/u_wb_host/_2764_/X (sky130_fd_sc_hd__or4_2)
+     7    0.02                           mprj/u_wb_host/_1181_ (net)
+                  0.17    0.00   10.92 v mprj/u_wb_host/_3552_/B (sky130_fd_sc_hd__and3b_1)
+                  0.08    0.27   11.19 v mprj/u_wb_host/_3552_/X (sky130_fd_sc_hd__and3b_1)
+     2    0.01                           mprj/u_wb_host/_1678_ (net)
+                  0.08    0.00   11.19 v mprj/u_wb_host/fanout403/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.15    0.26   11.45 v mprj/u_wb_host/fanout403/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.07                           mprj/u_wb_host/net403 (net)
+                  0.15    0.01   11.46 v mprj/u_wb_host/_3558_/B1 (sky130_fd_sc_hd__a22o_1)
+                  0.05    0.23   11.68 v mprj/u_wb_host/_3558_/X (sky130_fd_sc_hd__a22o_1)
+     1    0.00                           mprj/u_wb_host/_0176_ (net)
+                  0.05    0.00   11.68 v mprj/u_wb_host/_5638_/D (sky130_fd_sc_hd__dfrtp_1)
                                  11.68   data arrival time
 
-                         40.00   40.00   clock mac_rx_clk (rise edge)
-                          0.00   40.00   clock source latency
-                  1.00    0.00   40.00 ^ mprj_io[12] (inout)
-     1    6.12                           mprj_io[12] (net)
-                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[12] (net)
-                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00   41.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10   41.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00   41.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21   41.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17   41.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00   41.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21   41.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00   41.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43   42.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03   42.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24   42.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00   42.61 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16   42.77 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00   42.77 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   42.91 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00   42.91 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.25    0.27   43.19 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
-                  0.25    0.00   43.19 ^ mprj/u_mac_wrap/clkbuf_leaf_29_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.19   43.38 ^ mprj/u_mac_wrap/clkbuf_leaf_29_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     9    0.02                           mprj/u_mac_wrap/clknet_leaf_29_phy_rx_clk (net)
-                  0.05    0.00   43.38 ^ mprj/u_mac_wrap/_08992_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   43.13   clock uncertainty
-                          2.32   45.45   clock reconvergence pessimism
-                         -0.12   45.33   library setup time
-                                 45.33   data required time
+                         10.00   10.00   clock lbist_clk (rise edge)
+                          0.00   10.00   clock source latency
+                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.11   10.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   10.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00   10.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   10.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00   10.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17   10.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00   10.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   11.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00   11.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   11.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00   11.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00   11.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   11.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00   11.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   11.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00   11.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00   11.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   12.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00   12.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00   12.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00   12.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   12.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00   12.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00   12.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00   12.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00   13.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   13.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00   13.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14   13.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00   13.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00   13.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   13.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00   13.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10   13.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00   13.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14   13.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00   13.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   14.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00   14.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   14.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00   14.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13   14.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00   14.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13   14.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00   14.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25   15.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00   15.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   15.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00   15.32 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   15.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00   15.43 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   15.55 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00   15.55 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   15.69 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
+                  0.06    0.00   15.69 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   15.81 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
+                  0.04    0.00   15.81 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.18   15.99 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_3_0_lbist_clk_int (net)
+                  0.12    0.00   15.99 ^ mprj/u_wb_host/_5638_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   15.74   clock uncertainty
+                          0.47   16.20   clock reconvergence pessimism
+                         -0.10   16.11   library setup time
+                                 16.11   data required time
 -----------------------------------------------------------------------------
-                                 45.33   data required time
+                                 16.11   data required time
                                 -11.68   data arrival time
 -----------------------------------------------------------------------------
-                                 33.65   slack (MET)
+                                  4.42   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_09078_
-            (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_09008_
-          (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Path Group: mac_rx_clk
+Startpoint: mprj/u_wb_host/_5638_
+            (rising edge-triggered flip-flop clocked by lbist_clk)
+Endpoint: mprj/u_wb_host/_5641_
+          (rising edge-triggered flip-flop clocked by lbist_clk)
+Path Group: lbist_clk
 Path Type: max
 
 Fanout     Cap    Slew   Delay    Time   Description
 -----------------------------------------------------------------------------
-                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock lbist_clk (rise edge)
                           0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1   11.12                           mprj_io[12] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[12] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.09 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.16    5.25 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
-                  0.06    0.00    5.25 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.27    5.52 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.12                           mprj/u_mac_wrap/clknet_3_5_0_phy_rx_clk (net)
-                  0.22    0.00    5.52 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.20    5.72 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.03                           mprj/u_mac_wrap/clknet_leaf_8_phy_rx_clk (net)
-                  0.06    0.00    5.72 ^ mprj/u_mac_wrap/_09078_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.05    0.34    6.06 ^ mprj/u_mac_wrap/_09078_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[1] (net)
-                  0.05    0.00    6.06 ^ mprj/u_mac_wrap/fanout451/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.17    0.20    6.27 ^ mprj/u_mac_wrap/fanout451/X (sky130_fd_sc_hd__clkbuf_2)
-     7    0.03                           mprj/u_mac_wrap/net451 (net)
-                  0.17    0.00    6.27 ^ mprj/u_mac_wrap/fanout450/A (sky130_fd_sc_hd__buf_2)
-                  0.21    0.27    6.54 ^ mprj/u_mac_wrap/fanout450/X (sky130_fd_sc_hd__buf_2)
-    10    0.04                           mprj/u_mac_wrap/net450 (net)
-                  0.21    0.00    6.54 ^ mprj/u_mac_wrap/_04293_/C (sky130_fd_sc_hd__and4_1)
-                  0.18    0.33    6.88 ^ mprj/u_mac_wrap/_04293_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01642_ (net)
-                  0.18    0.00    6.88 ^ mprj/u_mac_wrap/_04295_/D (sky130_fd_sc_hd__and4_2)
-                  0.14    0.35    7.22 ^ mprj/u_mac_wrap/_04295_/X (sky130_fd_sc_hd__and4_2)
-     6    0.02                           mprj/u_mac_wrap/_01644_ (net)
-                  0.14    0.00    7.22 ^ mprj/u_mac_wrap/_04297_/D (sky130_fd_sc_hd__and4_1)
-                  0.20    0.34    7.56 ^ mprj/u_mac_wrap/_04297_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01646_ (net)
-                  0.20    0.00    7.56 ^ mprj/u_mac_wrap/_04298_/C (sky130_fd_sc_hd__nand3_1)
-                  0.15    0.19    7.75 v mprj/u_mac_wrap/_04298_/Y (sky130_fd_sc_hd__nand3_1)
-     3    0.01                           mprj/u_mac_wrap/_01647_ (net)
-                  0.15    0.00    7.75 v mprj/u_mac_wrap/_04304_/A1 (sky130_fd_sc_hd__a2111o_1)
-                  0.13    0.50    8.25 v mprj/u_mac_wrap/_04304_/X (sky130_fd_sc_hd__a2111o_1)
-     3    0.02                           mprj/u_mac_wrap/_01653_ (net)
-                  0.13    0.00    8.25 v mprj/u_mac_wrap/_04320_/B1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.35    8.60 v mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_mac_wrap/_01669_ (net)
-                  0.05    0.00    8.60 v mprj/u_mac_wrap/_04321_/D (sky130_fd_sc_hd__or4_1)
-                  0.11    0.46    9.06 v mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01670_ (net)
-                  0.11    0.00    9.06 v mprj/u_mac_wrap/_04330_/B (sky130_fd_sc_hd__nor4_2)
-                  0.41    0.45    9.51 ^ mprj/u_mac_wrap/_04330_/Y (sky130_fd_sc_hd__nor4_2)
-     4    0.01                           mprj/u_mac_wrap/_01679_ (net)
-                  0.41    0.00    9.51 ^ mprj/u_mac_wrap/_04361_/A_N (sky130_fd_sc_hd__nand3b_1)
-                  0.20    0.27    9.78 ^ mprj/u_mac_wrap/_04361_/Y (sky130_fd_sc_hd__nand3b_1)
-     3    0.02                           mprj/u_mac_wrap/_01710_ (net)
-                  0.20    0.00    9.78 ^ mprj/u_mac_wrap/_04609_/A_N (sky130_fd_sc_hd__and2b_1)
-                  0.08    0.27   10.05 v mprj/u_mac_wrap/_04609_/X (sky130_fd_sc_hd__and2b_1)
-     3    0.01                           mprj/u_mac_wrap/_01928_ (net)
-                  0.08    0.00   10.05 v mprj/u_mac_wrap/_04620_/A (sky130_fd_sc_hd__or2_1)
-                  0.11    0.32   10.37 v mprj/u_mac_wrap/_04620_/X (sky130_fd_sc_hd__or2_1)
-     3    0.02                           mprj/u_mac_wrap/_01939_ (net)
-                  0.11    0.00   10.37 v mprj/u_mac_wrap/_06049_/A (sky130_fd_sc_hd__or3_1)
-                  0.14    0.50   10.87 v mprj/u_mac_wrap/_06049_/X (sky130_fd_sc_hd__or3_1)
-     2    0.02                           mprj/u_mac_wrap/_02846_ (net)
-                  0.14    0.00   10.87 v mprj/u_mac_wrap/fanout237/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.12    0.23   11.10 v mprj/u_mac_wrap/fanout237/X (sky130_fd_sc_hd__clkbuf_2)
-    10    0.03                           mprj/u_mac_wrap/net237 (net)
-                  0.12    0.00   11.10 v mprj/u_mac_wrap/fanout234/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.10    0.25   11.34 v mprj/u_mac_wrap/fanout234/X (sky130_fd_sc_hd__clkbuf_4)
-    10    0.05                           mprj/u_mac_wrap/net234 (net)
-                  0.10    0.00   11.35 v mprj/u_mac_wrap/_06069_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.33   11.68 v mprj/u_mac_wrap/_06069_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00540_ (net)
-                  0.05    0.00   11.68 v mprj/u_mac_wrap/_09008_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 11.68   data arrival time
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    6.45 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_3_0_lbist_clk_int (net)
+                  0.12    0.00    6.45 ^ mprj/u_wb_host/_5638_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.10    0.47    6.92 v mprj/u_wb_host/_5638_/Q (sky130_fd_sc_hd__dfrtp_1)
+     5    0.02                           mprj/u_wb_host/u_lbist.u_lbist_core.scan_pat_cnt[1] (net)
+                  0.10    0.00    6.92 v mprj/u_wb_host/_2743_/A (sky130_fd_sc_hd__or4_2)
+                  0.14    0.77    7.69 v mprj/u_wb_host/_2743_/X (sky130_fd_sc_hd__or4_2)
+     4    0.01                           mprj/u_wb_host/_1160_ (net)
+                  0.14    0.00    7.69 v mprj/u_wb_host/_2745_/D (sky130_fd_sc_hd__or4_2)
+                  0.15    0.67    8.36 v mprj/u_wb_host/_2745_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_wb_host/_1162_ (net)
+                  0.15    0.00    8.36 v mprj/u_wb_host/_2747_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    8.91 v mprj/u_wb_host/_2747_/X (sky130_fd_sc_hd__or4_1)
+     4    0.02                           mprj/u_wb_host/_1164_ (net)
+                  0.15    0.00    8.91 v mprj/u_wb_host/_2749_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    9.44 v mprj/u_wb_host/_2749_/X (sky130_fd_sc_hd__or4_1)
+     4    0.01                           mprj/u_wb_host/_1166_ (net)
+                  0.15    0.00    9.44 v mprj/u_wb_host/_2751_/D (sky130_fd_sc_hd__or4_2)
+                  0.16    0.70   10.14 v mprj/u_wb_host/_2751_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_wb_host/_1168_ (net)
+                  0.16    0.00   10.14 v mprj/u_wb_host/_2764_/C (sky130_fd_sc_hd__or4_2)
+                  0.17    0.78   10.92 v mprj/u_wb_host/_2764_/X (sky130_fd_sc_hd__or4_2)
+     7    0.02                           mprj/u_wb_host/_1181_ (net)
+                  0.17    0.00   10.92 v mprj/u_wb_host/_3552_/B (sky130_fd_sc_hd__and3b_1)
+                  0.08    0.27   11.19 v mprj/u_wb_host/_3552_/X (sky130_fd_sc_hd__and3b_1)
+     2    0.01                           mprj/u_wb_host/_1678_ (net)
+                  0.08    0.00   11.19 v mprj/u_wb_host/fanout403/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.15    0.26   11.45 v mprj/u_wb_host/fanout403/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.07                           mprj/u_wb_host/net403 (net)
+                  0.15    0.01   11.46 v mprj/u_wb_host/_3570_/B1 (sky130_fd_sc_hd__a22o_1)
+                  0.04    0.22   11.67 v mprj/u_wb_host/_3570_/X (sky130_fd_sc_hd__a22o_1)
+     1    0.00                           mprj/u_wb_host/_0179_ (net)
+                  0.04    0.00   11.67 v mprj/u_wb_host/_5641_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 11.67   data arrival time
 
-                         40.00   40.00   clock mac_rx_clk (rise edge)
-                          0.00   40.00   clock source latency
-                  1.00    0.00   40.00 ^ mprj_io[12] (inout)
-     1    6.12                           mprj_io[12] (net)
-                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[12] (net)
-                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00   41.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10   41.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00   41.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21   41.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17   41.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00   41.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21   41.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00   41.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43   42.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03   42.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24   42.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00   42.61 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16   42.77 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00   42.77 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   42.91 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00   42.91 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.25    0.27   43.19 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
-                  0.25    0.00   43.19 ^ mprj/u_mac_wrap/clkbuf_leaf_29_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.19   43.38 ^ mprj/u_mac_wrap/clkbuf_leaf_29_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     9    0.02                           mprj/u_mac_wrap/clknet_leaf_29_phy_rx_clk (net)
-                  0.05    0.00   43.38 ^ mprj/u_mac_wrap/_09008_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   43.13   clock uncertainty
-                          2.32   45.45   clock reconvergence pessimism
-                         -0.12   45.33   library setup time
-                                 45.33   data required time
+                         10.00   10.00   clock lbist_clk (rise edge)
+                          0.00   10.00   clock source latency
+                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.11   10.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   10.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00   10.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   10.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00   10.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17   10.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00   10.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   11.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00   11.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   11.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00   11.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00   11.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   11.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00   11.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   11.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00   11.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00   11.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   12.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00   12.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00   12.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00   12.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   12.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00   12.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00   12.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00   12.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00   13.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   13.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00   13.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14   13.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00   13.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00   13.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   13.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00   13.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10   13.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00   13.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14   13.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00   13.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   14.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00   14.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   14.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00   14.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13   14.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00   14.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13   14.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00   14.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25   15.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00   15.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   15.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00   15.32 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   15.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00   15.43 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   15.55 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00   15.55 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   15.69 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
+                  0.06    0.00   15.69 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   15.81 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
+                  0.04    0.00   15.81 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.19   15.99 ^ mprj/u_wb_host/clkbuf_4_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.07                           mprj/u_wb_host/clknet_4_2_0_lbist_clk_int (net)
+                  0.14    0.00   15.99 ^ mprj/u_wb_host/_5641_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   15.74   clock uncertainty
+                          0.45   16.20   clock reconvergence pessimism
+                         -0.09   16.11   library setup time
+                                 16.11   data required time
 -----------------------------------------------------------------------------
-                                 45.33   data required time
-                                -11.68   data arrival time
+                                 16.11   data required time
+                                -11.67   data arrival time
 -----------------------------------------------------------------------------
-                                 33.65   slack (MET)
+                                  4.43   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_09078_
-            (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_08996_
-          (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Path Group: mac_rx_clk
+Startpoint: mprj/u_wb_host/_5638_
+            (rising edge-triggered flip-flop clocked by lbist_clk)
+Endpoint: mprj/u_wb_host/_5661_
+          (rising edge-triggered flip-flop clocked by lbist_clk)
+Path Group: lbist_clk
 Path Type: max
 
 Fanout     Cap    Slew   Delay    Time   Description
 -----------------------------------------------------------------------------
-                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock lbist_clk (rise edge)
                           0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1   11.12                           mprj_io[12] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[12] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.09 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.16    5.25 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
-                  0.06    0.00    5.25 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.27    5.52 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.12                           mprj/u_mac_wrap/clknet_3_5_0_phy_rx_clk (net)
-                  0.22    0.00    5.52 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.20    5.72 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.03                           mprj/u_mac_wrap/clknet_leaf_8_phy_rx_clk (net)
-                  0.06    0.00    5.72 ^ mprj/u_mac_wrap/_09078_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.05    0.34    6.06 ^ mprj/u_mac_wrap/_09078_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[1] (net)
-                  0.05    0.00    6.06 ^ mprj/u_mac_wrap/fanout451/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.17    0.20    6.27 ^ mprj/u_mac_wrap/fanout451/X (sky130_fd_sc_hd__clkbuf_2)
-     7    0.03                           mprj/u_mac_wrap/net451 (net)
-                  0.17    0.00    6.27 ^ mprj/u_mac_wrap/fanout450/A (sky130_fd_sc_hd__buf_2)
-                  0.21    0.27    6.54 ^ mprj/u_mac_wrap/fanout450/X (sky130_fd_sc_hd__buf_2)
-    10    0.04                           mprj/u_mac_wrap/net450 (net)
-                  0.21    0.00    6.54 ^ mprj/u_mac_wrap/_04293_/C (sky130_fd_sc_hd__and4_1)
-                  0.18    0.33    6.88 ^ mprj/u_mac_wrap/_04293_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01642_ (net)
-                  0.18    0.00    6.88 ^ mprj/u_mac_wrap/_04295_/D (sky130_fd_sc_hd__and4_2)
-                  0.14    0.35    7.22 ^ mprj/u_mac_wrap/_04295_/X (sky130_fd_sc_hd__and4_2)
-     6    0.02                           mprj/u_mac_wrap/_01644_ (net)
-                  0.14    0.00    7.22 ^ mprj/u_mac_wrap/_04297_/D (sky130_fd_sc_hd__and4_1)
-                  0.20    0.34    7.56 ^ mprj/u_mac_wrap/_04297_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01646_ (net)
-                  0.20    0.00    7.56 ^ mprj/u_mac_wrap/_04298_/C (sky130_fd_sc_hd__nand3_1)
-                  0.15    0.19    7.75 v mprj/u_mac_wrap/_04298_/Y (sky130_fd_sc_hd__nand3_1)
-     3    0.01                           mprj/u_mac_wrap/_01647_ (net)
-                  0.15    0.00    7.75 v mprj/u_mac_wrap/_04304_/A1 (sky130_fd_sc_hd__a2111o_1)
-                  0.13    0.50    8.25 v mprj/u_mac_wrap/_04304_/X (sky130_fd_sc_hd__a2111o_1)
-     3    0.02                           mprj/u_mac_wrap/_01653_ (net)
-                  0.13    0.00    8.25 v mprj/u_mac_wrap/_04320_/B1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.35    8.60 v mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_mac_wrap/_01669_ (net)
-                  0.05    0.00    8.60 v mprj/u_mac_wrap/_04321_/D (sky130_fd_sc_hd__or4_1)
-                  0.11    0.46    9.06 v mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01670_ (net)
-                  0.11    0.00    9.06 v mprj/u_mac_wrap/_04330_/B (sky130_fd_sc_hd__nor4_2)
-                  0.41    0.45    9.51 ^ mprj/u_mac_wrap/_04330_/Y (sky130_fd_sc_hd__nor4_2)
-     4    0.01                           mprj/u_mac_wrap/_01679_ (net)
-                  0.41    0.00    9.51 ^ mprj/u_mac_wrap/_04361_/A_N (sky130_fd_sc_hd__nand3b_1)
-                  0.20    0.27    9.78 ^ mprj/u_mac_wrap/_04361_/Y (sky130_fd_sc_hd__nand3b_1)
-     3    0.02                           mprj/u_mac_wrap/_01710_ (net)
-                  0.20    0.00    9.78 ^ mprj/u_mac_wrap/_04609_/A_N (sky130_fd_sc_hd__and2b_1)
-                  0.08    0.27   10.05 v mprj/u_mac_wrap/_04609_/X (sky130_fd_sc_hd__and2b_1)
-     3    0.01                           mprj/u_mac_wrap/_01928_ (net)
-                  0.08    0.00   10.05 v mprj/u_mac_wrap/_04620_/A (sky130_fd_sc_hd__or2_1)
-                  0.11    0.32   10.37 v mprj/u_mac_wrap/_04620_/X (sky130_fd_sc_hd__or2_1)
-     3    0.02                           mprj/u_mac_wrap/_01939_ (net)
-                  0.11    0.00   10.37 v mprj/u_mac_wrap/_06049_/A (sky130_fd_sc_hd__or3_1)
-                  0.14    0.50   10.87 v mprj/u_mac_wrap/_06049_/X (sky130_fd_sc_hd__or3_1)
-     2    0.02                           mprj/u_mac_wrap/_02846_ (net)
-                  0.14    0.00   10.87 v mprj/u_mac_wrap/fanout237/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.12    0.23   11.10 v mprj/u_mac_wrap/fanout237/X (sky130_fd_sc_hd__clkbuf_2)
-    10    0.03                           mprj/u_mac_wrap/net237 (net)
-                  0.12    0.00   11.10 v mprj/u_mac_wrap/fanout235/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.10    0.24   11.34 v mprj/u_mac_wrap/fanout235/X (sky130_fd_sc_hd__clkbuf_4)
-    10    0.05                           mprj/u_mac_wrap/net235 (net)
-                  0.10    0.00   11.34 v mprj/u_mac_wrap/_06057_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.33   11.68 v mprj/u_mac_wrap/_06057_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00528_ (net)
-                  0.05    0.00   11.68 v mprj/u_mac_wrap/_08996_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 11.68   data arrival time
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    6.45 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_3_0_lbist_clk_int (net)
+                  0.12    0.00    6.45 ^ mprj/u_wb_host/_5638_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.10    0.47    6.92 v mprj/u_wb_host/_5638_/Q (sky130_fd_sc_hd__dfrtp_1)
+     5    0.02                           mprj/u_wb_host/u_lbist.u_lbist_core.scan_pat_cnt[1] (net)
+                  0.10    0.00    6.92 v mprj/u_wb_host/_2743_/A (sky130_fd_sc_hd__or4_2)
+                  0.14    0.77    7.69 v mprj/u_wb_host/_2743_/X (sky130_fd_sc_hd__or4_2)
+     4    0.01                           mprj/u_wb_host/_1160_ (net)
+                  0.14    0.00    7.69 v mprj/u_wb_host/_2745_/D (sky130_fd_sc_hd__or4_2)
+                  0.15    0.67    8.36 v mprj/u_wb_host/_2745_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_wb_host/_1162_ (net)
+                  0.15    0.00    8.36 v mprj/u_wb_host/_2747_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    8.91 v mprj/u_wb_host/_2747_/X (sky130_fd_sc_hd__or4_1)
+     4    0.02                           mprj/u_wb_host/_1164_ (net)
+                  0.15    0.00    8.91 v mprj/u_wb_host/_2749_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    9.44 v mprj/u_wb_host/_2749_/X (sky130_fd_sc_hd__or4_1)
+     4    0.01                           mprj/u_wb_host/_1166_ (net)
+                  0.15    0.00    9.44 v mprj/u_wb_host/_2751_/D (sky130_fd_sc_hd__or4_2)
+                  0.16    0.70   10.14 v mprj/u_wb_host/_2751_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_wb_host/_1168_ (net)
+                  0.16    0.00   10.14 v mprj/u_wb_host/_2764_/C (sky130_fd_sc_hd__or4_2)
+                  0.17    0.78   10.92 v mprj/u_wb_host/_2764_/X (sky130_fd_sc_hd__or4_2)
+     7    0.02                           mprj/u_wb_host/_1181_ (net)
+                  0.17    0.00   10.92 v mprj/u_wb_host/_3638_/C1 (sky130_fd_sc_hd__o211ai_2)
+                  0.18    0.13   11.05 ^ mprj/u_wb_host/_3638_/Y (sky130_fd_sc_hd__o211ai_2)
+     2    0.01                           mprj/u_wb_host/_1742_ (net)
+                  0.18    0.00   11.05 ^ mprj/u_wb_host/fanout402/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.15    0.23   11.28 ^ mprj/u_wb_host/fanout402/X (sky130_fd_sc_hd__clkbuf_2)
+     6    0.03                           mprj/u_wb_host/net402 (net)
+                  0.15    0.00   11.28 ^ mprj/u_wb_host/_3650_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.34   11.62 v mprj/u_wb_host/_3650_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0199_ (net)
+                  0.05    0.00   11.62 v mprj/u_wb_host/_5661_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 11.62   data arrival time
 
-                         40.00   40.00   clock mac_rx_clk (rise edge)
-                          0.00   40.00   clock source latency
-                  1.00    0.00   40.00 ^ mprj_io[12] (inout)
-     1    6.12                           mprj_io[12] (net)
-                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[12] (net)
-                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00   41.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10   41.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00   41.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21   41.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17   41.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00   41.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21   41.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00   41.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43   42.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03   42.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24   42.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00   42.61 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16   42.77 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00   42.77 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   42.91 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00   42.91 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.25    0.27   43.19 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
-                  0.25    0.00   43.19 ^ mprj/u_mac_wrap/clkbuf_leaf_30_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.19   43.38 ^ mprj/u_mac_wrap/clkbuf_leaf_30_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    10    0.03                           mprj/u_mac_wrap/clknet_leaf_30_phy_rx_clk (net)
-                  0.05    0.00   43.38 ^ mprj/u_mac_wrap/_08996_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   43.13   clock uncertainty
-                          2.32   45.45   clock reconvergence pessimism
-                         -0.12   45.33   library setup time
-                                 45.33   data required time
+                         10.00   10.00   clock lbist_clk (rise edge)
+                          0.00   10.00   clock source latency
+                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.11   10.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   10.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00   10.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   10.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00   10.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17   10.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00   10.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   11.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00   11.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   11.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00   11.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00   11.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   11.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00   11.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   11.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00   11.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00   11.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   12.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00   12.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00   12.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00   12.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   12.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00   12.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00   12.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00   12.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00   13.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   13.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00   13.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14   13.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00   13.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00   13.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   13.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00   13.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10   13.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00   13.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14   13.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00   13.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   14.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00   14.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   14.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00   14.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13   14.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00   14.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13   14.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00   14.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25   15.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00   15.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   15.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00   15.32 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   15.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00   15.43 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12   15.55 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00   15.55 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   15.69 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
+                  0.06    0.00   15.69 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   15.81 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
+                  0.04    0.00   15.81 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.18   15.99 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_10_0_lbist_clk_int (net)
+                  0.12    0.00   15.99 ^ mprj/u_wb_host/_5661_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   15.74   clock uncertainty
+                          0.41   16.15   clock reconvergence pessimism
+                         -0.10   16.05   library setup time
+                                 16.05   data required time
 -----------------------------------------------------------------------------
-                                 45.33   data required time
-                                -11.68   data arrival time
+                                 16.05   data required time
+                                -11.62   data arrival time
 -----------------------------------------------------------------------------
-                                 33.66   slack (MET)
+                                  4.43   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_09078_
-            (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_09012_
-          (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Path Group: mac_rx_clk
+Startpoint: mprj/u_wb_host/_5638_
+            (rising edge-triggered flip-flop clocked by lbist_clk)
+Endpoint: mprj/u_wb_host/_5642_
+          (rising edge-triggered flip-flop clocked by lbist_clk)
+Path Group: lbist_clk
 Path Type: max
 
 Fanout     Cap    Slew   Delay    Time   Description
 -----------------------------------------------------------------------------
-                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock lbist_clk (rise edge)
                           0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1   11.12                           mprj_io[12] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[12] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.09 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.16    5.25 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
-                  0.06    0.00    5.25 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.27    5.52 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.12                           mprj/u_mac_wrap/clknet_3_5_0_phy_rx_clk (net)
-                  0.22    0.00    5.52 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.20    5.72 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.03                           mprj/u_mac_wrap/clknet_leaf_8_phy_rx_clk (net)
-                  0.06    0.00    5.72 ^ mprj/u_mac_wrap/_09078_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.05    0.34    6.06 ^ mprj/u_mac_wrap/_09078_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[1] (net)
-                  0.05    0.00    6.06 ^ mprj/u_mac_wrap/fanout451/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.17    0.20    6.27 ^ mprj/u_mac_wrap/fanout451/X (sky130_fd_sc_hd__clkbuf_2)
-     7    0.03                           mprj/u_mac_wrap/net451 (net)
-                  0.17    0.00    6.27 ^ mprj/u_mac_wrap/fanout450/A (sky130_fd_sc_hd__buf_2)
-                  0.21    0.27    6.54 ^ mprj/u_mac_wrap/fanout450/X (sky130_fd_sc_hd__buf_2)
-    10    0.04                           mprj/u_mac_wrap/net450 (net)
-                  0.21    0.00    6.54 ^ mprj/u_mac_wrap/_04293_/C (sky130_fd_sc_hd__and4_1)
-                  0.18    0.33    6.88 ^ mprj/u_mac_wrap/_04293_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01642_ (net)
-                  0.18    0.00    6.88 ^ mprj/u_mac_wrap/_04295_/D (sky130_fd_sc_hd__and4_2)
-                  0.14    0.35    7.22 ^ mprj/u_mac_wrap/_04295_/X (sky130_fd_sc_hd__and4_2)
-     6    0.02                           mprj/u_mac_wrap/_01644_ (net)
-                  0.14    0.00    7.22 ^ mprj/u_mac_wrap/_04297_/D (sky130_fd_sc_hd__and4_1)
-                  0.20    0.34    7.56 ^ mprj/u_mac_wrap/_04297_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01646_ (net)
-                  0.20    0.00    7.56 ^ mprj/u_mac_wrap/_04298_/C (sky130_fd_sc_hd__nand3_1)
-                  0.15    0.19    7.75 v mprj/u_mac_wrap/_04298_/Y (sky130_fd_sc_hd__nand3_1)
-     3    0.01                           mprj/u_mac_wrap/_01647_ (net)
-                  0.15    0.00    7.75 v mprj/u_mac_wrap/_04304_/A1 (sky130_fd_sc_hd__a2111o_1)
-                  0.13    0.50    8.25 v mprj/u_mac_wrap/_04304_/X (sky130_fd_sc_hd__a2111o_1)
-     3    0.02                           mprj/u_mac_wrap/_01653_ (net)
-                  0.13    0.00    8.25 v mprj/u_mac_wrap/_04320_/B1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.35    8.60 v mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_mac_wrap/_01669_ (net)
-                  0.05    0.00    8.60 v mprj/u_mac_wrap/_04321_/D (sky130_fd_sc_hd__or4_1)
-                  0.11    0.46    9.06 v mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01670_ (net)
-                  0.11    0.00    9.06 v mprj/u_mac_wrap/_04330_/B (sky130_fd_sc_hd__nor4_2)
-                  0.41    0.45    9.51 ^ mprj/u_mac_wrap/_04330_/Y (sky130_fd_sc_hd__nor4_2)
-     4    0.01                           mprj/u_mac_wrap/_01679_ (net)
-                  0.41    0.00    9.51 ^ mprj/u_mac_wrap/_04361_/A_N (sky130_fd_sc_hd__nand3b_1)
-                  0.20    0.27    9.78 ^ mprj/u_mac_wrap/_04361_/Y (sky130_fd_sc_hd__nand3b_1)
-     3    0.02                           mprj/u_mac_wrap/_01710_ (net)
-                  0.20    0.00    9.78 ^ mprj/u_mac_wrap/_04609_/A_N (sky130_fd_sc_hd__and2b_1)
-                  0.08    0.27   10.05 v mprj/u_mac_wrap/_04609_/X (sky130_fd_sc_hd__and2b_1)
-     3    0.01                           mprj/u_mac_wrap/_01928_ (net)
-                  0.08    0.00   10.05 v mprj/u_mac_wrap/_04620_/A (sky130_fd_sc_hd__or2_1)
-                  0.11    0.32   10.37 v mprj/u_mac_wrap/_04620_/X (sky130_fd_sc_hd__or2_1)
-     3    0.02                           mprj/u_mac_wrap/_01939_ (net)
-                  0.11    0.00   10.37 v mprj/u_mac_wrap/_06049_/A (sky130_fd_sc_hd__or3_1)
-                  0.14    0.50   10.87 v mprj/u_mac_wrap/_06049_/X (sky130_fd_sc_hd__or3_1)
-     2    0.02                           mprj/u_mac_wrap/_02846_ (net)
-                  0.14    0.00   10.87 v mprj/u_mac_wrap/fanout237/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.12    0.23   11.10 v mprj/u_mac_wrap/fanout237/X (sky130_fd_sc_hd__clkbuf_2)
-    10    0.03                           mprj/u_mac_wrap/net237 (net)
-                  0.12    0.00   11.10 v mprj/u_mac_wrap/fanout235/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.10    0.24   11.34 v mprj/u_mac_wrap/fanout235/X (sky130_fd_sc_hd__clkbuf_4)
-    10    0.05                           mprj/u_mac_wrap/net235 (net)
-                  0.10    0.00   11.34 v mprj/u_mac_wrap/_06073_/S (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.34   11.68 v mprj/u_mac_wrap/_06073_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00544_ (net)
-                  0.06    0.00   11.68 v mprj/u_mac_wrap/_09012_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 11.68   data arrival time
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    6.45 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_3_0_lbist_clk_int (net)
+                  0.12    0.00    6.45 ^ mprj/u_wb_host/_5638_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.10    0.47    6.92 v mprj/u_wb_host/_5638_/Q (sky130_fd_sc_hd__dfrtp_1)
+     5    0.02                           mprj/u_wb_host/u_lbist.u_lbist_core.scan_pat_cnt[1] (net)
+                  0.10    0.00    6.92 v mprj/u_wb_host/_2743_/A (sky130_fd_sc_hd__or4_2)
+                  0.14    0.77    7.69 v mprj/u_wb_host/_2743_/X (sky130_fd_sc_hd__or4_2)
+     4    0.01                           mprj/u_wb_host/_1160_ (net)
+                  0.14    0.00    7.69 v mprj/u_wb_host/_2745_/D (sky130_fd_sc_hd__or4_2)
+                  0.15    0.67    8.36 v mprj/u_wb_host/_2745_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_wb_host/_1162_ (net)
+                  0.15    0.00    8.36 v mprj/u_wb_host/_2747_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    8.91 v mprj/u_wb_host/_2747_/X (sky130_fd_sc_hd__or4_1)
+     4    0.02                           mprj/u_wb_host/_1164_ (net)
+                  0.15    0.00    8.91 v mprj/u_wb_host/_2749_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    9.44 v mprj/u_wb_host/_2749_/X (sky130_fd_sc_hd__or4_1)
+     4    0.01                           mprj/u_wb_host/_1166_ (net)
+                  0.15    0.00    9.44 v mprj/u_wb_host/_2751_/D (sky130_fd_sc_hd__or4_2)
+                  0.16    0.70   10.14 v mprj/u_wb_host/_2751_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_wb_host/_1168_ (net)
+                  0.16    0.00   10.14 v mprj/u_wb_host/_2764_/C (sky130_fd_sc_hd__or4_2)
+                  0.17    0.78   10.92 v mprj/u_wb_host/_2764_/X (sky130_fd_sc_hd__or4_2)
+     7    0.02                           mprj/u_wb_host/_1181_ (net)
+                  0.17    0.00   10.92 v mprj/u_wb_host/_3552_/B (sky130_fd_sc_hd__and3b_1)
+                  0.08    0.27   11.19 v mprj/u_wb_host/_3552_/X (sky130_fd_sc_hd__and3b_1)
+     2    0.01                           mprj/u_wb_host/_1678_ (net)
+                  0.08    0.00   11.19 v mprj/u_wb_host/fanout403/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.15    0.26   11.45 v mprj/u_wb_host/fanout403/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.07                           mprj/u_wb_host/net403 (net)
+                  0.15    0.01   11.46 v mprj/u_wb_host/_3573_/B1 (sky130_fd_sc_hd__a22o_1)
+                  0.04    0.22   11.67 v mprj/u_wb_host/_3573_/X (sky130_fd_sc_hd__a22o_1)
+     1    0.00                           mprj/u_wb_host/_0180_ (net)
+                  0.04    0.00   11.67 v mprj/u_wb_host/_5642_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 11.67   data arrival time
 
-                         40.00   40.00   clock mac_rx_clk (rise edge)
-                          0.00   40.00   clock source latency
-                  1.00    0.00   40.00 ^ mprj_io[12] (inout)
-     1    6.12                           mprj_io[12] (net)
-                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[12] (net)
-                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00   41.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10   41.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00   41.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21   41.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17   41.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00   41.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21   41.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00   41.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43   42.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03   42.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24   42.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00   42.61 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16   42.77 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00   42.77 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   42.91 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00   42.91 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.25    0.27   43.19 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
-                  0.25    0.00   43.19 ^ mprj/u_mac_wrap/clkbuf_leaf_34_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.19   43.38 ^ mprj/u_mac_wrap/clkbuf_leaf_34_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    10    0.03                           mprj/u_mac_wrap/clknet_leaf_34_phy_rx_clk (net)
-                  0.05    0.00   43.38 ^ mprj/u_mac_wrap/_09012_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   43.13   clock uncertainty
-                          2.32   45.45   clock reconvergence pessimism
-                         -0.12   45.34   library setup time
-                                 45.34   data required time
+                         10.00   10.00   clock lbist_clk (rise edge)
+                          0.00   10.00   clock source latency
+                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.11   10.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   10.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00   10.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   10.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00   10.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17   10.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00   10.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   11.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00   11.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   11.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00   11.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00   11.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   11.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00   11.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   11.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00   11.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00   11.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   12.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00   12.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00   12.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00   12.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   12.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00   12.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00   12.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00   12.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00   13.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   13.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00   13.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14   13.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00   13.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00   13.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   13.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00   13.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10   13.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00   13.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14   13.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00   13.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   14.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00   14.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   14.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00   14.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13   14.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00   14.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13   14.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00   14.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25   15.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00   15.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   15.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00   15.32 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   15.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00   15.43 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   15.55 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00   15.55 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   15.69 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
+                  0.06    0.00   15.69 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   15.81 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
+                  0.04    0.00   15.81 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.18   15.99 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_3_0_lbist_clk_int (net)
+                  0.12    0.00   15.99 ^ mprj/u_wb_host/_5642_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   15.74   clock uncertainty
+                          0.47   16.20   clock reconvergence pessimism
+                         -0.09   16.11   library setup time
+                                 16.11   data required time
 -----------------------------------------------------------------------------
-                                 45.34   data required time
-                                -11.68   data arrival time
+                                 16.11   data required time
+                                -11.67   data arrival time
 -----------------------------------------------------------------------------
-                                 33.66   slack (MET)
+                                  4.44   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_09078_
-            (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_09001_
-          (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Path Group: mac_rx_clk
+Startpoint: mprj/u_wb_host/_5638_
+            (rising edge-triggered flip-flop clocked by lbist_clk)
+Endpoint: mprj/u_wb_host/_5672_
+          (rising edge-triggered flip-flop clocked by lbist_clk)
+Path Group: lbist_clk
 Path Type: max
 
 Fanout     Cap    Slew   Delay    Time   Description
 -----------------------------------------------------------------------------
-                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock lbist_clk (rise edge)
                           0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1   11.12                           mprj_io[12] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[12] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.09 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.16    5.25 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
-                  0.06    0.00    5.25 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.27    5.52 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.12                           mprj/u_mac_wrap/clknet_3_5_0_phy_rx_clk (net)
-                  0.22    0.00    5.52 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.20    5.72 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.03                           mprj/u_mac_wrap/clknet_leaf_8_phy_rx_clk (net)
-                  0.06    0.00    5.72 ^ mprj/u_mac_wrap/_09078_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.05    0.34    6.06 ^ mprj/u_mac_wrap/_09078_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[1] (net)
-                  0.05    0.00    6.06 ^ mprj/u_mac_wrap/fanout451/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.17    0.20    6.27 ^ mprj/u_mac_wrap/fanout451/X (sky130_fd_sc_hd__clkbuf_2)
-     7    0.03                           mprj/u_mac_wrap/net451 (net)
-                  0.17    0.00    6.27 ^ mprj/u_mac_wrap/fanout450/A (sky130_fd_sc_hd__buf_2)
-                  0.21    0.27    6.54 ^ mprj/u_mac_wrap/fanout450/X (sky130_fd_sc_hd__buf_2)
-    10    0.04                           mprj/u_mac_wrap/net450 (net)
-                  0.21    0.00    6.54 ^ mprj/u_mac_wrap/_04293_/C (sky130_fd_sc_hd__and4_1)
-                  0.18    0.33    6.88 ^ mprj/u_mac_wrap/_04293_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01642_ (net)
-                  0.18    0.00    6.88 ^ mprj/u_mac_wrap/_04295_/D (sky130_fd_sc_hd__and4_2)
-                  0.14    0.35    7.22 ^ mprj/u_mac_wrap/_04295_/X (sky130_fd_sc_hd__and4_2)
-     6    0.02                           mprj/u_mac_wrap/_01644_ (net)
-                  0.14    0.00    7.22 ^ mprj/u_mac_wrap/_04297_/D (sky130_fd_sc_hd__and4_1)
-                  0.20    0.34    7.56 ^ mprj/u_mac_wrap/_04297_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01646_ (net)
-                  0.20    0.00    7.56 ^ mprj/u_mac_wrap/_04298_/C (sky130_fd_sc_hd__nand3_1)
-                  0.15    0.19    7.75 v mprj/u_mac_wrap/_04298_/Y (sky130_fd_sc_hd__nand3_1)
-     3    0.01                           mprj/u_mac_wrap/_01647_ (net)
-                  0.15    0.00    7.75 v mprj/u_mac_wrap/_04304_/A1 (sky130_fd_sc_hd__a2111o_1)
-                  0.13    0.50    8.25 v mprj/u_mac_wrap/_04304_/X (sky130_fd_sc_hd__a2111o_1)
-     3    0.02                           mprj/u_mac_wrap/_01653_ (net)
-                  0.13    0.00    8.25 v mprj/u_mac_wrap/_04320_/B1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.35    8.60 v mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_mac_wrap/_01669_ (net)
-                  0.05    0.00    8.60 v mprj/u_mac_wrap/_04321_/D (sky130_fd_sc_hd__or4_1)
-                  0.11    0.46    9.06 v mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01670_ (net)
-                  0.11    0.00    9.06 v mprj/u_mac_wrap/_04330_/B (sky130_fd_sc_hd__nor4_2)
-                  0.41    0.45    9.51 ^ mprj/u_mac_wrap/_04330_/Y (sky130_fd_sc_hd__nor4_2)
-     4    0.01                           mprj/u_mac_wrap/_01679_ (net)
-                  0.41    0.00    9.51 ^ mprj/u_mac_wrap/_04361_/A_N (sky130_fd_sc_hd__nand3b_1)
-                  0.20    0.27    9.78 ^ mprj/u_mac_wrap/_04361_/Y (sky130_fd_sc_hd__nand3b_1)
-     3    0.02                           mprj/u_mac_wrap/_01710_ (net)
-                  0.20    0.00    9.78 ^ mprj/u_mac_wrap/_04609_/A_N (sky130_fd_sc_hd__and2b_1)
-                  0.08    0.27   10.05 v mprj/u_mac_wrap/_04609_/X (sky130_fd_sc_hd__and2b_1)
-     3    0.01                           mprj/u_mac_wrap/_01928_ (net)
-                  0.08    0.00   10.05 v mprj/u_mac_wrap/_04620_/A (sky130_fd_sc_hd__or2_1)
-                  0.11    0.32   10.37 v mprj/u_mac_wrap/_04620_/X (sky130_fd_sc_hd__or2_1)
-     3    0.02                           mprj/u_mac_wrap/_01939_ (net)
-                  0.11    0.00   10.37 v mprj/u_mac_wrap/_06049_/A (sky130_fd_sc_hd__or3_1)
-                  0.14    0.50   10.87 v mprj/u_mac_wrap/_06049_/X (sky130_fd_sc_hd__or3_1)
-     2    0.02                           mprj/u_mac_wrap/_02846_ (net)
-                  0.14    0.00   10.87 v mprj/u_mac_wrap/fanout237/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.12    0.23   11.10 v mprj/u_mac_wrap/fanout237/X (sky130_fd_sc_hd__clkbuf_2)
-    10    0.03                           mprj/u_mac_wrap/net237 (net)
-                  0.12    0.00   11.10 v mprj/u_mac_wrap/fanout235/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.10    0.24   11.34 v mprj/u_mac_wrap/fanout235/X (sky130_fd_sc_hd__clkbuf_4)
-    10    0.05                           mprj/u_mac_wrap/net235 (net)
-                  0.10    0.00   11.34 v mprj/u_mac_wrap/_06062_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.33   11.68 v mprj/u_mac_wrap/_06062_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00533_ (net)
-                  0.05    0.00   11.68 v mprj/u_mac_wrap/_09001_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 11.68   data arrival time
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    6.45 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_3_0_lbist_clk_int (net)
+                  0.12    0.00    6.45 ^ mprj/u_wb_host/_5638_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.10    0.47    6.92 v mprj/u_wb_host/_5638_/Q (sky130_fd_sc_hd__dfrtp_1)
+     5    0.02                           mprj/u_wb_host/u_lbist.u_lbist_core.scan_pat_cnt[1] (net)
+                  0.10    0.00    6.92 v mprj/u_wb_host/_2743_/A (sky130_fd_sc_hd__or4_2)
+                  0.14    0.77    7.69 v mprj/u_wb_host/_2743_/X (sky130_fd_sc_hd__or4_2)
+     4    0.01                           mprj/u_wb_host/_1160_ (net)
+                  0.14    0.00    7.69 v mprj/u_wb_host/_2745_/D (sky130_fd_sc_hd__or4_2)
+                  0.15    0.67    8.36 v mprj/u_wb_host/_2745_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_wb_host/_1162_ (net)
+                  0.15    0.00    8.36 v mprj/u_wb_host/_2747_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    8.91 v mprj/u_wb_host/_2747_/X (sky130_fd_sc_hd__or4_1)
+     4    0.02                           mprj/u_wb_host/_1164_ (net)
+                  0.15    0.00    8.91 v mprj/u_wb_host/_2749_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    9.44 v mprj/u_wb_host/_2749_/X (sky130_fd_sc_hd__or4_1)
+     4    0.01                           mprj/u_wb_host/_1166_ (net)
+                  0.15    0.00    9.44 v mprj/u_wb_host/_2751_/D (sky130_fd_sc_hd__or4_2)
+                  0.16    0.70   10.14 v mprj/u_wb_host/_2751_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_wb_host/_1168_ (net)
+                  0.16    0.00   10.14 v mprj/u_wb_host/_2764_/C (sky130_fd_sc_hd__or4_2)
+                  0.17    0.78   10.92 v mprj/u_wb_host/_2764_/X (sky130_fd_sc_hd__or4_2)
+     7    0.02                           mprj/u_wb_host/_1181_ (net)
+                  0.17    0.00   10.92 v mprj/u_wb_host/_3642_/C (sky130_fd_sc_hd__and4_1)
+                  0.07    0.29   11.21 v mprj/u_wb_host/_3642_/X (sky130_fd_sc_hd__and4_1)
+     2    0.01                           mprj/u_wb_host/_1746_ (net)
+                  0.07    0.00   11.21 v mprj/u_wb_host/fanout400/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.09    0.17   11.38 v mprj/u_wb_host/fanout400/X (sky130_fd_sc_hd__clkbuf_2)
+     5    0.02                           mprj/u_wb_host/net400 (net)
+                  0.09    0.00   11.38 v mprj/u_wb_host/_3690_/B1 (sky130_fd_sc_hd__a22o_1)
+                  0.04    0.20   11.58 v mprj/u_wb_host/_3690_/X (sky130_fd_sc_hd__a22o_1)
+     1    0.00                           mprj/u_wb_host/_0210_ (net)
+                  0.04    0.00   11.58 v mprj/u_wb_host/_5672_/D (sky130_fd_sc_hd__dfrtp_2)
+                                 11.58   data arrival time
 
-                         40.00   40.00   clock mac_rx_clk (rise edge)
-                          0.00   40.00   clock source latency
-                  1.00    0.00   40.00 ^ mprj_io[12] (inout)
-     1    6.12                           mprj_io[12] (net)
-                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[12] (net)
-                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00   41.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10   41.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00   41.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21   41.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17   41.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00   41.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21   41.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00   41.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43   42.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03   42.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24   42.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00   42.61 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16   42.77 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00   42.77 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   42.91 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00   42.91 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.25    0.27   43.19 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
-                  0.25    0.00   43.19 ^ mprj/u_mac_wrap/clkbuf_leaf_30_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.19   43.38 ^ mprj/u_mac_wrap/clkbuf_leaf_30_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    10    0.03                           mprj/u_mac_wrap/clknet_leaf_30_phy_rx_clk (net)
-                  0.05    0.00   43.38 ^ mprj/u_mac_wrap/_09001_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   43.13   clock uncertainty
-                          2.32   45.45   clock reconvergence pessimism
-                         -0.12   45.33   library setup time
-                                 45.33   data required time
+                         10.00   10.00   clock lbist_clk (rise edge)
+                          0.00   10.00   clock source latency
+                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.11   10.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   10.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00   10.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   10.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00   10.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17   10.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00   10.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   11.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00   11.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   11.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00   11.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00   11.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   11.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00   11.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   11.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00   11.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00   11.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   12.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00   12.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00   12.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00   12.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   12.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00   12.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00   12.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00   12.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00   13.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   13.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00   13.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14   13.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00   13.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00   13.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   13.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00   13.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10   13.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00   13.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14   13.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00   13.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   14.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00   14.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   14.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00   14.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13   14.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00   14.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13   14.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00   14.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25   15.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00   15.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   15.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00   15.32 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   15.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00   15.43 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12   15.55 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00   15.55 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   15.69 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
+                  0.06    0.00   15.69 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   15.81 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
+                  0.04    0.00   15.81 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.15   15.96 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.04                           mprj/u_wb_host/clknet_4_11_0_lbist_clk_int (net)
+                  0.09    0.00   15.96 ^ mprj/u_wb_host/_5672_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                         -0.25   15.71   clock uncertainty
+                          0.41   16.13   clock reconvergence pessimism
+                         -0.11   16.02   library setup time
+                                 16.02   data required time
 -----------------------------------------------------------------------------
-                                 45.33   data required time
-                                -11.68   data arrival time
+                                 16.02   data required time
+                                -11.58   data arrival time
 -----------------------------------------------------------------------------
-                                 33.66   slack (MET)
+                                  4.44   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_09078_
-            (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_08995_
-          (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Path Group: mac_rx_clk
+Startpoint: mprj/u_wb_host/_5638_
+            (rising edge-triggered flip-flop clocked by lbist_clk)
+Endpoint: mprj/u_wb_host/_5674_
+          (rising edge-triggered flip-flop clocked by lbist_clk)
+Path Group: lbist_clk
 Path Type: max
 
 Fanout     Cap    Slew   Delay    Time   Description
 -----------------------------------------------------------------------------
-                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock lbist_clk (rise edge)
                           0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1   11.12                           mprj_io[12] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[12] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.09 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.16    5.25 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
-                  0.06    0.00    5.25 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.27    5.52 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.12                           mprj/u_mac_wrap/clknet_3_5_0_phy_rx_clk (net)
-                  0.22    0.00    5.52 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.20    5.72 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.03                           mprj/u_mac_wrap/clknet_leaf_8_phy_rx_clk (net)
-                  0.06    0.00    5.72 ^ mprj/u_mac_wrap/_09078_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.05    0.34    6.06 ^ mprj/u_mac_wrap/_09078_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[1] (net)
-                  0.05    0.00    6.06 ^ mprj/u_mac_wrap/fanout451/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.17    0.20    6.27 ^ mprj/u_mac_wrap/fanout451/X (sky130_fd_sc_hd__clkbuf_2)
-     7    0.03                           mprj/u_mac_wrap/net451 (net)
-                  0.17    0.00    6.27 ^ mprj/u_mac_wrap/fanout450/A (sky130_fd_sc_hd__buf_2)
-                  0.21    0.27    6.54 ^ mprj/u_mac_wrap/fanout450/X (sky130_fd_sc_hd__buf_2)
-    10    0.04                           mprj/u_mac_wrap/net450 (net)
-                  0.21    0.00    6.54 ^ mprj/u_mac_wrap/_04293_/C (sky130_fd_sc_hd__and4_1)
-                  0.18    0.33    6.88 ^ mprj/u_mac_wrap/_04293_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01642_ (net)
-                  0.18    0.00    6.88 ^ mprj/u_mac_wrap/_04295_/D (sky130_fd_sc_hd__and4_2)
-                  0.14    0.35    7.22 ^ mprj/u_mac_wrap/_04295_/X (sky130_fd_sc_hd__and4_2)
-     6    0.02                           mprj/u_mac_wrap/_01644_ (net)
-                  0.14    0.00    7.22 ^ mprj/u_mac_wrap/_04297_/D (sky130_fd_sc_hd__and4_1)
-                  0.20    0.34    7.56 ^ mprj/u_mac_wrap/_04297_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01646_ (net)
-                  0.20    0.00    7.56 ^ mprj/u_mac_wrap/_04298_/C (sky130_fd_sc_hd__nand3_1)
-                  0.15    0.19    7.75 v mprj/u_mac_wrap/_04298_/Y (sky130_fd_sc_hd__nand3_1)
-     3    0.01                           mprj/u_mac_wrap/_01647_ (net)
-                  0.15    0.00    7.75 v mprj/u_mac_wrap/_04304_/A1 (sky130_fd_sc_hd__a2111o_1)
-                  0.13    0.50    8.25 v mprj/u_mac_wrap/_04304_/X (sky130_fd_sc_hd__a2111o_1)
-     3    0.02                           mprj/u_mac_wrap/_01653_ (net)
-                  0.13    0.00    8.25 v mprj/u_mac_wrap/_04320_/B1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.35    8.60 v mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_mac_wrap/_01669_ (net)
-                  0.05    0.00    8.60 v mprj/u_mac_wrap/_04321_/D (sky130_fd_sc_hd__or4_1)
-                  0.11    0.46    9.06 v mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01670_ (net)
-                  0.11    0.00    9.06 v mprj/u_mac_wrap/_04330_/B (sky130_fd_sc_hd__nor4_2)
-                  0.41    0.45    9.51 ^ mprj/u_mac_wrap/_04330_/Y (sky130_fd_sc_hd__nor4_2)
-     4    0.01                           mprj/u_mac_wrap/_01679_ (net)
-                  0.41    0.00    9.51 ^ mprj/u_mac_wrap/_04361_/A_N (sky130_fd_sc_hd__nand3b_1)
-                  0.20    0.27    9.78 ^ mprj/u_mac_wrap/_04361_/Y (sky130_fd_sc_hd__nand3b_1)
-     3    0.02                           mprj/u_mac_wrap/_01710_ (net)
-                  0.20    0.00    9.78 ^ mprj/u_mac_wrap/_04609_/A_N (sky130_fd_sc_hd__and2b_1)
-                  0.08    0.27   10.05 v mprj/u_mac_wrap/_04609_/X (sky130_fd_sc_hd__and2b_1)
-     3    0.01                           mprj/u_mac_wrap/_01928_ (net)
-                  0.08    0.00   10.05 v mprj/u_mac_wrap/_04620_/A (sky130_fd_sc_hd__or2_1)
-                  0.11    0.32   10.37 v mprj/u_mac_wrap/_04620_/X (sky130_fd_sc_hd__or2_1)
-     3    0.02                           mprj/u_mac_wrap/_01939_ (net)
-                  0.11    0.00   10.37 v mprj/u_mac_wrap/_06049_/A (sky130_fd_sc_hd__or3_1)
-                  0.14    0.50   10.87 v mprj/u_mac_wrap/_06049_/X (sky130_fd_sc_hd__or3_1)
-     2    0.02                           mprj/u_mac_wrap/_02846_ (net)
-                  0.14    0.00   10.87 v mprj/u_mac_wrap/fanout237/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.12    0.23   11.10 v mprj/u_mac_wrap/fanout237/X (sky130_fd_sc_hd__clkbuf_2)
-    10    0.03                           mprj/u_mac_wrap/net237 (net)
-                  0.12    0.00   11.10 v mprj/u_mac_wrap/fanout234/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.10    0.25   11.34 v mprj/u_mac_wrap/fanout234/X (sky130_fd_sc_hd__clkbuf_4)
-    10    0.05                           mprj/u_mac_wrap/net234 (net)
-                  0.10    0.00   11.35 v mprj/u_mac_wrap/_06056_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.33   11.68 v mprj/u_mac_wrap/_06056_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00527_ (net)
-                  0.05    0.00   11.68 v mprj/u_mac_wrap/_08995_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 11.68   data arrival time
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    6.45 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_3_0_lbist_clk_int (net)
+                  0.12    0.00    6.45 ^ mprj/u_wb_host/_5638_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.10    0.47    6.92 v mprj/u_wb_host/_5638_/Q (sky130_fd_sc_hd__dfrtp_1)
+     5    0.02                           mprj/u_wb_host/u_lbist.u_lbist_core.scan_pat_cnt[1] (net)
+                  0.10    0.00    6.92 v mprj/u_wb_host/_2743_/A (sky130_fd_sc_hd__or4_2)
+                  0.14    0.77    7.69 v mprj/u_wb_host/_2743_/X (sky130_fd_sc_hd__or4_2)
+     4    0.01                           mprj/u_wb_host/_1160_ (net)
+                  0.14    0.00    7.69 v mprj/u_wb_host/_2745_/D (sky130_fd_sc_hd__or4_2)
+                  0.15    0.67    8.36 v mprj/u_wb_host/_2745_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_wb_host/_1162_ (net)
+                  0.15    0.00    8.36 v mprj/u_wb_host/_2747_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    8.91 v mprj/u_wb_host/_2747_/X (sky130_fd_sc_hd__or4_1)
+     4    0.02                           mprj/u_wb_host/_1164_ (net)
+                  0.15    0.00    8.91 v mprj/u_wb_host/_2749_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    9.44 v mprj/u_wb_host/_2749_/X (sky130_fd_sc_hd__or4_1)
+     4    0.01                           mprj/u_wb_host/_1166_ (net)
+                  0.15    0.00    9.44 v mprj/u_wb_host/_2751_/D (sky130_fd_sc_hd__or4_2)
+                  0.16    0.70   10.14 v mprj/u_wb_host/_2751_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_wb_host/_1168_ (net)
+                  0.16    0.00   10.14 v mprj/u_wb_host/_2764_/C (sky130_fd_sc_hd__or4_2)
+                  0.17    0.78   10.92 v mprj/u_wb_host/_2764_/X (sky130_fd_sc_hd__or4_2)
+     7    0.02                           mprj/u_wb_host/_1181_ (net)
+                  0.17    0.00   10.92 v mprj/u_wb_host/_3642_/C (sky130_fd_sc_hd__and4_1)
+                  0.07    0.29   11.21 v mprj/u_wb_host/_3642_/X (sky130_fd_sc_hd__and4_1)
+     2    0.01                           mprj/u_wb_host/_1746_ (net)
+                  0.07    0.00   11.21 v mprj/u_wb_host/fanout400/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.09    0.17   11.38 v mprj/u_wb_host/fanout400/X (sky130_fd_sc_hd__clkbuf_2)
+     5    0.02                           mprj/u_wb_host/net400 (net)
+                  0.09    0.00   11.38 v mprj/u_wb_host/_3696_/B1 (sky130_fd_sc_hd__a22o_1)
+                  0.04    0.20   11.58 v mprj/u_wb_host/_3696_/X (sky130_fd_sc_hd__a22o_1)
+     1    0.00                           mprj/u_wb_host/_0212_ (net)
+                  0.04    0.00   11.58 v mprj/u_wb_host/_5674_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 11.58   data arrival time
 
-                         40.00   40.00   clock mac_rx_clk (rise edge)
-                          0.00   40.00   clock source latency
-                  1.00    0.00   40.00 ^ mprj_io[12] (inout)
-     1    6.12                           mprj_io[12] (net)
-                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[12] (net)
-                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00   41.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10   41.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00   41.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21   41.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17   41.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00   41.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21   41.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00   41.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43   42.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03   42.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24   42.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00   42.61 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16   42.77 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00   42.77 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   42.91 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00   42.91 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.25    0.27   43.19 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
-                  0.25    0.00   43.19 ^ mprj/u_mac_wrap/clkbuf_leaf_30_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.19   43.38 ^ mprj/u_mac_wrap/clkbuf_leaf_30_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    10    0.03                           mprj/u_mac_wrap/clknet_leaf_30_phy_rx_clk (net)
-                  0.05    0.00   43.38 ^ mprj/u_mac_wrap/_08995_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   43.13   clock uncertainty
-                          2.32   45.45   clock reconvergence pessimism
-                         -0.12   45.33   library setup time
-                                 45.33   data required time
+                         10.00   10.00   clock lbist_clk (rise edge)
+                          0.00   10.00   clock source latency
+                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.11   10.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   10.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00   10.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   10.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00   10.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17   10.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00   10.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   11.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00   11.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   11.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00   11.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00   11.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   11.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00   11.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   11.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00   11.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00   11.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   12.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00   12.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00   12.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00   12.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   12.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00   12.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00   12.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00   12.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00   13.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   13.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00   13.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14   13.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00   13.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00   13.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   13.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00   13.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10   13.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00   13.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14   13.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00   13.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   14.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00   14.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   14.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00   14.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13   14.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00   14.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13   14.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00   14.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25   15.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00   15.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   15.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00   15.32 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   15.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00   15.43 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12   15.55 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00   15.55 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   15.69 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
+                  0.06    0.00   15.69 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   15.81 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
+                  0.04    0.00   15.81 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.15   15.96 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.04                           mprj/u_wb_host/clknet_4_11_0_lbist_clk_int (net)
+                  0.09    0.00   15.96 ^ mprj/u_wb_host/_5674_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   15.71   clock uncertainty
+                          0.41   16.13   clock reconvergence pessimism
+                         -0.10   16.02   library setup time
+                                 16.02   data required time
 -----------------------------------------------------------------------------
-                                 45.33   data required time
-                                -11.68   data arrival time
+                                 16.02   data required time
+                                -11.58   data arrival time
 -----------------------------------------------------------------------------
-                                 33.66   slack (MET)
+                                  4.44   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_09078_
-            (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_09003_
-          (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Path Group: mac_rx_clk
+Startpoint: mprj/u_wb_host/_5638_
+            (rising edge-triggered flip-flop clocked by lbist_clk)
+Endpoint: mprj/u_wb_host/_5666_
+          (rising edge-triggered flip-flop clocked by lbist_clk)
+Path Group: lbist_clk
 Path Type: max
 
 Fanout     Cap    Slew   Delay    Time   Description
 -----------------------------------------------------------------------------
-                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock lbist_clk (rise edge)
                           0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1   11.12                           mprj_io[12] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[12] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.09 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.16    5.25 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
-                  0.06    0.00    5.25 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.27    5.52 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.12                           mprj/u_mac_wrap/clknet_3_5_0_phy_rx_clk (net)
-                  0.22    0.00    5.52 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.20    5.72 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.03                           mprj/u_mac_wrap/clknet_leaf_8_phy_rx_clk (net)
-                  0.06    0.00    5.72 ^ mprj/u_mac_wrap/_09078_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.05    0.34    6.06 ^ mprj/u_mac_wrap/_09078_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[1] (net)
-                  0.05    0.00    6.06 ^ mprj/u_mac_wrap/fanout451/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.17    0.20    6.27 ^ mprj/u_mac_wrap/fanout451/X (sky130_fd_sc_hd__clkbuf_2)
-     7    0.03                           mprj/u_mac_wrap/net451 (net)
-                  0.17    0.00    6.27 ^ mprj/u_mac_wrap/fanout450/A (sky130_fd_sc_hd__buf_2)
-                  0.21    0.27    6.54 ^ mprj/u_mac_wrap/fanout450/X (sky130_fd_sc_hd__buf_2)
-    10    0.04                           mprj/u_mac_wrap/net450 (net)
-                  0.21    0.00    6.54 ^ mprj/u_mac_wrap/_04293_/C (sky130_fd_sc_hd__and4_1)
-                  0.18    0.33    6.88 ^ mprj/u_mac_wrap/_04293_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01642_ (net)
-                  0.18    0.00    6.88 ^ mprj/u_mac_wrap/_04295_/D (sky130_fd_sc_hd__and4_2)
-                  0.14    0.35    7.22 ^ mprj/u_mac_wrap/_04295_/X (sky130_fd_sc_hd__and4_2)
-     6    0.02                           mprj/u_mac_wrap/_01644_ (net)
-                  0.14    0.00    7.22 ^ mprj/u_mac_wrap/_04297_/D (sky130_fd_sc_hd__and4_1)
-                  0.20    0.34    7.56 ^ mprj/u_mac_wrap/_04297_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01646_ (net)
-                  0.20    0.00    7.56 ^ mprj/u_mac_wrap/_04298_/C (sky130_fd_sc_hd__nand3_1)
-                  0.15    0.19    7.75 v mprj/u_mac_wrap/_04298_/Y (sky130_fd_sc_hd__nand3_1)
-     3    0.01                           mprj/u_mac_wrap/_01647_ (net)
-                  0.15    0.00    7.75 v mprj/u_mac_wrap/_04304_/A1 (sky130_fd_sc_hd__a2111o_1)
-                  0.13    0.50    8.25 v mprj/u_mac_wrap/_04304_/X (sky130_fd_sc_hd__a2111o_1)
-     3    0.02                           mprj/u_mac_wrap/_01653_ (net)
-                  0.13    0.00    8.25 v mprj/u_mac_wrap/_04320_/B1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.35    8.60 v mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_mac_wrap/_01669_ (net)
-                  0.05    0.00    8.60 v mprj/u_mac_wrap/_04321_/D (sky130_fd_sc_hd__or4_1)
-                  0.11    0.46    9.06 v mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01670_ (net)
-                  0.11    0.00    9.06 v mprj/u_mac_wrap/_04330_/B (sky130_fd_sc_hd__nor4_2)
-                  0.41    0.45    9.51 ^ mprj/u_mac_wrap/_04330_/Y (sky130_fd_sc_hd__nor4_2)
-     4    0.01                           mprj/u_mac_wrap/_01679_ (net)
-                  0.41    0.00    9.51 ^ mprj/u_mac_wrap/_04361_/A_N (sky130_fd_sc_hd__nand3b_1)
-                  0.20    0.27    9.78 ^ mprj/u_mac_wrap/_04361_/Y (sky130_fd_sc_hd__nand3b_1)
-     3    0.02                           mprj/u_mac_wrap/_01710_ (net)
-                  0.20    0.00    9.78 ^ mprj/u_mac_wrap/_04609_/A_N (sky130_fd_sc_hd__and2b_1)
-                  0.08    0.27   10.05 v mprj/u_mac_wrap/_04609_/X (sky130_fd_sc_hd__and2b_1)
-     3    0.01                           mprj/u_mac_wrap/_01928_ (net)
-                  0.08    0.00   10.05 v mprj/u_mac_wrap/_04620_/A (sky130_fd_sc_hd__or2_1)
-                  0.11    0.32   10.37 v mprj/u_mac_wrap/_04620_/X (sky130_fd_sc_hd__or2_1)
-     3    0.02                           mprj/u_mac_wrap/_01939_ (net)
-                  0.11    0.00   10.37 v mprj/u_mac_wrap/_06049_/A (sky130_fd_sc_hd__or3_1)
-                  0.14    0.50   10.87 v mprj/u_mac_wrap/_06049_/X (sky130_fd_sc_hd__or3_1)
-     2    0.02                           mprj/u_mac_wrap/_02846_ (net)
-                  0.14    0.00   10.87 v mprj/u_mac_wrap/fanout237/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.12    0.23   11.10 v mprj/u_mac_wrap/fanout237/X (sky130_fd_sc_hd__clkbuf_2)
-    10    0.03                           mprj/u_mac_wrap/net237 (net)
-                  0.12    0.00   11.10 v mprj/u_mac_wrap/fanout234/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.10    0.25   11.34 v mprj/u_mac_wrap/fanout234/X (sky130_fd_sc_hd__clkbuf_4)
-    10    0.05                           mprj/u_mac_wrap/net234 (net)
-                  0.10    0.00   11.35 v mprj/u_mac_wrap/_06064_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.33   11.68 v mprj/u_mac_wrap/_06064_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00535_ (net)
-                  0.05    0.00   11.68 v mprj/u_mac_wrap/_09003_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 11.68   data arrival time
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    6.45 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_3_0_lbist_clk_int (net)
+                  0.12    0.00    6.45 ^ mprj/u_wb_host/_5638_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.10    0.47    6.92 v mprj/u_wb_host/_5638_/Q (sky130_fd_sc_hd__dfrtp_1)
+     5    0.02                           mprj/u_wb_host/u_lbist.u_lbist_core.scan_pat_cnt[1] (net)
+                  0.10    0.00    6.92 v mprj/u_wb_host/_2743_/A (sky130_fd_sc_hd__or4_2)
+                  0.14    0.77    7.69 v mprj/u_wb_host/_2743_/X (sky130_fd_sc_hd__or4_2)
+     4    0.01                           mprj/u_wb_host/_1160_ (net)
+                  0.14    0.00    7.69 v mprj/u_wb_host/_2745_/D (sky130_fd_sc_hd__or4_2)
+                  0.15    0.67    8.36 v mprj/u_wb_host/_2745_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_wb_host/_1162_ (net)
+                  0.15    0.00    8.36 v mprj/u_wb_host/_2747_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    8.91 v mprj/u_wb_host/_2747_/X (sky130_fd_sc_hd__or4_1)
+     4    0.02                           mprj/u_wb_host/_1164_ (net)
+                  0.15    0.00    8.91 v mprj/u_wb_host/_2749_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    9.44 v mprj/u_wb_host/_2749_/X (sky130_fd_sc_hd__or4_1)
+     4    0.01                           mprj/u_wb_host/_1166_ (net)
+                  0.15    0.00    9.44 v mprj/u_wb_host/_2751_/D (sky130_fd_sc_hd__or4_2)
+                  0.16    0.70   10.14 v mprj/u_wb_host/_2751_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_wb_host/_1168_ (net)
+                  0.16    0.00   10.14 v mprj/u_wb_host/_2764_/C (sky130_fd_sc_hd__or4_2)
+                  0.17    0.78   10.92 v mprj/u_wb_host/_2764_/X (sky130_fd_sc_hd__or4_2)
+     7    0.02                           mprj/u_wb_host/_1181_ (net)
+                  0.17    0.00   10.92 v mprj/u_wb_host/_3642_/C (sky130_fd_sc_hd__and4_1)
+                  0.07    0.29   11.21 v mprj/u_wb_host/_3642_/X (sky130_fd_sc_hd__and4_1)
+     2    0.01                           mprj/u_wb_host/_1746_ (net)
+                  0.07    0.00   11.21 v mprj/u_wb_host/fanout400/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.09    0.17   11.38 v mprj/u_wb_host/fanout400/X (sky130_fd_sc_hd__clkbuf_2)
+     5    0.02                           mprj/u_wb_host/net400 (net)
+                  0.09    0.00   11.38 v mprj/u_wb_host/_3669_/B1 (sky130_fd_sc_hd__a22o_1)
+                  0.04    0.20   11.58 v mprj/u_wb_host/_3669_/X (sky130_fd_sc_hd__a22o_1)
+     1    0.00                           mprj/u_wb_host/_0204_ (net)
+                  0.04    0.00   11.58 v mprj/u_wb_host/_5666_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 11.58   data arrival time
 
-                         40.00   40.00   clock mac_rx_clk (rise edge)
-                          0.00   40.00   clock source latency
-                  1.00    0.00   40.00 ^ mprj_io[12] (inout)
-     1    6.12                           mprj_io[12] (net)
-                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[12] (net)
-                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00   41.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10   41.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00   41.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21   41.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17   41.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00   41.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21   41.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00   41.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43   42.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03   42.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24   42.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00   42.61 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16   42.77 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00   42.77 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   42.91 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00   42.91 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.25    0.27   43.19 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
-                  0.25    0.00   43.19 ^ mprj/u_mac_wrap/clkbuf_leaf_30_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.19   43.38 ^ mprj/u_mac_wrap/clkbuf_leaf_30_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    10    0.03                           mprj/u_mac_wrap/clknet_leaf_30_phy_rx_clk (net)
-                  0.05    0.00   43.38 ^ mprj/u_mac_wrap/_09003_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   43.13   clock uncertainty
-                          2.32   45.45   clock reconvergence pessimism
-                         -0.12   45.34   library setup time
-                                 45.34   data required time
+                         10.00   10.00   clock lbist_clk (rise edge)
+                          0.00   10.00   clock source latency
+                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.11   10.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   10.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00   10.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   10.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00   10.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17   10.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00   10.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   11.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00   11.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   11.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00   11.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00   11.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   11.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00   11.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   11.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00   11.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00   11.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   12.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00   12.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00   12.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00   12.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   12.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00   12.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00   12.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00   12.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00   13.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   13.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00   13.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14   13.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00   13.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00   13.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   13.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00   13.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10   13.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00   13.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14   13.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00   13.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   14.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00   14.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   14.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00   14.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13   14.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00   14.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13   14.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00   14.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25   15.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00   15.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   15.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00   15.32 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   15.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00   15.43 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12   15.55 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00   15.55 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13   15.68 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
+                  0.05    0.00   15.68 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   15.80 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_7_0_lbist_clk_int (net)
+                  0.04    0.00   15.80 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   15.98 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_14_0_lbist_clk_int (net)
+                  0.13    0.00   15.98 ^ mprj/u_wb_host/_5666_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   15.73   clock uncertainty
+                          0.41   16.15   clock reconvergence pessimism
+                         -0.09   16.05   library setup time
+                                 16.05   data required time
 -----------------------------------------------------------------------------
-                                 45.34   data required time
-                                -11.68   data arrival time
+                                 16.05   data required time
+                                -11.58   data arrival time
 -----------------------------------------------------------------------------
-                                 33.66   slack (MET)
+                                  4.48   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_09078_
-            (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_09017_
-          (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Path Group: mac_rx_clk
+Startpoint: mprj/u_wb_host/_5638_
+            (rising edge-triggered flip-flop clocked by lbist_clk)
+Endpoint: mprj/u_wb_host/_5671_
+          (rising edge-triggered flip-flop clocked by lbist_clk)
+Path Group: lbist_clk
 Path Type: max
 
 Fanout     Cap    Slew   Delay    Time   Description
 -----------------------------------------------------------------------------
-                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock lbist_clk (rise edge)
                           0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1   11.12                           mprj_io[12] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[12] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.09 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.16    5.25 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
-                  0.06    0.00    5.25 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.27    5.52 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.12                           mprj/u_mac_wrap/clknet_3_5_0_phy_rx_clk (net)
-                  0.22    0.00    5.52 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.20    5.72 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.03                           mprj/u_mac_wrap/clknet_leaf_8_phy_rx_clk (net)
-                  0.06    0.00    5.72 ^ mprj/u_mac_wrap/_09078_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.05    0.34    6.06 ^ mprj/u_mac_wrap/_09078_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[1] (net)
-                  0.05    0.00    6.06 ^ mprj/u_mac_wrap/fanout451/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.17    0.20    6.27 ^ mprj/u_mac_wrap/fanout451/X (sky130_fd_sc_hd__clkbuf_2)
-     7    0.03                           mprj/u_mac_wrap/net451 (net)
-                  0.17    0.00    6.27 ^ mprj/u_mac_wrap/fanout450/A (sky130_fd_sc_hd__buf_2)
-                  0.21    0.27    6.54 ^ mprj/u_mac_wrap/fanout450/X (sky130_fd_sc_hd__buf_2)
-    10    0.04                           mprj/u_mac_wrap/net450 (net)
-                  0.21    0.00    6.54 ^ mprj/u_mac_wrap/_04293_/C (sky130_fd_sc_hd__and4_1)
-                  0.18    0.33    6.88 ^ mprj/u_mac_wrap/_04293_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01642_ (net)
-                  0.18    0.00    6.88 ^ mprj/u_mac_wrap/_04295_/D (sky130_fd_sc_hd__and4_2)
-                  0.14    0.35    7.22 ^ mprj/u_mac_wrap/_04295_/X (sky130_fd_sc_hd__and4_2)
-     6    0.02                           mprj/u_mac_wrap/_01644_ (net)
-                  0.14    0.00    7.22 ^ mprj/u_mac_wrap/_04297_/D (sky130_fd_sc_hd__and4_1)
-                  0.20    0.34    7.56 ^ mprj/u_mac_wrap/_04297_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01646_ (net)
-                  0.20    0.00    7.56 ^ mprj/u_mac_wrap/_04298_/C (sky130_fd_sc_hd__nand3_1)
-                  0.15    0.19    7.75 v mprj/u_mac_wrap/_04298_/Y (sky130_fd_sc_hd__nand3_1)
-     3    0.01                           mprj/u_mac_wrap/_01647_ (net)
-                  0.15    0.00    7.75 v mprj/u_mac_wrap/_04304_/A1 (sky130_fd_sc_hd__a2111o_1)
-                  0.13    0.50    8.25 v mprj/u_mac_wrap/_04304_/X (sky130_fd_sc_hd__a2111o_1)
-     3    0.02                           mprj/u_mac_wrap/_01653_ (net)
-                  0.13    0.00    8.25 v mprj/u_mac_wrap/_04320_/B1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.35    8.60 v mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_mac_wrap/_01669_ (net)
-                  0.05    0.00    8.60 v mprj/u_mac_wrap/_04321_/D (sky130_fd_sc_hd__or4_1)
-                  0.11    0.46    9.06 v mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01670_ (net)
-                  0.11    0.00    9.06 v mprj/u_mac_wrap/_04330_/B (sky130_fd_sc_hd__nor4_2)
-                  0.41    0.45    9.51 ^ mprj/u_mac_wrap/_04330_/Y (sky130_fd_sc_hd__nor4_2)
-     4    0.01                           mprj/u_mac_wrap/_01679_ (net)
-                  0.41    0.00    9.51 ^ mprj/u_mac_wrap/_04361_/A_N (sky130_fd_sc_hd__nand3b_1)
-                  0.20    0.27    9.78 ^ mprj/u_mac_wrap/_04361_/Y (sky130_fd_sc_hd__nand3b_1)
-     3    0.02                           mprj/u_mac_wrap/_01710_ (net)
-                  0.20    0.00    9.78 ^ mprj/u_mac_wrap/_04609_/A_N (sky130_fd_sc_hd__and2b_1)
-                  0.08    0.27   10.05 v mprj/u_mac_wrap/_04609_/X (sky130_fd_sc_hd__and2b_1)
-     3    0.01                           mprj/u_mac_wrap/_01928_ (net)
-                  0.08    0.00   10.05 v mprj/u_mac_wrap/_04620_/A (sky130_fd_sc_hd__or2_1)
-                  0.11    0.32   10.37 v mprj/u_mac_wrap/_04620_/X (sky130_fd_sc_hd__or2_1)
-     3    0.02                           mprj/u_mac_wrap/_01939_ (net)
-                  0.11    0.00   10.37 v mprj/u_mac_wrap/_06049_/A (sky130_fd_sc_hd__or3_1)
-                  0.14    0.50   10.87 v mprj/u_mac_wrap/_06049_/X (sky130_fd_sc_hd__or3_1)
-     2    0.02                           mprj/u_mac_wrap/_02846_ (net)
-                  0.14    0.00   10.87 v mprj/u_mac_wrap/fanout237/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.12    0.23   11.10 v mprj/u_mac_wrap/fanout237/X (sky130_fd_sc_hd__clkbuf_2)
-    10    0.03                           mprj/u_mac_wrap/net237 (net)
-                  0.12    0.00   11.10 v mprj/u_mac_wrap/fanout235/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.10    0.24   11.34 v mprj/u_mac_wrap/fanout235/X (sky130_fd_sc_hd__clkbuf_4)
-    10    0.05                           mprj/u_mac_wrap/net235 (net)
-                  0.10    0.00   11.34 v mprj/u_mac_wrap/_06078_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.33   11.68 v mprj/u_mac_wrap/_06078_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00549_ (net)
-                  0.05    0.00   11.68 v mprj/u_mac_wrap/_09017_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 11.68   data arrival time
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    6.45 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_3_0_lbist_clk_int (net)
+                  0.12    0.00    6.45 ^ mprj/u_wb_host/_5638_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.10    0.47    6.92 v mprj/u_wb_host/_5638_/Q (sky130_fd_sc_hd__dfrtp_1)
+     5    0.02                           mprj/u_wb_host/u_lbist.u_lbist_core.scan_pat_cnt[1] (net)
+                  0.10    0.00    6.92 v mprj/u_wb_host/_2743_/A (sky130_fd_sc_hd__or4_2)
+                  0.14    0.77    7.69 v mprj/u_wb_host/_2743_/X (sky130_fd_sc_hd__or4_2)
+     4    0.01                           mprj/u_wb_host/_1160_ (net)
+                  0.14    0.00    7.69 v mprj/u_wb_host/_2745_/D (sky130_fd_sc_hd__or4_2)
+                  0.15    0.67    8.36 v mprj/u_wb_host/_2745_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_wb_host/_1162_ (net)
+                  0.15    0.00    8.36 v mprj/u_wb_host/_2747_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    8.91 v mprj/u_wb_host/_2747_/X (sky130_fd_sc_hd__or4_1)
+     4    0.02                           mprj/u_wb_host/_1164_ (net)
+                  0.15    0.00    8.91 v mprj/u_wb_host/_2749_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    9.44 v mprj/u_wb_host/_2749_/X (sky130_fd_sc_hd__or4_1)
+     4    0.01                           mprj/u_wb_host/_1166_ (net)
+                  0.15    0.00    9.44 v mprj/u_wb_host/_2751_/D (sky130_fd_sc_hd__or4_2)
+                  0.16    0.70   10.14 v mprj/u_wb_host/_2751_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_wb_host/_1168_ (net)
+                  0.16    0.00   10.14 v mprj/u_wb_host/_2764_/C (sky130_fd_sc_hd__or4_2)
+                  0.17    0.78   10.92 v mprj/u_wb_host/_2764_/X (sky130_fd_sc_hd__or4_2)
+     7    0.02                           mprj/u_wb_host/_1181_ (net)
+                  0.17    0.00   10.92 v mprj/u_wb_host/_3642_/C (sky130_fd_sc_hd__and4_1)
+                  0.07    0.29   11.21 v mprj/u_wb_host/_3642_/X (sky130_fd_sc_hd__and4_1)
+     2    0.01                           mprj/u_wb_host/_1746_ (net)
+                  0.07    0.00   11.21 v mprj/u_wb_host/fanout400/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.09    0.17   11.38 v mprj/u_wb_host/fanout400/X (sky130_fd_sc_hd__clkbuf_2)
+     5    0.02                           mprj/u_wb_host/net400 (net)
+                  0.09    0.00   11.38 v mprj/u_wb_host/_3687_/B1 (sky130_fd_sc_hd__a22o_1)
+                  0.04    0.20   11.58 v mprj/u_wb_host/_3687_/X (sky130_fd_sc_hd__a22o_1)
+     1    0.00                           mprj/u_wb_host/_0209_ (net)
+                  0.04    0.00   11.58 v mprj/u_wb_host/_5671_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 11.58   data arrival time
 
-                         40.00   40.00   clock mac_rx_clk (rise edge)
-                          0.00   40.00   clock source latency
-                  1.00    0.00   40.00 ^ mprj_io[12] (inout)
-     1    6.12                           mprj_io[12] (net)
-                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[12] (net)
-                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00   41.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10   41.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00   41.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21   41.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17   41.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00   41.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21   41.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00   41.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43   42.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03   42.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24   42.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00   42.61 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16   42.77 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00   42.77 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   42.91 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00   42.91 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.25    0.27   43.19 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
-                  0.25    0.00   43.19 ^ mprj/u_mac_wrap/clkbuf_leaf_34_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.19   43.38 ^ mprj/u_mac_wrap/clkbuf_leaf_34_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    10    0.03                           mprj/u_mac_wrap/clknet_leaf_34_phy_rx_clk (net)
-                  0.05    0.00   43.38 ^ mprj/u_mac_wrap/_09017_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   43.13   clock uncertainty
-                          2.32   45.45   clock reconvergence pessimism
-                         -0.12   45.34   library setup time
-                                 45.34   data required time
+                         10.00   10.00   clock lbist_clk (rise edge)
+                          0.00   10.00   clock source latency
+                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.11   10.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   10.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00   10.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   10.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00   10.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17   10.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00   10.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   11.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00   11.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   11.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00   11.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00   11.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   11.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00   11.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   11.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00   11.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00   11.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   12.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00   12.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00   12.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00   12.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   12.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00   12.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00   12.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00   12.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00   13.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   13.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00   13.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14   13.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00   13.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00   13.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   13.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00   13.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10   13.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00   13.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14   13.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00   13.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   14.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00   14.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   14.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00   14.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13   14.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00   14.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13   14.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00   14.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25   15.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00   15.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   15.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00   15.32 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   15.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00   15.43 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12   15.55 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00   15.55 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13   15.68 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
+                  0.05    0.00   15.68 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   15.80 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_7_0_lbist_clk_int (net)
+                  0.04    0.00   15.80 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   15.98 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_14_0_lbist_clk_int (net)
+                  0.13    0.00   15.98 ^ mprj/u_wb_host/_5671_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   15.73   clock uncertainty
+                          0.41   16.15   clock reconvergence pessimism
+                         -0.09   16.05   library setup time
+                                 16.05   data required time
 -----------------------------------------------------------------------------
-                                 45.34   data required time
-                                -11.68   data arrival time
+                                 16.05   data required time
+                                -11.58   data arrival time
 -----------------------------------------------------------------------------
-                                 33.66   slack (MET)
+                                  4.48   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_09078_
-            (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_09011_
-          (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Path Group: mac_rx_clk
+Startpoint: mprj/u_wb_host/_5638_
+            (rising edge-triggered flip-flop clocked by lbist_clk)
+Endpoint: mprj/u_wb_host/_5655_
+          (rising edge-triggered flip-flop clocked by lbist_clk)
+Path Group: lbist_clk
 Path Type: max
 
 Fanout     Cap    Slew   Delay    Time   Description
 -----------------------------------------------------------------------------
-                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock lbist_clk (rise edge)
                           0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1   11.12                           mprj_io[12] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[12] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.09 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.16    5.25 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
-                  0.06    0.00    5.25 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.27    5.52 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.12                           mprj/u_mac_wrap/clknet_3_5_0_phy_rx_clk (net)
-                  0.22    0.00    5.52 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.20    5.72 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.03                           mprj/u_mac_wrap/clknet_leaf_8_phy_rx_clk (net)
-                  0.06    0.00    5.72 ^ mprj/u_mac_wrap/_09078_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.05    0.34    6.06 ^ mprj/u_mac_wrap/_09078_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[1] (net)
-                  0.05    0.00    6.06 ^ mprj/u_mac_wrap/fanout451/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.17    0.20    6.27 ^ mprj/u_mac_wrap/fanout451/X (sky130_fd_sc_hd__clkbuf_2)
-     7    0.03                           mprj/u_mac_wrap/net451 (net)
-                  0.17    0.00    6.27 ^ mprj/u_mac_wrap/fanout450/A (sky130_fd_sc_hd__buf_2)
-                  0.21    0.27    6.54 ^ mprj/u_mac_wrap/fanout450/X (sky130_fd_sc_hd__buf_2)
-    10    0.04                           mprj/u_mac_wrap/net450 (net)
-                  0.21    0.00    6.54 ^ mprj/u_mac_wrap/_04293_/C (sky130_fd_sc_hd__and4_1)
-                  0.18    0.33    6.88 ^ mprj/u_mac_wrap/_04293_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01642_ (net)
-                  0.18    0.00    6.88 ^ mprj/u_mac_wrap/_04295_/D (sky130_fd_sc_hd__and4_2)
-                  0.14    0.35    7.22 ^ mprj/u_mac_wrap/_04295_/X (sky130_fd_sc_hd__and4_2)
-     6    0.02                           mprj/u_mac_wrap/_01644_ (net)
-                  0.14    0.00    7.22 ^ mprj/u_mac_wrap/_04297_/D (sky130_fd_sc_hd__and4_1)
-                  0.20    0.34    7.56 ^ mprj/u_mac_wrap/_04297_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01646_ (net)
-                  0.20    0.00    7.56 ^ mprj/u_mac_wrap/_04298_/C (sky130_fd_sc_hd__nand3_1)
-                  0.15    0.19    7.75 v mprj/u_mac_wrap/_04298_/Y (sky130_fd_sc_hd__nand3_1)
-     3    0.01                           mprj/u_mac_wrap/_01647_ (net)
-                  0.15    0.00    7.75 v mprj/u_mac_wrap/_04304_/A1 (sky130_fd_sc_hd__a2111o_1)
-                  0.13    0.50    8.25 v mprj/u_mac_wrap/_04304_/X (sky130_fd_sc_hd__a2111o_1)
-     3    0.02                           mprj/u_mac_wrap/_01653_ (net)
-                  0.13    0.00    8.25 v mprj/u_mac_wrap/_04320_/B1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.35    8.60 v mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_mac_wrap/_01669_ (net)
-                  0.05    0.00    8.60 v mprj/u_mac_wrap/_04321_/D (sky130_fd_sc_hd__or4_1)
-                  0.11    0.46    9.06 v mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01670_ (net)
-                  0.11    0.00    9.06 v mprj/u_mac_wrap/_04330_/B (sky130_fd_sc_hd__nor4_2)
-                  0.41    0.45    9.51 ^ mprj/u_mac_wrap/_04330_/Y (sky130_fd_sc_hd__nor4_2)
-     4    0.01                           mprj/u_mac_wrap/_01679_ (net)
-                  0.41    0.00    9.51 ^ mprj/u_mac_wrap/_04361_/A_N (sky130_fd_sc_hd__nand3b_1)
-                  0.20    0.27    9.78 ^ mprj/u_mac_wrap/_04361_/Y (sky130_fd_sc_hd__nand3b_1)
-     3    0.02                           mprj/u_mac_wrap/_01710_ (net)
-                  0.20    0.00    9.78 ^ mprj/u_mac_wrap/_04609_/A_N (sky130_fd_sc_hd__and2b_1)
-                  0.08    0.27   10.05 v mprj/u_mac_wrap/_04609_/X (sky130_fd_sc_hd__and2b_1)
-     3    0.01                           mprj/u_mac_wrap/_01928_ (net)
-                  0.08    0.00   10.05 v mprj/u_mac_wrap/_04620_/A (sky130_fd_sc_hd__or2_1)
-                  0.11    0.32   10.37 v mprj/u_mac_wrap/_04620_/X (sky130_fd_sc_hd__or2_1)
-     3    0.02                           mprj/u_mac_wrap/_01939_ (net)
-                  0.11    0.00   10.37 v mprj/u_mac_wrap/_06049_/A (sky130_fd_sc_hd__or3_1)
-                  0.14    0.50   10.87 v mprj/u_mac_wrap/_06049_/X (sky130_fd_sc_hd__or3_1)
-     2    0.02                           mprj/u_mac_wrap/_02846_ (net)
-                  0.14    0.00   10.87 v mprj/u_mac_wrap/fanout237/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.12    0.23   11.10 v mprj/u_mac_wrap/fanout237/X (sky130_fd_sc_hd__clkbuf_2)
-    10    0.03                           mprj/u_mac_wrap/net237 (net)
-                  0.12    0.00   11.10 v mprj/u_mac_wrap/fanout235/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.10    0.24   11.34 v mprj/u_mac_wrap/fanout235/X (sky130_fd_sc_hd__clkbuf_4)
-    10    0.05                           mprj/u_mac_wrap/net235 (net)
-                  0.10    0.00   11.34 v mprj/u_mac_wrap/_06072_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.33   11.68 v mprj/u_mac_wrap/_06072_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00543_ (net)
-                  0.05    0.00   11.68 v mprj/u_mac_wrap/_09011_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 11.68   data arrival time
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    6.45 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_3_0_lbist_clk_int (net)
+                  0.12    0.00    6.45 ^ mprj/u_wb_host/_5638_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.10    0.47    6.92 v mprj/u_wb_host/_5638_/Q (sky130_fd_sc_hd__dfrtp_1)
+     5    0.02                           mprj/u_wb_host/u_lbist.u_lbist_core.scan_pat_cnt[1] (net)
+                  0.10    0.00    6.92 v mprj/u_wb_host/_2743_/A (sky130_fd_sc_hd__or4_2)
+                  0.14    0.77    7.69 v mprj/u_wb_host/_2743_/X (sky130_fd_sc_hd__or4_2)
+     4    0.01                           mprj/u_wb_host/_1160_ (net)
+                  0.14    0.00    7.69 v mprj/u_wb_host/_2745_/D (sky130_fd_sc_hd__or4_2)
+                  0.15    0.67    8.36 v mprj/u_wb_host/_2745_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_wb_host/_1162_ (net)
+                  0.15    0.00    8.36 v mprj/u_wb_host/_2747_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    8.91 v mprj/u_wb_host/_2747_/X (sky130_fd_sc_hd__or4_1)
+     4    0.02                           mprj/u_wb_host/_1164_ (net)
+                  0.15    0.00    8.91 v mprj/u_wb_host/_2749_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    9.44 v mprj/u_wb_host/_2749_/X (sky130_fd_sc_hd__or4_1)
+     4    0.01                           mprj/u_wb_host/_1166_ (net)
+                  0.15    0.00    9.44 v mprj/u_wb_host/_2751_/D (sky130_fd_sc_hd__or4_2)
+                  0.16    0.70   10.14 v mprj/u_wb_host/_2751_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_wb_host/_1168_ (net)
+                  0.16    0.00   10.14 v mprj/u_wb_host/_2764_/C (sky130_fd_sc_hd__or4_2)
+                  0.17    0.78   10.92 v mprj/u_wb_host/_2764_/X (sky130_fd_sc_hd__or4_2)
+     7    0.02                           mprj/u_wb_host/_1181_ (net)
+                  0.17    0.00   10.92 v mprj/u_wb_host/_2765_/C (sky130_fd_sc_hd__and3_1)
+                  0.06    0.26   11.18 v mprj/u_wb_host/_2765_/X (sky130_fd_sc_hd__and3_1)
+     2    0.01                           mprj/u_wb_host/_1182_ (net)
+                  0.06    0.00   11.18 v mprj/u_wb_host/_3625_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.29   11.47 v mprj/u_wb_host/_3625_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0193_ (net)
+                  0.05    0.00   11.47 v mprj/u_wb_host/_5655_/D (sky130_fd_sc_hd__dfrtp_4)
+                                 11.47   data arrival time
 
-                         40.00   40.00   clock mac_rx_clk (rise edge)
-                          0.00   40.00   clock source latency
-                  1.00    0.00   40.00 ^ mprj_io[12] (inout)
-     1    6.12                           mprj_io[12] (net)
-                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[12] (net)
-                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00   41.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10   41.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00   41.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21   41.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17   41.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00   41.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21   41.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00   41.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43   42.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03   42.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24   42.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00   42.61 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16   42.77 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00   42.77 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   42.91 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00   42.91 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.25    0.27   43.19 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
-                  0.25    0.00   43.19 ^ mprj/u_mac_wrap/clkbuf_leaf_30_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.19   43.38 ^ mprj/u_mac_wrap/clkbuf_leaf_30_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    10    0.03                           mprj/u_mac_wrap/clknet_leaf_30_phy_rx_clk (net)
-                  0.05    0.00   43.38 ^ mprj/u_mac_wrap/_09011_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   43.13   clock uncertainty
-                          2.32   45.45   clock reconvergence pessimism
-                         -0.12   45.33   library setup time
-                                 45.33   data required time
+                         10.00   10.00   clock lbist_clk (rise edge)
+                          0.00   10.00   clock source latency
+                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.11   10.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   10.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00   10.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   10.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00   10.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17   10.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00   10.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   11.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00   11.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   11.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00   11.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00   11.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   11.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00   11.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   11.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00   11.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00   11.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   12.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00   12.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00   12.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00   12.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   12.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00   12.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00   12.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00   12.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00   13.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   13.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00   13.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14   13.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00   13.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00   13.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   13.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00   13.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10   13.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00   13.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14   13.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00   13.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   14.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00   14.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   14.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00   14.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13   14.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00   14.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13   14.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00   14.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25   15.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00   15.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   15.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00   15.32 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   15.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00   15.43 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12   15.55 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00   15.55 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   15.69 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
+                  0.06    0.00   15.69 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   15.81 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
+                  0.04    0.00   15.81 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.18   15.99 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_10_0_lbist_clk_int (net)
+                  0.12    0.00   15.99 ^ mprj/u_wb_host/_5655_/CLK (sky130_fd_sc_hd__dfrtp_4)
+                         -0.25   15.74   clock uncertainty
+                          0.41   16.15   clock reconvergence pessimism
+                         -0.10   16.05   library setup time
+                                 16.05   data required time
 -----------------------------------------------------------------------------
-                                 45.33   data required time
-                                -11.68   data arrival time
+                                 16.05   data required time
+                                -11.47   data arrival time
 -----------------------------------------------------------------------------
-                                 33.66   slack (MET)
+                                  4.58   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_09078_
-            (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_09004_
-          (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Path Group: mac_rx_clk
+Startpoint: mprj/u_wb_host/_5638_
+            (rising edge-triggered flip-flop clocked by lbist_clk)
+Endpoint: mprj/u_wb_host/_5658_
+          (rising edge-triggered flip-flop clocked by lbist_clk)
+Path Group: lbist_clk
 Path Type: max
 
 Fanout     Cap    Slew   Delay    Time   Description
 -----------------------------------------------------------------------------
-                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock lbist_clk (rise edge)
                           0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1   11.12                           mprj_io[12] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[12] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.09 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.16    5.25 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
-                  0.06    0.00    5.25 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.27    5.52 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.12                           mprj/u_mac_wrap/clknet_3_5_0_phy_rx_clk (net)
-                  0.22    0.00    5.52 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.20    5.72 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.03                           mprj/u_mac_wrap/clknet_leaf_8_phy_rx_clk (net)
-                  0.06    0.00    5.72 ^ mprj/u_mac_wrap/_09078_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.05    0.34    6.06 ^ mprj/u_mac_wrap/_09078_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[1] (net)
-                  0.05    0.00    6.06 ^ mprj/u_mac_wrap/fanout451/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.17    0.20    6.27 ^ mprj/u_mac_wrap/fanout451/X (sky130_fd_sc_hd__clkbuf_2)
-     7    0.03                           mprj/u_mac_wrap/net451 (net)
-                  0.17    0.00    6.27 ^ mprj/u_mac_wrap/fanout450/A (sky130_fd_sc_hd__buf_2)
-                  0.21    0.27    6.54 ^ mprj/u_mac_wrap/fanout450/X (sky130_fd_sc_hd__buf_2)
-    10    0.04                           mprj/u_mac_wrap/net450 (net)
-                  0.21    0.00    6.54 ^ mprj/u_mac_wrap/_04293_/C (sky130_fd_sc_hd__and4_1)
-                  0.18    0.33    6.88 ^ mprj/u_mac_wrap/_04293_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01642_ (net)
-                  0.18    0.00    6.88 ^ mprj/u_mac_wrap/_04295_/D (sky130_fd_sc_hd__and4_2)
-                  0.14    0.35    7.22 ^ mprj/u_mac_wrap/_04295_/X (sky130_fd_sc_hd__and4_2)
-     6    0.02                           mprj/u_mac_wrap/_01644_ (net)
-                  0.14    0.00    7.22 ^ mprj/u_mac_wrap/_04297_/D (sky130_fd_sc_hd__and4_1)
-                  0.20    0.34    7.56 ^ mprj/u_mac_wrap/_04297_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01646_ (net)
-                  0.20    0.00    7.56 ^ mprj/u_mac_wrap/_04298_/C (sky130_fd_sc_hd__nand3_1)
-                  0.15    0.19    7.75 v mprj/u_mac_wrap/_04298_/Y (sky130_fd_sc_hd__nand3_1)
-     3    0.01                           mprj/u_mac_wrap/_01647_ (net)
-                  0.15    0.00    7.75 v mprj/u_mac_wrap/_04304_/A1 (sky130_fd_sc_hd__a2111o_1)
-                  0.13    0.50    8.25 v mprj/u_mac_wrap/_04304_/X (sky130_fd_sc_hd__a2111o_1)
-     3    0.02                           mprj/u_mac_wrap/_01653_ (net)
-                  0.13    0.00    8.25 v mprj/u_mac_wrap/_04320_/B1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.35    8.60 v mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_mac_wrap/_01669_ (net)
-                  0.05    0.00    8.60 v mprj/u_mac_wrap/_04321_/D (sky130_fd_sc_hd__or4_1)
-                  0.11    0.46    9.06 v mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01670_ (net)
-                  0.11    0.00    9.06 v mprj/u_mac_wrap/_04330_/B (sky130_fd_sc_hd__nor4_2)
-                  0.41    0.45    9.51 ^ mprj/u_mac_wrap/_04330_/Y (sky130_fd_sc_hd__nor4_2)
-     4    0.01                           mprj/u_mac_wrap/_01679_ (net)
-                  0.41    0.00    9.51 ^ mprj/u_mac_wrap/_04361_/A_N (sky130_fd_sc_hd__nand3b_1)
-                  0.20    0.27    9.78 ^ mprj/u_mac_wrap/_04361_/Y (sky130_fd_sc_hd__nand3b_1)
-     3    0.02                           mprj/u_mac_wrap/_01710_ (net)
-                  0.20    0.00    9.78 ^ mprj/u_mac_wrap/_04609_/A_N (sky130_fd_sc_hd__and2b_1)
-                  0.08    0.27   10.05 v mprj/u_mac_wrap/_04609_/X (sky130_fd_sc_hd__and2b_1)
-     3    0.01                           mprj/u_mac_wrap/_01928_ (net)
-                  0.08    0.00   10.05 v mprj/u_mac_wrap/_04620_/A (sky130_fd_sc_hd__or2_1)
-                  0.11    0.32   10.37 v mprj/u_mac_wrap/_04620_/X (sky130_fd_sc_hd__or2_1)
-     3    0.02                           mprj/u_mac_wrap/_01939_ (net)
-                  0.11    0.00   10.37 v mprj/u_mac_wrap/_06049_/A (sky130_fd_sc_hd__or3_1)
-                  0.14    0.50   10.87 v mprj/u_mac_wrap/_06049_/X (sky130_fd_sc_hd__or3_1)
-     2    0.02                           mprj/u_mac_wrap/_02846_ (net)
-                  0.14    0.00   10.87 v mprj/u_mac_wrap/fanout237/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.12    0.23   11.10 v mprj/u_mac_wrap/fanout237/X (sky130_fd_sc_hd__clkbuf_2)
-    10    0.03                           mprj/u_mac_wrap/net237 (net)
-                  0.12    0.00   11.10 v mprj/u_mac_wrap/fanout235/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.10    0.24   11.34 v mprj/u_mac_wrap/fanout235/X (sky130_fd_sc_hd__clkbuf_4)
-    10    0.05                           mprj/u_mac_wrap/net235 (net)
-                  0.10    0.00   11.34 v mprj/u_mac_wrap/_06065_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.33   11.68 v mprj/u_mac_wrap/_06065_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00536_ (net)
-                  0.05    0.00   11.68 v mprj/u_mac_wrap/_09004_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 11.68   data arrival time
-
-                         40.00   40.00   clock mac_rx_clk (rise edge)
-                          0.00   40.00   clock source latency
-                  1.00    0.00   40.00 ^ mprj_io[12] (inout)
-     1    6.12                           mprj_io[12] (net)
-                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[12] (net)
-                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00   41.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10   41.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00   41.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21   41.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17   41.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00   41.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21   41.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00   41.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43   42.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03   42.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24   42.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00   42.61 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16   42.77 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00   42.77 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   42.91 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00   42.91 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.25    0.27   43.19 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
-                  0.25    0.00   43.19 ^ mprj/u_mac_wrap/clkbuf_leaf_34_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.19   43.38 ^ mprj/u_mac_wrap/clkbuf_leaf_34_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    10    0.03                           mprj/u_mac_wrap/clknet_leaf_34_phy_rx_clk (net)
-                  0.05    0.00   43.38 ^ mprj/u_mac_wrap/_09004_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   43.13   clock uncertainty
-                          2.32   45.45   clock reconvergence pessimism
-                         -0.12   45.34   library setup time
-                                 45.34   data required time
------------------------------------------------------------------------------
-                                 45.34   data required time
-                                -11.68   data arrival time
------------------------------------------------------------------------------
-                                 33.66   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_09078_
-            (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_08993_
-          (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Path Group: mac_rx_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_rx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1   11.12                           mprj_io[12] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[12] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.09 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.16    5.25 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
-                  0.06    0.00    5.25 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.27    5.52 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.12                           mprj/u_mac_wrap/clknet_3_5_0_phy_rx_clk (net)
-                  0.22    0.00    5.52 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.20    5.72 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.03                           mprj/u_mac_wrap/clknet_leaf_8_phy_rx_clk (net)
-                  0.06    0.00    5.72 ^ mprj/u_mac_wrap/_09078_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.05    0.34    6.06 ^ mprj/u_mac_wrap/_09078_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[1] (net)
-                  0.05    0.00    6.06 ^ mprj/u_mac_wrap/fanout451/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.17    0.20    6.27 ^ mprj/u_mac_wrap/fanout451/X (sky130_fd_sc_hd__clkbuf_2)
-     7    0.03                           mprj/u_mac_wrap/net451 (net)
-                  0.17    0.00    6.27 ^ mprj/u_mac_wrap/fanout450/A (sky130_fd_sc_hd__buf_2)
-                  0.21    0.27    6.54 ^ mprj/u_mac_wrap/fanout450/X (sky130_fd_sc_hd__buf_2)
-    10    0.04                           mprj/u_mac_wrap/net450 (net)
-                  0.21    0.00    6.54 ^ mprj/u_mac_wrap/_04293_/C (sky130_fd_sc_hd__and4_1)
-                  0.18    0.33    6.88 ^ mprj/u_mac_wrap/_04293_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01642_ (net)
-                  0.18    0.00    6.88 ^ mprj/u_mac_wrap/_04295_/D (sky130_fd_sc_hd__and4_2)
-                  0.14    0.35    7.22 ^ mprj/u_mac_wrap/_04295_/X (sky130_fd_sc_hd__and4_2)
-     6    0.02                           mprj/u_mac_wrap/_01644_ (net)
-                  0.14    0.00    7.22 ^ mprj/u_mac_wrap/_04297_/D (sky130_fd_sc_hd__and4_1)
-                  0.20    0.34    7.56 ^ mprj/u_mac_wrap/_04297_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01646_ (net)
-                  0.20    0.00    7.56 ^ mprj/u_mac_wrap/_04298_/C (sky130_fd_sc_hd__nand3_1)
-                  0.15    0.19    7.75 v mprj/u_mac_wrap/_04298_/Y (sky130_fd_sc_hd__nand3_1)
-     3    0.01                           mprj/u_mac_wrap/_01647_ (net)
-                  0.15    0.00    7.75 v mprj/u_mac_wrap/_04304_/A1 (sky130_fd_sc_hd__a2111o_1)
-                  0.13    0.50    8.25 v mprj/u_mac_wrap/_04304_/X (sky130_fd_sc_hd__a2111o_1)
-     3    0.02                           mprj/u_mac_wrap/_01653_ (net)
-                  0.13    0.00    8.25 v mprj/u_mac_wrap/_04320_/B1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.35    8.60 v mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_mac_wrap/_01669_ (net)
-                  0.05    0.00    8.60 v mprj/u_mac_wrap/_04321_/D (sky130_fd_sc_hd__or4_1)
-                  0.11    0.46    9.06 v mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01670_ (net)
-                  0.11    0.00    9.06 v mprj/u_mac_wrap/_04330_/B (sky130_fd_sc_hd__nor4_2)
-                  0.41    0.45    9.51 ^ mprj/u_mac_wrap/_04330_/Y (sky130_fd_sc_hd__nor4_2)
-     4    0.01                           mprj/u_mac_wrap/_01679_ (net)
-                  0.41    0.00    9.51 ^ mprj/u_mac_wrap/_04361_/A_N (sky130_fd_sc_hd__nand3b_1)
-                  0.20    0.27    9.78 ^ mprj/u_mac_wrap/_04361_/Y (sky130_fd_sc_hd__nand3b_1)
-     3    0.02                           mprj/u_mac_wrap/_01710_ (net)
-                  0.20    0.00    9.78 ^ mprj/u_mac_wrap/_04609_/A_N (sky130_fd_sc_hd__and2b_1)
-                  0.08    0.27   10.05 v mprj/u_mac_wrap/_04609_/X (sky130_fd_sc_hd__and2b_1)
-     3    0.01                           mprj/u_mac_wrap/_01928_ (net)
-                  0.08    0.00   10.05 v mprj/u_mac_wrap/_04620_/A (sky130_fd_sc_hd__or2_1)
-                  0.11    0.32   10.37 v mprj/u_mac_wrap/_04620_/X (sky130_fd_sc_hd__or2_1)
-     3    0.02                           mprj/u_mac_wrap/_01939_ (net)
-                  0.11    0.00   10.37 v mprj/u_mac_wrap/_06049_/A (sky130_fd_sc_hd__or3_1)
-                  0.14    0.50   10.87 v mprj/u_mac_wrap/_06049_/X (sky130_fd_sc_hd__or3_1)
-     2    0.02                           mprj/u_mac_wrap/_02846_ (net)
-                  0.14    0.00   10.87 v mprj/u_mac_wrap/fanout237/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.12    0.23   11.10 v mprj/u_mac_wrap/fanout237/X (sky130_fd_sc_hd__clkbuf_2)
-    10    0.03                           mprj/u_mac_wrap/net237 (net)
-                  0.12    0.00   11.10 v mprj/u_mac_wrap/fanout235/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.10    0.24   11.34 v mprj/u_mac_wrap/fanout235/X (sky130_fd_sc_hd__clkbuf_4)
-    10    0.05                           mprj/u_mac_wrap/net235 (net)
-                  0.10    0.00   11.34 v mprj/u_mac_wrap/_06054_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.33   11.68 v mprj/u_mac_wrap/_06054_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00525_ (net)
-                  0.05    0.00   11.68 v mprj/u_mac_wrap/_08993_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 11.68   data arrival time
-
-                         40.00   40.00   clock mac_rx_clk (rise edge)
-                          0.00   40.00   clock source latency
-                  1.00    0.00   40.00 ^ mprj_io[12] (inout)
-     1    6.12                           mprj_io[12] (net)
-                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[12] (net)
-                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00   41.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10   41.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00   41.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21   41.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17   41.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00   41.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21   41.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00   41.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43   42.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03   42.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24   42.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00   42.61 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16   42.77 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00   42.77 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   42.91 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00   42.91 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.25    0.27   43.19 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
-                  0.25    0.00   43.19 ^ mprj/u_mac_wrap/clkbuf_leaf_30_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.19   43.38 ^ mprj/u_mac_wrap/clkbuf_leaf_30_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    10    0.03                           mprj/u_mac_wrap/clknet_leaf_30_phy_rx_clk (net)
-                  0.05    0.00   43.38 ^ mprj/u_mac_wrap/_08993_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   43.13   clock uncertainty
-                          2.32   45.45   clock reconvergence pessimism
-                         -0.12   45.33   library setup time
-                                 45.33   data required time
------------------------------------------------------------------------------
-                                 45.33   data required time
-                                -11.68   data arrival time
------------------------------------------------------------------------------
-                                 33.66   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_09078_
-            (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_09019_
-          (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Path Group: mac_rx_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_rx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1   11.12                           mprj_io[12] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[12] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.09 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.16    5.25 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
-                  0.06    0.00    5.25 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.27    5.52 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.12                           mprj/u_mac_wrap/clknet_3_5_0_phy_rx_clk (net)
-                  0.22    0.00    5.52 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.20    5.72 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.03                           mprj/u_mac_wrap/clknet_leaf_8_phy_rx_clk (net)
-                  0.06    0.00    5.72 ^ mprj/u_mac_wrap/_09078_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.05    0.34    6.06 ^ mprj/u_mac_wrap/_09078_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[1] (net)
-                  0.05    0.00    6.06 ^ mprj/u_mac_wrap/fanout451/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.17    0.20    6.27 ^ mprj/u_mac_wrap/fanout451/X (sky130_fd_sc_hd__clkbuf_2)
-     7    0.03                           mprj/u_mac_wrap/net451 (net)
-                  0.17    0.00    6.27 ^ mprj/u_mac_wrap/fanout450/A (sky130_fd_sc_hd__buf_2)
-                  0.21    0.27    6.54 ^ mprj/u_mac_wrap/fanout450/X (sky130_fd_sc_hd__buf_2)
-    10    0.04                           mprj/u_mac_wrap/net450 (net)
-                  0.21    0.00    6.54 ^ mprj/u_mac_wrap/_04293_/C (sky130_fd_sc_hd__and4_1)
-                  0.18    0.33    6.88 ^ mprj/u_mac_wrap/_04293_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01642_ (net)
-                  0.18    0.00    6.88 ^ mprj/u_mac_wrap/_04295_/D (sky130_fd_sc_hd__and4_2)
-                  0.14    0.35    7.22 ^ mprj/u_mac_wrap/_04295_/X (sky130_fd_sc_hd__and4_2)
-     6    0.02                           mprj/u_mac_wrap/_01644_ (net)
-                  0.14    0.00    7.22 ^ mprj/u_mac_wrap/_04297_/D (sky130_fd_sc_hd__and4_1)
-                  0.20    0.34    7.56 ^ mprj/u_mac_wrap/_04297_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01646_ (net)
-                  0.20    0.00    7.56 ^ mprj/u_mac_wrap/_04298_/C (sky130_fd_sc_hd__nand3_1)
-                  0.15    0.19    7.75 v mprj/u_mac_wrap/_04298_/Y (sky130_fd_sc_hd__nand3_1)
-     3    0.01                           mprj/u_mac_wrap/_01647_ (net)
-                  0.15    0.00    7.75 v mprj/u_mac_wrap/_04304_/A1 (sky130_fd_sc_hd__a2111o_1)
-                  0.13    0.50    8.25 v mprj/u_mac_wrap/_04304_/X (sky130_fd_sc_hd__a2111o_1)
-     3    0.02                           mprj/u_mac_wrap/_01653_ (net)
-                  0.13    0.00    8.25 v mprj/u_mac_wrap/_04320_/B1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.35    8.60 v mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_mac_wrap/_01669_ (net)
-                  0.05    0.00    8.60 v mprj/u_mac_wrap/_04321_/D (sky130_fd_sc_hd__or4_1)
-                  0.11    0.46    9.06 v mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01670_ (net)
-                  0.11    0.00    9.06 v mprj/u_mac_wrap/_04330_/B (sky130_fd_sc_hd__nor4_2)
-                  0.41    0.45    9.51 ^ mprj/u_mac_wrap/_04330_/Y (sky130_fd_sc_hd__nor4_2)
-     4    0.01                           mprj/u_mac_wrap/_01679_ (net)
-                  0.41    0.00    9.51 ^ mprj/u_mac_wrap/_04361_/A_N (sky130_fd_sc_hd__nand3b_1)
-                  0.20    0.27    9.78 ^ mprj/u_mac_wrap/_04361_/Y (sky130_fd_sc_hd__nand3b_1)
-     3    0.02                           mprj/u_mac_wrap/_01710_ (net)
-                  0.20    0.00    9.78 ^ mprj/u_mac_wrap/_04609_/A_N (sky130_fd_sc_hd__and2b_1)
-                  0.08    0.27   10.05 v mprj/u_mac_wrap/_04609_/X (sky130_fd_sc_hd__and2b_1)
-     3    0.01                           mprj/u_mac_wrap/_01928_ (net)
-                  0.08    0.00   10.05 v mprj/u_mac_wrap/_04620_/A (sky130_fd_sc_hd__or2_1)
-                  0.11    0.32   10.37 v mprj/u_mac_wrap/_04620_/X (sky130_fd_sc_hd__or2_1)
-     3    0.02                           mprj/u_mac_wrap/_01939_ (net)
-                  0.11    0.00   10.37 v mprj/u_mac_wrap/_06049_/A (sky130_fd_sc_hd__or3_1)
-                  0.14    0.50   10.87 v mprj/u_mac_wrap/_06049_/X (sky130_fd_sc_hd__or3_1)
-     2    0.02                           mprj/u_mac_wrap/_02846_ (net)
-                  0.14    0.00   10.87 v mprj/u_mac_wrap/fanout237/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.12    0.23   11.10 v mprj/u_mac_wrap/fanout237/X (sky130_fd_sc_hd__clkbuf_2)
-    10    0.03                           mprj/u_mac_wrap/net237 (net)
-                  0.12    0.00   11.10 v mprj/u_mac_wrap/fanout235/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.10    0.24   11.34 v mprj/u_mac_wrap/fanout235/X (sky130_fd_sc_hd__clkbuf_4)
-    10    0.05                           mprj/u_mac_wrap/net235 (net)
-                  0.10    0.00   11.34 v mprj/u_mac_wrap/_06080_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.33   11.68 v mprj/u_mac_wrap/_06080_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00551_ (net)
-                  0.05    0.00   11.68 v mprj/u_mac_wrap/_09019_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 11.68   data arrival time
-
-                         40.00   40.00   clock mac_rx_clk (rise edge)
-                          0.00   40.00   clock source latency
-                  1.00    0.00   40.00 ^ mprj_io[12] (inout)
-     1    6.12                           mprj_io[12] (net)
-                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[12] (net)
-                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00   41.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10   41.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00   41.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21   41.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17   41.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00   41.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21   41.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00   41.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43   42.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03   42.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24   42.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00   42.61 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16   42.77 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00   42.77 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   42.91 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00   42.91 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.25    0.27   43.19 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
-                  0.25    0.00   43.19 ^ mprj/u_mac_wrap/clkbuf_leaf_30_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.19   43.38 ^ mprj/u_mac_wrap/clkbuf_leaf_30_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    10    0.03                           mprj/u_mac_wrap/clknet_leaf_30_phy_rx_clk (net)
-                  0.05    0.00   43.38 ^ mprj/u_mac_wrap/_09019_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   43.13   clock uncertainty
-                          2.32   45.45   clock reconvergence pessimism
-                         -0.12   45.34   library setup time
-                                 45.34   data required time
------------------------------------------------------------------------------
-                                 45.34   data required time
-                                -11.68   data arrival time
------------------------------------------------------------------------------
-                                 33.66   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_09078_
-            (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_09009_
-          (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Path Group: mac_rx_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_rx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1   11.12                           mprj_io[12] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[12] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.09 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.16    5.25 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
-                  0.06    0.00    5.25 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.27    5.52 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.12                           mprj/u_mac_wrap/clknet_3_5_0_phy_rx_clk (net)
-                  0.22    0.00    5.52 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.20    5.72 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.03                           mprj/u_mac_wrap/clknet_leaf_8_phy_rx_clk (net)
-                  0.06    0.00    5.72 ^ mprj/u_mac_wrap/_09078_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.05    0.34    6.06 ^ mprj/u_mac_wrap/_09078_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[1] (net)
-                  0.05    0.00    6.06 ^ mprj/u_mac_wrap/fanout451/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.17    0.20    6.27 ^ mprj/u_mac_wrap/fanout451/X (sky130_fd_sc_hd__clkbuf_2)
-     7    0.03                           mprj/u_mac_wrap/net451 (net)
-                  0.17    0.00    6.27 ^ mprj/u_mac_wrap/fanout450/A (sky130_fd_sc_hd__buf_2)
-                  0.21    0.27    6.54 ^ mprj/u_mac_wrap/fanout450/X (sky130_fd_sc_hd__buf_2)
-    10    0.04                           mprj/u_mac_wrap/net450 (net)
-                  0.21    0.00    6.54 ^ mprj/u_mac_wrap/_04293_/C (sky130_fd_sc_hd__and4_1)
-                  0.18    0.33    6.88 ^ mprj/u_mac_wrap/_04293_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01642_ (net)
-                  0.18    0.00    6.88 ^ mprj/u_mac_wrap/_04295_/D (sky130_fd_sc_hd__and4_2)
-                  0.14    0.35    7.22 ^ mprj/u_mac_wrap/_04295_/X (sky130_fd_sc_hd__and4_2)
-     6    0.02                           mprj/u_mac_wrap/_01644_ (net)
-                  0.14    0.00    7.22 ^ mprj/u_mac_wrap/_04297_/D (sky130_fd_sc_hd__and4_1)
-                  0.20    0.34    7.56 ^ mprj/u_mac_wrap/_04297_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01646_ (net)
-                  0.20    0.00    7.56 ^ mprj/u_mac_wrap/_04298_/C (sky130_fd_sc_hd__nand3_1)
-                  0.15    0.19    7.75 v mprj/u_mac_wrap/_04298_/Y (sky130_fd_sc_hd__nand3_1)
-     3    0.01                           mprj/u_mac_wrap/_01647_ (net)
-                  0.15    0.00    7.75 v mprj/u_mac_wrap/_04304_/A1 (sky130_fd_sc_hd__a2111o_1)
-                  0.13    0.50    8.25 v mprj/u_mac_wrap/_04304_/X (sky130_fd_sc_hd__a2111o_1)
-     3    0.02                           mprj/u_mac_wrap/_01653_ (net)
-                  0.13    0.00    8.25 v mprj/u_mac_wrap/_04320_/B1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.35    8.60 v mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_mac_wrap/_01669_ (net)
-                  0.05    0.00    8.60 v mprj/u_mac_wrap/_04321_/D (sky130_fd_sc_hd__or4_1)
-                  0.11    0.46    9.06 v mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01670_ (net)
-                  0.11    0.00    9.06 v mprj/u_mac_wrap/_04330_/B (sky130_fd_sc_hd__nor4_2)
-                  0.41    0.45    9.51 ^ mprj/u_mac_wrap/_04330_/Y (sky130_fd_sc_hd__nor4_2)
-     4    0.01                           mprj/u_mac_wrap/_01679_ (net)
-                  0.41    0.00    9.51 ^ mprj/u_mac_wrap/_04361_/A_N (sky130_fd_sc_hd__nand3b_1)
-                  0.20    0.27    9.78 ^ mprj/u_mac_wrap/_04361_/Y (sky130_fd_sc_hd__nand3b_1)
-     3    0.02                           mprj/u_mac_wrap/_01710_ (net)
-                  0.20    0.00    9.78 ^ mprj/u_mac_wrap/_04609_/A_N (sky130_fd_sc_hd__and2b_1)
-                  0.08    0.27   10.05 v mprj/u_mac_wrap/_04609_/X (sky130_fd_sc_hd__and2b_1)
-     3    0.01                           mprj/u_mac_wrap/_01928_ (net)
-                  0.08    0.00   10.05 v mprj/u_mac_wrap/_04620_/A (sky130_fd_sc_hd__or2_1)
-                  0.11    0.32   10.37 v mprj/u_mac_wrap/_04620_/X (sky130_fd_sc_hd__or2_1)
-     3    0.02                           mprj/u_mac_wrap/_01939_ (net)
-                  0.11    0.00   10.37 v mprj/u_mac_wrap/_06049_/A (sky130_fd_sc_hd__or3_1)
-                  0.14    0.50   10.87 v mprj/u_mac_wrap/_06049_/X (sky130_fd_sc_hd__or3_1)
-     2    0.02                           mprj/u_mac_wrap/_02846_ (net)
-                  0.14    0.00   10.87 v mprj/u_mac_wrap/fanout237/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.12    0.23   11.10 v mprj/u_mac_wrap/fanout237/X (sky130_fd_sc_hd__clkbuf_2)
-    10    0.03                           mprj/u_mac_wrap/net237 (net)
-                  0.12    0.00   11.10 v mprj/u_mac_wrap/fanout235/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.10    0.24   11.34 v mprj/u_mac_wrap/fanout235/X (sky130_fd_sc_hd__clkbuf_4)
-    10    0.05                           mprj/u_mac_wrap/net235 (net)
-                  0.10    0.00   11.34 v mprj/u_mac_wrap/_06070_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.33   11.68 v mprj/u_mac_wrap/_06070_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00541_ (net)
-                  0.05    0.00   11.68 v mprj/u_mac_wrap/_09009_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 11.68   data arrival time
-
-                         40.00   40.00   clock mac_rx_clk (rise edge)
-                          0.00   40.00   clock source latency
-                  1.00    0.00   40.00 ^ mprj_io[12] (inout)
-     1    6.12                           mprj_io[12] (net)
-                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[12] (net)
-                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00   41.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10   41.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00   41.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21   41.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17   41.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00   41.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21   41.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00   41.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43   42.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03   42.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24   42.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00   42.61 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16   42.77 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00   42.77 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   42.91 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00   42.91 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.25    0.27   43.19 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
-                  0.25    0.00   43.19 ^ mprj/u_mac_wrap/clkbuf_leaf_34_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.19   43.38 ^ mprj/u_mac_wrap/clkbuf_leaf_34_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    10    0.03                           mprj/u_mac_wrap/clknet_leaf_34_phy_rx_clk (net)
-                  0.05    0.00   43.38 ^ mprj/u_mac_wrap/_09009_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   43.13   clock uncertainty
-                          2.32   45.45   clock reconvergence pessimism
-                         -0.12   45.34   library setup time
-                                 45.34   data required time
------------------------------------------------------------------------------
-                                 45.34   data required time
-                                -11.68   data arrival time
------------------------------------------------------------------------------
-                                 33.66   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_09078_
-            (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_09020_
-          (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Path Group: mac_rx_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_rx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1   11.12                           mprj_io[12] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[12] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.09 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.16    5.25 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
-                  0.06    0.00    5.25 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.27    5.52 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.12                           mprj/u_mac_wrap/clknet_3_5_0_phy_rx_clk (net)
-                  0.22    0.00    5.52 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.20    5.72 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.03                           mprj/u_mac_wrap/clknet_leaf_8_phy_rx_clk (net)
-                  0.06    0.00    5.72 ^ mprj/u_mac_wrap/_09078_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.05    0.34    6.06 ^ mprj/u_mac_wrap/_09078_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[1] (net)
-                  0.05    0.00    6.06 ^ mprj/u_mac_wrap/fanout451/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.17    0.20    6.27 ^ mprj/u_mac_wrap/fanout451/X (sky130_fd_sc_hd__clkbuf_2)
-     7    0.03                           mprj/u_mac_wrap/net451 (net)
-                  0.17    0.00    6.27 ^ mprj/u_mac_wrap/fanout450/A (sky130_fd_sc_hd__buf_2)
-                  0.21    0.27    6.54 ^ mprj/u_mac_wrap/fanout450/X (sky130_fd_sc_hd__buf_2)
-    10    0.04                           mprj/u_mac_wrap/net450 (net)
-                  0.21    0.00    6.54 ^ mprj/u_mac_wrap/_04293_/C (sky130_fd_sc_hd__and4_1)
-                  0.18    0.33    6.88 ^ mprj/u_mac_wrap/_04293_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01642_ (net)
-                  0.18    0.00    6.88 ^ mprj/u_mac_wrap/_04295_/D (sky130_fd_sc_hd__and4_2)
-                  0.14    0.35    7.22 ^ mprj/u_mac_wrap/_04295_/X (sky130_fd_sc_hd__and4_2)
-     6    0.02                           mprj/u_mac_wrap/_01644_ (net)
-                  0.14    0.00    7.22 ^ mprj/u_mac_wrap/_04297_/D (sky130_fd_sc_hd__and4_1)
-                  0.20    0.34    7.56 ^ mprj/u_mac_wrap/_04297_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01646_ (net)
-                  0.20    0.00    7.56 ^ mprj/u_mac_wrap/_04298_/C (sky130_fd_sc_hd__nand3_1)
-                  0.15    0.19    7.75 v mprj/u_mac_wrap/_04298_/Y (sky130_fd_sc_hd__nand3_1)
-     3    0.01                           mprj/u_mac_wrap/_01647_ (net)
-                  0.15    0.00    7.75 v mprj/u_mac_wrap/_04304_/A1 (sky130_fd_sc_hd__a2111o_1)
-                  0.13    0.50    8.25 v mprj/u_mac_wrap/_04304_/X (sky130_fd_sc_hd__a2111o_1)
-     3    0.02                           mprj/u_mac_wrap/_01653_ (net)
-                  0.13    0.00    8.25 v mprj/u_mac_wrap/_04320_/B1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.35    8.60 v mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_mac_wrap/_01669_ (net)
-                  0.05    0.00    8.60 v mprj/u_mac_wrap/_04321_/D (sky130_fd_sc_hd__or4_1)
-                  0.11    0.46    9.06 v mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01670_ (net)
-                  0.11    0.00    9.06 v mprj/u_mac_wrap/_04330_/B (sky130_fd_sc_hd__nor4_2)
-                  0.41    0.45    9.51 ^ mprj/u_mac_wrap/_04330_/Y (sky130_fd_sc_hd__nor4_2)
-     4    0.01                           mprj/u_mac_wrap/_01679_ (net)
-                  0.41    0.00    9.51 ^ mprj/u_mac_wrap/_04361_/A_N (sky130_fd_sc_hd__nand3b_1)
-                  0.20    0.27    9.78 ^ mprj/u_mac_wrap/_04361_/Y (sky130_fd_sc_hd__nand3b_1)
-     3    0.02                           mprj/u_mac_wrap/_01710_ (net)
-                  0.20    0.00    9.78 ^ mprj/u_mac_wrap/_04609_/A_N (sky130_fd_sc_hd__and2b_1)
-                  0.08    0.27   10.05 v mprj/u_mac_wrap/_04609_/X (sky130_fd_sc_hd__and2b_1)
-     3    0.01                           mprj/u_mac_wrap/_01928_ (net)
-                  0.08    0.00   10.05 v mprj/u_mac_wrap/_04620_/A (sky130_fd_sc_hd__or2_1)
-                  0.11    0.32   10.37 v mprj/u_mac_wrap/_04620_/X (sky130_fd_sc_hd__or2_1)
-     3    0.02                           mprj/u_mac_wrap/_01939_ (net)
-                  0.11    0.00   10.37 v mprj/u_mac_wrap/_06049_/A (sky130_fd_sc_hd__or3_1)
-                  0.14    0.50   10.87 v mprj/u_mac_wrap/_06049_/X (sky130_fd_sc_hd__or3_1)
-     2    0.02                           mprj/u_mac_wrap/_02846_ (net)
-                  0.14    0.00   10.87 v mprj/u_mac_wrap/fanout237/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.12    0.23   11.10 v mprj/u_mac_wrap/fanout237/X (sky130_fd_sc_hd__clkbuf_2)
-    10    0.03                           mprj/u_mac_wrap/net237 (net)
-                  0.12    0.00   11.10 v mprj/u_mac_wrap/fanout235/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.10    0.24   11.34 v mprj/u_mac_wrap/fanout235/X (sky130_fd_sc_hd__clkbuf_4)
-    10    0.05                           mprj/u_mac_wrap/net235 (net)
-                  0.10    0.00   11.34 v mprj/u_mac_wrap/_06081_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.33   11.68 v mprj/u_mac_wrap/_06081_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00552_ (net)
-                  0.05    0.00   11.68 v mprj/u_mac_wrap/_09020_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 11.68   data arrival time
-
-                         40.00   40.00   clock mac_rx_clk (rise edge)
-                          0.00   40.00   clock source latency
-                  1.00    0.00   40.00 ^ mprj_io[12] (inout)
-     1    6.12                           mprj_io[12] (net)
-                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[12] (net)
-                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00   41.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10   41.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00   41.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21   41.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17   41.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00   41.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21   41.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00   41.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43   42.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03   42.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24   42.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00   42.61 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16   42.77 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00   42.77 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   42.91 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
-                  0.05    0.00   42.91 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.25    0.27   43.19 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
-                  0.25    0.00   43.19 ^ mprj/u_mac_wrap/clkbuf_leaf_34_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.19   43.38 ^ mprj/u_mac_wrap/clkbuf_leaf_34_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    10    0.03                           mprj/u_mac_wrap/clknet_leaf_34_phy_rx_clk (net)
-                  0.05    0.00   43.38 ^ mprj/u_mac_wrap/_09020_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   43.13   clock uncertainty
-                          2.32   45.45   clock reconvergence pessimism
-                         -0.12   45.34   library setup time
-                                 45.34   data required time
------------------------------------------------------------------------------
-                                 45.34   data required time
-                                -11.68   data arrival time
------------------------------------------------------------------------------
-                                 33.66   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_09078_
-            (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_09005_
-          (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Path Group: mac_rx_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_rx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1   11.12                           mprj_io[12] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[12] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.09 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.16    5.25 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
-                  0.06    0.00    5.25 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.27    5.52 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.12                           mprj/u_mac_wrap/clknet_3_5_0_phy_rx_clk (net)
-                  0.22    0.00    5.52 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.20    5.72 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.03                           mprj/u_mac_wrap/clknet_leaf_8_phy_rx_clk (net)
-                  0.06    0.00    5.72 ^ mprj/u_mac_wrap/_09078_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.05    0.34    6.06 ^ mprj/u_mac_wrap/_09078_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[1] (net)
-                  0.05    0.00    6.06 ^ mprj/u_mac_wrap/fanout451/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.17    0.20    6.27 ^ mprj/u_mac_wrap/fanout451/X (sky130_fd_sc_hd__clkbuf_2)
-     7    0.03                           mprj/u_mac_wrap/net451 (net)
-                  0.17    0.00    6.27 ^ mprj/u_mac_wrap/fanout450/A (sky130_fd_sc_hd__buf_2)
-                  0.21    0.27    6.54 ^ mprj/u_mac_wrap/fanout450/X (sky130_fd_sc_hd__buf_2)
-    10    0.04                           mprj/u_mac_wrap/net450 (net)
-                  0.21    0.00    6.54 ^ mprj/u_mac_wrap/_04293_/C (sky130_fd_sc_hd__and4_1)
-                  0.18    0.33    6.88 ^ mprj/u_mac_wrap/_04293_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01642_ (net)
-                  0.18    0.00    6.88 ^ mprj/u_mac_wrap/_04295_/D (sky130_fd_sc_hd__and4_2)
-                  0.14    0.35    7.22 ^ mprj/u_mac_wrap/_04295_/X (sky130_fd_sc_hd__and4_2)
-     6    0.02                           mprj/u_mac_wrap/_01644_ (net)
-                  0.14    0.00    7.22 ^ mprj/u_mac_wrap/_04297_/D (sky130_fd_sc_hd__and4_1)
-                  0.20    0.34    7.56 ^ mprj/u_mac_wrap/_04297_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01646_ (net)
-                  0.20    0.00    7.56 ^ mprj/u_mac_wrap/_04298_/C (sky130_fd_sc_hd__nand3_1)
-                  0.15    0.19    7.75 v mprj/u_mac_wrap/_04298_/Y (sky130_fd_sc_hd__nand3_1)
-     3    0.01                           mprj/u_mac_wrap/_01647_ (net)
-                  0.15    0.00    7.75 v mprj/u_mac_wrap/_04304_/A1 (sky130_fd_sc_hd__a2111o_1)
-                  0.13    0.50    8.25 v mprj/u_mac_wrap/_04304_/X (sky130_fd_sc_hd__a2111o_1)
-     3    0.02                           mprj/u_mac_wrap/_01653_ (net)
-                  0.13    0.00    8.25 v mprj/u_mac_wrap/_04320_/B1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.35    8.60 v mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_mac_wrap/_01669_ (net)
-                  0.05    0.00    8.60 v mprj/u_mac_wrap/_04321_/D (sky130_fd_sc_hd__or4_1)
-                  0.11    0.46    9.06 v mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01670_ (net)
-                  0.11    0.00    9.06 v mprj/u_mac_wrap/_04330_/B (sky130_fd_sc_hd__nor4_2)
-                  0.41    0.45    9.51 ^ mprj/u_mac_wrap/_04330_/Y (sky130_fd_sc_hd__nor4_2)
-     4    0.01                           mprj/u_mac_wrap/_01679_ (net)
-                  0.41    0.00    9.51 ^ mprj/u_mac_wrap/_04361_/A_N (sky130_fd_sc_hd__nand3b_1)
-                  0.20    0.27    9.78 ^ mprj/u_mac_wrap/_04361_/Y (sky130_fd_sc_hd__nand3b_1)
-     3    0.02                           mprj/u_mac_wrap/_01710_ (net)
-                  0.20    0.00    9.78 ^ mprj/u_mac_wrap/_04609_/A_N (sky130_fd_sc_hd__and2b_1)
-                  0.08    0.27   10.05 v mprj/u_mac_wrap/_04609_/X (sky130_fd_sc_hd__and2b_1)
-     3    0.01                           mprj/u_mac_wrap/_01928_ (net)
-                  0.08    0.00   10.05 v mprj/u_mac_wrap/_04620_/A (sky130_fd_sc_hd__or2_1)
-                  0.11    0.32   10.37 v mprj/u_mac_wrap/_04620_/X (sky130_fd_sc_hd__or2_1)
-     3    0.02                           mprj/u_mac_wrap/_01939_ (net)
-                  0.11    0.00   10.37 v mprj/u_mac_wrap/_06049_/A (sky130_fd_sc_hd__or3_1)
-                  0.14    0.50   10.87 v mprj/u_mac_wrap/_06049_/X (sky130_fd_sc_hd__or3_1)
-     2    0.02                           mprj/u_mac_wrap/_02846_ (net)
-                  0.14    0.00   10.87 v mprj/u_mac_wrap/fanout237/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.12    0.23   11.10 v mprj/u_mac_wrap/fanout237/X (sky130_fd_sc_hd__clkbuf_2)
-    10    0.03                           mprj/u_mac_wrap/net237 (net)
-                  0.12    0.00   11.10 v mprj/u_mac_wrap/_06066_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.34   11.44 v mprj/u_mac_wrap/_06066_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00537_ (net)
-                  0.05    0.00   11.44 v mprj/u_mac_wrap/_09005_/D (sky130_fd_sc_hd__dfrtp_1)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    6.45 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_3_0_lbist_clk_int (net)
+                  0.12    0.00    6.45 ^ mprj/u_wb_host/_5638_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.10    0.47    6.92 v mprj/u_wb_host/_5638_/Q (sky130_fd_sc_hd__dfrtp_1)
+     5    0.02                           mprj/u_wb_host/u_lbist.u_lbist_core.scan_pat_cnt[1] (net)
+                  0.10    0.00    6.92 v mprj/u_wb_host/_2743_/A (sky130_fd_sc_hd__or4_2)
+                  0.14    0.77    7.69 v mprj/u_wb_host/_2743_/X (sky130_fd_sc_hd__or4_2)
+     4    0.01                           mprj/u_wb_host/_1160_ (net)
+                  0.14    0.00    7.69 v mprj/u_wb_host/_2745_/D (sky130_fd_sc_hd__or4_2)
+                  0.15    0.67    8.36 v mprj/u_wb_host/_2745_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_wb_host/_1162_ (net)
+                  0.15    0.00    8.36 v mprj/u_wb_host/_2747_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    8.91 v mprj/u_wb_host/_2747_/X (sky130_fd_sc_hd__or4_1)
+     4    0.02                           mprj/u_wb_host/_1164_ (net)
+                  0.15    0.00    8.91 v mprj/u_wb_host/_2749_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    9.44 v mprj/u_wb_host/_2749_/X (sky130_fd_sc_hd__or4_1)
+     4    0.01                           mprj/u_wb_host/_1166_ (net)
+                  0.15    0.00    9.44 v mprj/u_wb_host/_2751_/D (sky130_fd_sc_hd__or4_2)
+                  0.16    0.70   10.14 v mprj/u_wb_host/_2751_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_wb_host/_1168_ (net)
+                  0.16    0.00   10.14 v mprj/u_wb_host/_2764_/C (sky130_fd_sc_hd__or4_2)
+                  0.17    0.78   10.92 v mprj/u_wb_host/_2764_/X (sky130_fd_sc_hd__or4_2)
+     7    0.02                           mprj/u_wb_host/_1181_ (net)
+                  0.17    0.00   10.92 v mprj/u_wb_host/_3634_/A (sky130_fd_sc_hd__and4_1)
+                  0.05    0.21   11.13 v mprj/u_wb_host/_3634_/X (sky130_fd_sc_hd__and4_1)
+     1    0.00                           mprj/u_wb_host/_1739_ (net)
+                  0.05    0.00   11.13 v mprj/u_wb_host/_3636_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.31   11.44 v mprj/u_wb_host/_3636_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0196_ (net)
+                  0.05    0.00   11.44 v mprj/u_wb_host/_5658_/D (sky130_fd_sc_hd__dfrtp_4)
                                  11.44   data arrival time
 
-                         40.00   40.00   clock mac_rx_clk (rise edge)
-                          0.00   40.00   clock source latency
-                  1.00    0.00   40.00 ^ mprj_io[12] (inout)
-     1    6.12                           mprj_io[12] (net)
-                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[12] (net)
-                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00   41.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10   41.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00   41.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21   41.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17   41.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00   41.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21   41.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00   41.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43   42.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03   42.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24   42.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00   42.61 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.15   42.76 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00   42.77 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14   42.91 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_3_0_phy_rx_clk (net)
-                  0.06    0.00   42.91 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.17    0.22   43.12 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.09                           mprj/u_mac_wrap/clknet_3_6_0_phy_rx_clk (net)
-                  0.17    0.00   43.13 ^ mprj/u_mac_wrap/clkbuf_leaf_31_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.18   43.31 ^ mprj/u_mac_wrap/clkbuf_leaf_31_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    15    0.05                           mprj/u_mac_wrap/clknet_leaf_31_phy_rx_clk (net)
-                  0.07    0.00   43.31 ^ mprj/u_mac_wrap/_09005_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   43.06   clock uncertainty
-                          2.33   45.39   clock reconvergence pessimism
-                         -0.11   45.27   library setup time
-                                 45.27   data required time
+                         10.00   10.00   clock lbist_clk (rise edge)
+                          0.00   10.00   clock source latency
+                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.11   10.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   10.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00   10.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   10.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00   10.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17   10.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00   10.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   11.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00   11.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   11.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00   11.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00   11.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   11.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00   11.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   11.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00   11.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00   11.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   12.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00   12.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00   12.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00   12.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   12.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00   12.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00   12.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00   12.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00   13.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   13.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00   13.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14   13.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00   13.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00   13.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   13.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00   13.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10   13.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00   13.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14   13.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00   13.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   14.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00   14.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   14.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00   14.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13   14.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00   14.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13   14.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00   14.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25   15.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00   15.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   15.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00   15.32 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   15.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00   15.43 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12   15.55 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00   15.55 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   15.69 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
+                  0.06    0.00   15.69 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   15.81 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
+                  0.04    0.00   15.81 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.18   15.99 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_10_0_lbist_clk_int (net)
+                  0.12    0.00   15.99 ^ mprj/u_wb_host/_5658_/CLK (sky130_fd_sc_hd__dfrtp_4)
+                         -0.25   15.74   clock uncertainty
+                          0.41   16.15   clock reconvergence pessimism
+                         -0.10   16.05   library setup time
+                                 16.05   data required time
 -----------------------------------------------------------------------------
-                                 45.27   data required time
+                                 16.05   data required time
                                 -11.44   data arrival time
 -----------------------------------------------------------------------------
-                                 33.83   slack (MET)
+                                  4.61   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_09078_
+Startpoint: mprj/u_wb_host/_5638_
+            (rising edge-triggered flip-flop clocked by lbist_clk)
+Endpoint: mprj/u_wb_host/_6520_
+          (rising edge-triggered flip-flop clocked by lbist_clk)
+Path Group: lbist_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    6.45 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_3_0_lbist_clk_int (net)
+                  0.12    0.00    6.45 ^ mprj/u_wb_host/_5638_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.10    0.47    6.92 v mprj/u_wb_host/_5638_/Q (sky130_fd_sc_hd__dfrtp_1)
+     5    0.02                           mprj/u_wb_host/u_lbist.u_lbist_core.scan_pat_cnt[1] (net)
+                  0.10    0.00    6.92 v mprj/u_wb_host/_2743_/A (sky130_fd_sc_hd__or4_2)
+                  0.14    0.77    7.69 v mprj/u_wb_host/_2743_/X (sky130_fd_sc_hd__or4_2)
+     4    0.01                           mprj/u_wb_host/_1160_ (net)
+                  0.14    0.00    7.69 v mprj/u_wb_host/_2745_/D (sky130_fd_sc_hd__or4_2)
+                  0.15    0.67    8.36 v mprj/u_wb_host/_2745_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_wb_host/_1162_ (net)
+                  0.15    0.00    8.36 v mprj/u_wb_host/_2747_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    8.91 v mprj/u_wb_host/_2747_/X (sky130_fd_sc_hd__or4_1)
+     4    0.02                           mprj/u_wb_host/_1164_ (net)
+                  0.15    0.00    8.91 v mprj/u_wb_host/_2749_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    9.44 v mprj/u_wb_host/_2749_/X (sky130_fd_sc_hd__or4_1)
+     4    0.01                           mprj/u_wb_host/_1166_ (net)
+                  0.15    0.00    9.44 v mprj/u_wb_host/_2751_/D (sky130_fd_sc_hd__or4_2)
+                  0.16    0.70   10.14 v mprj/u_wb_host/_2751_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_wb_host/_1168_ (net)
+                  0.16    0.00   10.14 v mprj/u_wb_host/_2764_/C (sky130_fd_sc_hd__or4_2)
+                  0.17    0.78   10.92 v mprj/u_wb_host/_2764_/X (sky130_fd_sc_hd__or4_2)
+     7    0.02                           mprj/u_wb_host/_1181_ (net)
+                  0.17    0.00   10.92 v mprj/u_wb_host/_2765_/C (sky130_fd_sc_hd__and3_1)
+                  0.06    0.26   11.18 v mprj/u_wb_host/_2765_/X (sky130_fd_sc_hd__and3_1)
+     2    0.01                           mprj/u_wb_host/_1182_ (net)
+                  0.06    0.00   11.18 v mprj/u_wb_host/_2766_/A (sky130_fd_sc_hd__inv_2)
+                  0.03    0.05   11.23 ^ mprj/u_wb_host/_2766_/Y (sky130_fd_sc_hd__inv_2)
+     1    0.00                           mprj/u_wb_host/_0003_ (net)
+                  0.03    0.00   11.23 ^ mprj/u_wb_host/_6520_/D (sky130_fd_sc_hd__dfstp_1)
+                                 11.23   data arrival time
+
+                         10.00   10.00   clock lbist_clk (rise edge)
+                          0.00   10.00   clock source latency
+                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.11   10.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   10.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00   10.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   10.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00   10.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17   10.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00   10.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   11.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00   11.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   11.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00   11.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00   11.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   11.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00   11.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   11.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00   11.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00   11.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   12.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00   12.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00   12.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00   12.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   12.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00   12.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00   12.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00   12.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00   13.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   13.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00   13.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14   13.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00   13.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00   13.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   13.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00   13.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10   13.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00   13.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14   13.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00   13.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   14.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00   14.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   14.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00   14.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13   14.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00   14.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13   14.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00   14.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25   15.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00   15.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   15.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00   15.32 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   15.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00   15.43 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12   15.55 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00   15.55 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   15.69 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
+                  0.06    0.00   15.69 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   15.81 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
+                  0.04    0.00   15.81 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.18   15.99 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_10_0_lbist_clk_int (net)
+                  0.12    0.00   15.99 ^ mprj/u_wb_host/_6520_/CLK (sky130_fd_sc_hd__dfstp_1)
+                         -0.25   15.74   clock uncertainty
+                          0.41   16.15   clock reconvergence pessimism
+                         -0.04   16.11   library setup time
+                                 16.11   data required time
+-----------------------------------------------------------------------------
+                                 16.11   data required time
+                                -11.23   data arrival time
+-----------------------------------------------------------------------------
+                                  4.88   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5638_
+            (rising edge-triggered flip-flop clocked by lbist_clk)
+Endpoint: mprj/u_wb_host/_5657_
+          (rising edge-triggered flip-flop clocked by lbist_clk)
+Path Group: lbist_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_0_0_lbist_clk_int (net)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_1_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.19    6.45 ^ mprj/u_wb_host/clkbuf_4_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_3_0_lbist_clk_int (net)
+                  0.12    0.00    6.45 ^ mprj/u_wb_host/_5638_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.10    0.47    6.92 v mprj/u_wb_host/_5638_/Q (sky130_fd_sc_hd__dfrtp_1)
+     5    0.02                           mprj/u_wb_host/u_lbist.u_lbist_core.scan_pat_cnt[1] (net)
+                  0.10    0.00    6.92 v mprj/u_wb_host/_2743_/A (sky130_fd_sc_hd__or4_2)
+                  0.14    0.77    7.69 v mprj/u_wb_host/_2743_/X (sky130_fd_sc_hd__or4_2)
+     4    0.01                           mprj/u_wb_host/_1160_ (net)
+                  0.14    0.00    7.69 v mprj/u_wb_host/_2745_/D (sky130_fd_sc_hd__or4_2)
+                  0.15    0.67    8.36 v mprj/u_wb_host/_2745_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_wb_host/_1162_ (net)
+                  0.15    0.00    8.36 v mprj/u_wb_host/_2747_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    8.91 v mprj/u_wb_host/_2747_/X (sky130_fd_sc_hd__or4_1)
+     4    0.02                           mprj/u_wb_host/_1164_ (net)
+                  0.15    0.00    8.91 v mprj/u_wb_host/_2749_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    9.44 v mprj/u_wb_host/_2749_/X (sky130_fd_sc_hd__or4_1)
+     4    0.01                           mprj/u_wb_host/_1166_ (net)
+                  0.15    0.00    9.44 v mprj/u_wb_host/_2751_/D (sky130_fd_sc_hd__or4_2)
+                  0.16    0.70   10.14 v mprj/u_wb_host/_2751_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_wb_host/_1168_ (net)
+                  0.16    0.00   10.14 v mprj/u_wb_host/_2764_/C (sky130_fd_sc_hd__or4_2)
+                  0.17    0.78   10.92 v mprj/u_wb_host/_2764_/X (sky130_fd_sc_hd__or4_2)
+     7    0.02                           mprj/u_wb_host/_1181_ (net)
+                  0.17    0.00   10.92 v mprj/u_wb_host/_3631_/A1_N (sky130_fd_sc_hd__a2bb2o_1)
+                  0.04    0.30   11.22 ^ mprj/u_wb_host/_3631_/X (sky130_fd_sc_hd__a2bb2o_1)
+     1    0.00                           mprj/u_wb_host/_0195_ (net)
+                  0.04    0.00   11.22 ^ mprj/u_wb_host/_5657_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 11.22   data arrival time
+
+                         10.00   10.00   clock lbist_clk (rise edge)
+                          0.00   10.00   clock source latency
+                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.11   10.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   10.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00   10.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   10.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00   10.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17   10.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00   10.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   11.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00   11.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   11.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00   11.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00   11.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   11.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00   11.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   11.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00   11.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00   11.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   12.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00   12.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00   12.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00   12.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   12.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00   12.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00   12.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00   12.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00   13.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   13.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00   13.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14   13.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00   13.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00   13.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   13.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00   13.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10   13.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00   13.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14   13.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00   13.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   14.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00   14.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   14.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00   14.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13   14.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00   14.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13   14.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00   14.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25   15.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00   15.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   15.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00   15.32 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   15.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00   15.43 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12   15.55 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00   15.55 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   15.69 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
+                  0.06    0.00   15.69 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   15.81 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
+                  0.04    0.00   15.81 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.18   15.99 ^ mprj/u_wb_host/clkbuf_4_10_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_10_0_lbist_clk_int (net)
+                  0.12    0.00   15.99 ^ mprj/u_wb_host/_5657_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   15.74   clock uncertainty
+                          0.41   16.15   clock reconvergence pessimism
+                         -0.05   16.11   library setup time
+                                 16.11   data required time
+-----------------------------------------------------------------------------
+                                 16.11   data required time
+                                -11.22   data arrival time
+-----------------------------------------------------------------------------
+                                  4.89   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5659_
+            (rising edge-triggered flip-flop clocked by lbist_clk)
+Endpoint: mprj/u_wb_host/_5656_
+          (rising edge-triggered flip-flop clocked by lbist_clk)
+Path Group: lbist_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    6.13 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
+                  0.05    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.25 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_7_0_lbist_clk_int (net)
+                  0.04    0.00    6.25 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.20    6.45 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_14_0_lbist_clk_int (net)
+                  0.13    0.00    6.45 ^ mprj/u_wb_host/_5659_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.07    0.44    6.89 v mprj/u_wb_host/_5659_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_wb_host/u_lbist.u_lbist_core.clk_cnt[0] (net)
+                  0.07    0.00    6.89 v mprj/u_wb_host/fanout687/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.09    0.17    7.07 v mprj/u_wb_host/fanout687/X (sky130_fd_sc_hd__clkbuf_2)
+     6    0.02                           mprj/u_wb_host/net687 (net)
+                  0.09    0.00    7.07 v mprj/u_wb_host/_2754_/B (sky130_fd_sc_hd__or4_1)
+                  0.14    0.61    7.68 v mprj/u_wb_host/_2754_/X (sky130_fd_sc_hd__or4_1)
+     4    0.01                           mprj/u_wb_host/_1171_ (net)
+                  0.14    0.00    7.68 v mprj/u_wb_host/_2756_/D (sky130_fd_sc_hd__or4_1)
+                  0.13    0.51    8.19 v mprj/u_wb_host/_2756_/X (sky130_fd_sc_hd__or4_1)
+     4    0.01                           mprj/u_wb_host/_1173_ (net)
+                  0.13    0.00    8.19 v mprj/u_wb_host/_2758_/D (sky130_fd_sc_hd__or4_1)
+                  0.14    0.52    8.71 v mprj/u_wb_host/_2758_/X (sky130_fd_sc_hd__or4_1)
+     4    0.01                           mprj/u_wb_host/_1175_ (net)
+                  0.14    0.00    8.71 v mprj/u_wb_host/_2760_/D (sky130_fd_sc_hd__or4_2)
+                  0.16    0.69    9.39 v mprj/u_wb_host/_2760_/X (sky130_fd_sc_hd__or4_2)
+     6    0.02                           mprj/u_wb_host/_1177_ (net)
+                  0.16    0.00    9.39 v mprj/u_wb_host/_2762_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    9.94 v mprj/u_wb_host/_2762_/X (sky130_fd_sc_hd__or4_1)
+     2    0.01                           mprj/u_wb_host/_1179_ (net)
+                  0.15    0.00    9.94 v mprj/u_wb_host/fanout450/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.09    0.25   10.19 v mprj/u_wb_host/fanout450/X (sky130_fd_sc_hd__clkbuf_4)
+    10    0.04                           mprj/u_wb_host/net450 (net)
+                  0.09    0.00   10.19 v mprj/u_wb_host/_2763_/B (sky130_fd_sc_hd__nor2_2)
+                  0.28    0.26   10.45 ^ mprj/u_wb_host/_2763_/Y (sky130_fd_sc_hd__nor2_2)
+     6    0.02                           mprj/u_wb_host/_1180_ (net)
+                  0.28    0.00   10.45 ^ mprj/u_wb_host/_2778_/B (sky130_fd_sc_hd__and2_1)
+                  0.08    0.20   10.65 ^ mprj/u_wb_host/_2778_/X (sky130_fd_sc_hd__and2_1)
+     2    0.01                           mprj/u_wb_host/_1188_ (net)
+                  0.08    0.00   10.65 ^ mprj/u_wb_host/_3630_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.13   10.78 ^ mprj/u_wb_host/_3630_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0194_ (net)
+                  0.04    0.00   10.78 ^ mprj/u_wb_host/_5656_/D (sky130_fd_sc_hd__dfrtp_4)
+                                 10.78   data arrival time
+
+                         10.00   10.00   clock lbist_clk (rise edge)
+                          0.00   10.00   clock source latency
+                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.11   10.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   10.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00   10.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   10.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00   10.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17   10.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00   10.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   11.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00   11.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   11.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00   11.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00   11.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   11.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00   11.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   11.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00   11.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00   11.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   12.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00   12.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00   12.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00   12.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   12.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00   12.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00   12.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00   12.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00   13.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   13.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00   13.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14   13.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00   13.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00   13.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   13.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00   13.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10   13.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00   13.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14   13.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00   13.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   14.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00   14.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   14.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00   14.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13   14.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00   14.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13   14.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00   14.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25   15.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00   15.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   15.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00   15.32 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   15.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00   15.43 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12   15.55 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00   15.55 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   15.69 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
+                  0.06    0.00   15.69 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   15.81 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
+                  0.04    0.00   15.81 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.15   15.96 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.04                           mprj/u_wb_host/clknet_4_11_0_lbist_clk_int (net)
+                  0.09    0.00   15.96 ^ mprj/u_wb_host/_5656_/CLK (sky130_fd_sc_hd__dfrtp_4)
+                         -0.25   15.71   clock uncertainty
+                          0.43   16.14   clock reconvergence pessimism
+                         -0.06   16.09   library setup time
+                                 16.09   data required time
+-----------------------------------------------------------------------------
+                                 16.09   data required time
+                                -10.78   data arrival time
+-----------------------------------------------------------------------------
+                                  5.31   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5659_
+            (rising edge-triggered flip-flop clocked by lbist_clk)
+Endpoint: mprj/u_wb_host/_6522_
+          (rising edge-triggered flip-flop clocked by lbist_clk)
+Path Group: lbist_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    6.13 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
+                  0.05    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.25 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_7_0_lbist_clk_int (net)
+                  0.04    0.00    6.25 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.20    6.45 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_14_0_lbist_clk_int (net)
+                  0.13    0.00    6.45 ^ mprj/u_wb_host/_5659_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.07    0.44    6.89 v mprj/u_wb_host/_5659_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_wb_host/u_lbist.u_lbist_core.clk_cnt[0] (net)
+                  0.07    0.00    6.89 v mprj/u_wb_host/fanout687/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.09    0.17    7.07 v mprj/u_wb_host/fanout687/X (sky130_fd_sc_hd__clkbuf_2)
+     6    0.02                           mprj/u_wb_host/net687 (net)
+                  0.09    0.00    7.07 v mprj/u_wb_host/_2754_/B (sky130_fd_sc_hd__or4_1)
+                  0.14    0.61    7.68 v mprj/u_wb_host/_2754_/X (sky130_fd_sc_hd__or4_1)
+     4    0.01                           mprj/u_wb_host/_1171_ (net)
+                  0.14    0.00    7.68 v mprj/u_wb_host/_2756_/D (sky130_fd_sc_hd__or4_1)
+                  0.13    0.51    8.19 v mprj/u_wb_host/_2756_/X (sky130_fd_sc_hd__or4_1)
+     4    0.01                           mprj/u_wb_host/_1173_ (net)
+                  0.13    0.00    8.19 v mprj/u_wb_host/_2758_/D (sky130_fd_sc_hd__or4_1)
+                  0.14    0.52    8.71 v mprj/u_wb_host/_2758_/X (sky130_fd_sc_hd__or4_1)
+     4    0.01                           mprj/u_wb_host/_1175_ (net)
+                  0.14    0.00    8.71 v mprj/u_wb_host/_2760_/D (sky130_fd_sc_hd__or4_2)
+                  0.16    0.69    9.39 v mprj/u_wb_host/_2760_/X (sky130_fd_sc_hd__or4_2)
+     6    0.02                           mprj/u_wb_host/_1177_ (net)
+                  0.16    0.00    9.39 v mprj/u_wb_host/_2762_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    9.94 v mprj/u_wb_host/_2762_/X (sky130_fd_sc_hd__or4_1)
+     2    0.01                           mprj/u_wb_host/_1179_ (net)
+                  0.15    0.00    9.94 v mprj/u_wb_host/fanout450/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.09    0.25   10.19 v mprj/u_wb_host/fanout450/X (sky130_fd_sc_hd__clkbuf_4)
+    10    0.04                           mprj/u_wb_host/net450 (net)
+                  0.09    0.00   10.19 v mprj/u_wb_host/_2769_/S (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.34   10.53 v mprj/u_wb_host/_2769_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_1184_ (net)
+                  0.06    0.00   10.53 v mprj/u_wb_host/_2770_/A2 (sky130_fd_sc_hd__o21a_1)
+                  0.03    0.17   10.70 v mprj/u_wb_host/_2770_/X (sky130_fd_sc_hd__o21a_1)
+     1    0.00                           mprj/u_wb_host/_0005_ (net)
+                  0.03    0.00   10.70 v mprj/u_wb_host/_6522_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 10.70   data arrival time
+
+                         10.00   10.00   clock lbist_clk (rise edge)
+                          0.00   10.00   clock source latency
+                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.11   10.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   10.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00   10.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   10.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00   10.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17   10.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00   10.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   11.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00   11.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   11.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00   11.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00   11.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   11.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00   11.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   11.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00   11.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00   11.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   12.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00   12.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00   12.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00   12.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   12.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00   12.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00   12.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00   12.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00   13.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   13.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00   13.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14   13.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00   13.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00   13.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   13.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00   13.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10   13.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00   13.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14   13.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00   13.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   14.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00   14.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   14.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00   14.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13   14.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00   14.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13   14.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00   14.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25   15.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00   15.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   15.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00   15.32 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   15.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00   15.43 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12   15.55 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00   15.55 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   15.69 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
+                  0.06    0.00   15.69 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   15.81 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
+                  0.04    0.00   15.81 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.15   15.96 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.04                           mprj/u_wb_host/clknet_4_11_0_lbist_clk_int (net)
+                  0.09    0.00   15.96 ^ mprj/u_wb_host/_6522_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   15.71   clock uncertainty
+                          0.43   16.14   clock reconvergence pessimism
+                         -0.10   16.04   library setup time
+                                 16.04   data required time
+-----------------------------------------------------------------------------
+                                 16.04   data required time
+                                -10.70   data arrival time
+-----------------------------------------------------------------------------
+                                  5.35   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5659_
+            (rising edge-triggered flip-flop clocked by lbist_clk)
+Endpoint: mprj/u_wb_host/_6526_
+          (rising edge-triggered flip-flop clocked by lbist_clk)
+Path Group: lbist_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    6.13 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
+                  0.05    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.25 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_7_0_lbist_clk_int (net)
+                  0.04    0.00    6.25 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.20    6.45 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_14_0_lbist_clk_int (net)
+                  0.13    0.00    6.45 ^ mprj/u_wb_host/_5659_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.07    0.44    6.89 v mprj/u_wb_host/_5659_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_wb_host/u_lbist.u_lbist_core.clk_cnt[0] (net)
+                  0.07    0.00    6.89 v mprj/u_wb_host/fanout687/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.09    0.17    7.07 v mprj/u_wb_host/fanout687/X (sky130_fd_sc_hd__clkbuf_2)
+     6    0.02                           mprj/u_wb_host/net687 (net)
+                  0.09    0.00    7.07 v mprj/u_wb_host/_2754_/B (sky130_fd_sc_hd__or4_1)
+                  0.14    0.61    7.68 v mprj/u_wb_host/_2754_/X (sky130_fd_sc_hd__or4_1)
+     4    0.01                           mprj/u_wb_host/_1171_ (net)
+                  0.14    0.00    7.68 v mprj/u_wb_host/_2756_/D (sky130_fd_sc_hd__or4_1)
+                  0.13    0.51    8.19 v mprj/u_wb_host/_2756_/X (sky130_fd_sc_hd__or4_1)
+     4    0.01                           mprj/u_wb_host/_1173_ (net)
+                  0.13    0.00    8.19 v mprj/u_wb_host/_2758_/D (sky130_fd_sc_hd__or4_1)
+                  0.14    0.52    8.71 v mprj/u_wb_host/_2758_/X (sky130_fd_sc_hd__or4_1)
+     4    0.01                           mprj/u_wb_host/_1175_ (net)
+                  0.14    0.00    8.71 v mprj/u_wb_host/_2760_/D (sky130_fd_sc_hd__or4_2)
+                  0.16    0.69    9.39 v mprj/u_wb_host/_2760_/X (sky130_fd_sc_hd__or4_2)
+     6    0.02                           mprj/u_wb_host/_1177_ (net)
+                  0.16    0.00    9.39 v mprj/u_wb_host/_2762_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    9.94 v mprj/u_wb_host/_2762_/X (sky130_fd_sc_hd__or4_1)
+     2    0.01                           mprj/u_wb_host/_1179_ (net)
+                  0.15    0.00    9.94 v mprj/u_wb_host/fanout450/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.09    0.25   10.19 v mprj/u_wb_host/fanout450/X (sky130_fd_sc_hd__clkbuf_4)
+    10    0.04                           mprj/u_wb_host/net450 (net)
+                  0.09    0.00   10.19 v mprj/u_wb_host/_2763_/B (sky130_fd_sc_hd__nor2_2)
+                  0.28    0.26   10.45 ^ mprj/u_wb_host/_2763_/Y (sky130_fd_sc_hd__nor2_2)
+     6    0.02                           mprj/u_wb_host/_1180_ (net)
+                  0.28    0.00   10.45 ^ mprj/u_wb_host/_2778_/B (sky130_fd_sc_hd__and2_1)
+                  0.08    0.20   10.65 ^ mprj/u_wb_host/_2778_/X (sky130_fd_sc_hd__and2_1)
+     2    0.01                           mprj/u_wb_host/_1188_ (net)
+                  0.08    0.00   10.65 ^ mprj/u_wb_host/_2779_/B1 (sky130_fd_sc_hd__a21o_1)
+                  0.04    0.09   10.74 ^ mprj/u_wb_host/_2779_/X (sky130_fd_sc_hd__a21o_1)
+     1    0.00                           mprj/u_wb_host/_0009_ (net)
+                  0.04    0.00   10.74 ^ mprj/u_wb_host/_6526_/D (sky130_fd_sc_hd__dfrtp_4)
+                                 10.74   data arrival time
+
+                         10.00   10.00   clock lbist_clk (rise edge)
+                          0.00   10.00   clock source latency
+                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.11   10.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   10.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00   10.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   10.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00   10.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17   10.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00   10.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   11.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00   11.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   11.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00   11.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00   11.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   11.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00   11.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   11.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00   11.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00   11.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   12.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00   12.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00   12.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00   12.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   12.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00   12.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00   12.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00   12.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00   13.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   13.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00   13.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14   13.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00   13.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00   13.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   13.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00   13.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10   13.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00   13.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14   13.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00   13.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   14.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00   14.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   14.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00   14.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13   14.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00   14.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13   14.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00   14.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25   15.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00   15.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   15.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00   15.32 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   15.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00   15.43 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12   15.55 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00   15.55 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   15.69 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
+                  0.06    0.00   15.69 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   15.81 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
+                  0.04    0.00   15.81 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.15   15.96 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.04                           mprj/u_wb_host/clknet_4_11_0_lbist_clk_int (net)
+                  0.09    0.00   15.96 ^ mprj/u_wb_host/_6526_/CLK (sky130_fd_sc_hd__dfrtp_4)
+                         -0.25   15.71   clock uncertainty
+                          0.43   16.14   clock reconvergence pessimism
+                         -0.06   16.09   library setup time
+                                 16.09   data required time
+-----------------------------------------------------------------------------
+                                 16.09   data required time
+                                -10.74   data arrival time
+-----------------------------------------------------------------------------
+                                  5.35   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5659_
+            (rising edge-triggered flip-flop clocked by lbist_clk)
+Endpoint: mprj/u_wb_host/_6525_
+          (rising edge-triggered flip-flop clocked by lbist_clk)
+Path Group: lbist_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    6.13 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
+                  0.05    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.25 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_7_0_lbist_clk_int (net)
+                  0.04    0.00    6.25 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.20    6.45 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_14_0_lbist_clk_int (net)
+                  0.13    0.00    6.45 ^ mprj/u_wb_host/_5659_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.07    0.44    6.89 v mprj/u_wb_host/_5659_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_wb_host/u_lbist.u_lbist_core.clk_cnt[0] (net)
+                  0.07    0.00    6.89 v mprj/u_wb_host/fanout687/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.09    0.17    7.07 v mprj/u_wb_host/fanout687/X (sky130_fd_sc_hd__clkbuf_2)
+     6    0.02                           mprj/u_wb_host/net687 (net)
+                  0.09    0.00    7.07 v mprj/u_wb_host/_2754_/B (sky130_fd_sc_hd__or4_1)
+                  0.14    0.61    7.68 v mprj/u_wb_host/_2754_/X (sky130_fd_sc_hd__or4_1)
+     4    0.01                           mprj/u_wb_host/_1171_ (net)
+                  0.14    0.00    7.68 v mprj/u_wb_host/_2756_/D (sky130_fd_sc_hd__or4_1)
+                  0.13    0.51    8.19 v mprj/u_wb_host/_2756_/X (sky130_fd_sc_hd__or4_1)
+     4    0.01                           mprj/u_wb_host/_1173_ (net)
+                  0.13    0.00    8.19 v mprj/u_wb_host/_2758_/D (sky130_fd_sc_hd__or4_1)
+                  0.14    0.52    8.71 v mprj/u_wb_host/_2758_/X (sky130_fd_sc_hd__or4_1)
+     4    0.01                           mprj/u_wb_host/_1175_ (net)
+                  0.14    0.00    8.71 v mprj/u_wb_host/_2760_/D (sky130_fd_sc_hd__or4_2)
+                  0.16    0.69    9.39 v mprj/u_wb_host/_2760_/X (sky130_fd_sc_hd__or4_2)
+     6    0.02                           mprj/u_wb_host/_1177_ (net)
+                  0.16    0.00    9.39 v mprj/u_wb_host/_2762_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    9.94 v mprj/u_wb_host/_2762_/X (sky130_fd_sc_hd__or4_1)
+     2    0.01                           mprj/u_wb_host/_1179_ (net)
+                  0.15    0.00    9.94 v mprj/u_wb_host/fanout450/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.09    0.25   10.19 v mprj/u_wb_host/fanout450/X (sky130_fd_sc_hd__clkbuf_4)
+    10    0.04                           mprj/u_wb_host/net450 (net)
+                  0.09    0.00   10.19 v mprj/u_wb_host/_2763_/B (sky130_fd_sc_hd__nor2_2)
+                  0.28    0.26   10.45 ^ mprj/u_wb_host/_2763_/Y (sky130_fd_sc_hd__nor2_2)
+     6    0.02                           mprj/u_wb_host/_1180_ (net)
+                  0.28    0.00   10.45 ^ mprj/u_wb_host/_2781_/A3 (sky130_fd_sc_hd__a32o_1)
+                  0.05    0.21   10.66 ^ mprj/u_wb_host/_2781_/X (sky130_fd_sc_hd__a32o_1)
+     1    0.00                           mprj/u_wb_host/_0008_ (net)
+                  0.05    0.00   10.66 ^ mprj/u_wb_host/_6525_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 10.66   data arrival time
+
+                         10.00   10.00   clock lbist_clk (rise edge)
+                          0.00   10.00   clock source latency
+                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.11   10.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   10.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00   10.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   10.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00   10.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17   10.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00   10.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   11.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00   11.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   11.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00   11.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00   11.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   11.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00   11.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   11.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00   11.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00   11.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   12.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00   12.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00   12.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00   12.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   12.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00   12.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00   12.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00   12.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00   13.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   13.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00   13.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14   13.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00   13.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00   13.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   13.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00   13.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10   13.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00   13.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14   13.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00   13.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   14.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00   14.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   14.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00   14.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13   14.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00   14.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13   14.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00   14.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25   15.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00   15.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   15.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00   15.32 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   15.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00   15.43 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12   15.55 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00   15.55 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   15.69 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
+                  0.06    0.00   15.69 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   15.81 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
+                  0.04    0.00   15.81 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.15   15.96 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.04                           mprj/u_wb_host/clknet_4_11_0_lbist_clk_int (net)
+                  0.09    0.00   15.96 ^ mprj/u_wb_host/_6525_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   15.71   clock uncertainty
+                          0.43   16.14   clock reconvergence pessimism
+                         -0.05   16.09   library setup time
+                                 16.09   data required time
+-----------------------------------------------------------------------------
+                                 16.09   data required time
+                                -10.66   data arrival time
+-----------------------------------------------------------------------------
+                                  5.43   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5659_
+            (rising edge-triggered flip-flop clocked by lbist_clk)
+Endpoint: mprj/u_wb_host/_6523_
+          (rising edge-triggered flip-flop clocked by lbist_clk)
+Path Group: lbist_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    6.13 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
+                  0.05    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.25 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_7_0_lbist_clk_int (net)
+                  0.04    0.00    6.25 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.20    6.45 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_14_0_lbist_clk_int (net)
+                  0.13    0.00    6.45 ^ mprj/u_wb_host/_5659_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.07    0.44    6.89 v mprj/u_wb_host/_5659_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_wb_host/u_lbist.u_lbist_core.clk_cnt[0] (net)
+                  0.07    0.00    6.89 v mprj/u_wb_host/fanout687/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.09    0.17    7.07 v mprj/u_wb_host/fanout687/X (sky130_fd_sc_hd__clkbuf_2)
+     6    0.02                           mprj/u_wb_host/net687 (net)
+                  0.09    0.00    7.07 v mprj/u_wb_host/_2754_/B (sky130_fd_sc_hd__or4_1)
+                  0.14    0.61    7.68 v mprj/u_wb_host/_2754_/X (sky130_fd_sc_hd__or4_1)
+     4    0.01                           mprj/u_wb_host/_1171_ (net)
+                  0.14    0.00    7.68 v mprj/u_wb_host/_2756_/D (sky130_fd_sc_hd__or4_1)
+                  0.13    0.51    8.19 v mprj/u_wb_host/_2756_/X (sky130_fd_sc_hd__or4_1)
+     4    0.01                           mprj/u_wb_host/_1173_ (net)
+                  0.13    0.00    8.19 v mprj/u_wb_host/_2758_/D (sky130_fd_sc_hd__or4_1)
+                  0.14    0.52    8.71 v mprj/u_wb_host/_2758_/X (sky130_fd_sc_hd__or4_1)
+     4    0.01                           mprj/u_wb_host/_1175_ (net)
+                  0.14    0.00    8.71 v mprj/u_wb_host/_2760_/D (sky130_fd_sc_hd__or4_2)
+                  0.16    0.69    9.39 v mprj/u_wb_host/_2760_/X (sky130_fd_sc_hd__or4_2)
+     6    0.02                           mprj/u_wb_host/_1177_ (net)
+                  0.16    0.00    9.39 v mprj/u_wb_host/_2762_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    9.94 v mprj/u_wb_host/_2762_/X (sky130_fd_sc_hd__or4_1)
+     2    0.01                           mprj/u_wb_host/_1179_ (net)
+                  0.15    0.00    9.94 v mprj/u_wb_host/fanout450/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.09    0.25   10.19 v mprj/u_wb_host/fanout450/X (sky130_fd_sc_hd__clkbuf_4)
+    10    0.04                           mprj/u_wb_host/net450 (net)
+                  0.09    0.00   10.19 v mprj/u_wb_host/_2763_/B (sky130_fd_sc_hd__nor2_2)
+                  0.28    0.26   10.45 ^ mprj/u_wb_host/_2763_/Y (sky130_fd_sc_hd__nor2_2)
+     6    0.02                           mprj/u_wb_host/_1180_ (net)
+                  0.28    0.00   10.45 ^ mprj/u_wb_host/_2768_/A2 (sky130_fd_sc_hd__a22o_1)
+                  0.04    0.19   10.64 ^ mprj/u_wb_host/_2768_/X (sky130_fd_sc_hd__a22o_1)
+     1    0.00                           mprj/u_wb_host/_0006_ (net)
+                  0.04    0.00   10.64 ^ mprj/u_wb_host/_6523_/D (sky130_fd_sc_hd__dfrtp_4)
+                                 10.64   data arrival time
+
+                         10.00   10.00   clock lbist_clk (rise edge)
+                          0.00   10.00   clock source latency
+                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.11   10.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   10.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00   10.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   10.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00   10.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17   10.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00   10.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   11.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00   11.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   11.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00   11.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00   11.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   11.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00   11.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   11.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00   11.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00   11.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   12.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00   12.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00   12.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00   12.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   12.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00   12.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00   12.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00   12.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00   13.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   13.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00   13.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14   13.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00   13.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00   13.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   13.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00   13.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10   13.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00   13.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14   13.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00   13.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   14.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00   14.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   14.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00   14.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13   14.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00   14.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13   14.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00   14.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25   15.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00   15.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   15.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00   15.32 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   15.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00   15.43 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12   15.55 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00   15.55 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   15.69 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
+                  0.06    0.00   15.69 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   15.81 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
+                  0.04    0.00   15.81 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.15   15.96 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.04                           mprj/u_wb_host/clknet_4_11_0_lbist_clk_int (net)
+                  0.09    0.00   15.96 ^ mprj/u_wb_host/_6523_/CLK (sky130_fd_sc_hd__dfrtp_4)
+                         -0.25   15.71   clock uncertainty
+                          0.43   16.14   clock reconvergence pessimism
+                         -0.06   16.09   library setup time
+                                 16.09   data required time
+-----------------------------------------------------------------------------
+                                 16.09   data required time
+                                -10.64   data arrival time
+-----------------------------------------------------------------------------
+                                  5.44   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5659_
+            (rising edge-triggered flip-flop clocked by lbist_clk)
+Endpoint: mprj/u_wb_host/_6521_
+          (rising edge-triggered flip-flop clocked by lbist_clk)
+Path Group: lbist_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    6.13 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
+                  0.05    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.25 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_7_0_lbist_clk_int (net)
+                  0.04    0.00    6.25 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.20    6.45 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_14_0_lbist_clk_int (net)
+                  0.13    0.00    6.45 ^ mprj/u_wb_host/_5659_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.07    0.44    6.89 v mprj/u_wb_host/_5659_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_wb_host/u_lbist.u_lbist_core.clk_cnt[0] (net)
+                  0.07    0.00    6.89 v mprj/u_wb_host/fanout687/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.09    0.17    7.07 v mprj/u_wb_host/fanout687/X (sky130_fd_sc_hd__clkbuf_2)
+     6    0.02                           mprj/u_wb_host/net687 (net)
+                  0.09    0.00    7.07 v mprj/u_wb_host/_2754_/B (sky130_fd_sc_hd__or4_1)
+                  0.14    0.61    7.68 v mprj/u_wb_host/_2754_/X (sky130_fd_sc_hd__or4_1)
+     4    0.01                           mprj/u_wb_host/_1171_ (net)
+                  0.14    0.00    7.68 v mprj/u_wb_host/_2756_/D (sky130_fd_sc_hd__or4_1)
+                  0.13    0.51    8.19 v mprj/u_wb_host/_2756_/X (sky130_fd_sc_hd__or4_1)
+     4    0.01                           mprj/u_wb_host/_1173_ (net)
+                  0.13    0.00    8.19 v mprj/u_wb_host/_2758_/D (sky130_fd_sc_hd__or4_1)
+                  0.14    0.52    8.71 v mprj/u_wb_host/_2758_/X (sky130_fd_sc_hd__or4_1)
+     4    0.01                           mprj/u_wb_host/_1175_ (net)
+                  0.14    0.00    8.71 v mprj/u_wb_host/_2760_/D (sky130_fd_sc_hd__or4_2)
+                  0.16    0.69    9.39 v mprj/u_wb_host/_2760_/X (sky130_fd_sc_hd__or4_2)
+     6    0.02                           mprj/u_wb_host/_1177_ (net)
+                  0.16    0.00    9.39 v mprj/u_wb_host/_2762_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    9.94 v mprj/u_wb_host/_2762_/X (sky130_fd_sc_hd__or4_1)
+     2    0.01                           mprj/u_wb_host/_1179_ (net)
+                  0.15    0.00    9.94 v mprj/u_wb_host/fanout450/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.09    0.25   10.19 v mprj/u_wb_host/fanout450/X (sky130_fd_sc_hd__clkbuf_4)
+    10    0.04                           mprj/u_wb_host/net450 (net)
+                  0.09    0.00   10.19 v mprj/u_wb_host/_2763_/B (sky130_fd_sc_hd__nor2_2)
+                  0.28    0.26   10.45 ^ mprj/u_wb_host/_2763_/Y (sky130_fd_sc_hd__nor2_2)
+     6    0.02                           mprj/u_wb_host/_1180_ (net)
+                  0.28    0.00   10.45 ^ mprj/u_wb_host/_2771_/A2 (sky130_fd_sc_hd__a22o_1)
+                  0.04    0.19   10.64 ^ mprj/u_wb_host/_2771_/X (sky130_fd_sc_hd__a22o_1)
+     1    0.00                           mprj/u_wb_host/_0004_ (net)
+                  0.04    0.00   10.64 ^ mprj/u_wb_host/_6521_/D (sky130_fd_sc_hd__dfrtp_4)
+                                 10.64   data arrival time
+
+                         10.00   10.00   clock lbist_clk (rise edge)
+                          0.00   10.00   clock source latency
+                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.11   10.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   10.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00   10.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   10.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00   10.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17   10.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00   10.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   11.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00   11.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   11.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00   11.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00   11.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   11.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00   11.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   11.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00   11.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00   11.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   12.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00   12.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00   12.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00   12.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   12.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00   12.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00   12.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00   12.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00   13.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   13.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00   13.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14   13.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00   13.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00   13.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   13.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00   13.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10   13.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00   13.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14   13.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00   13.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   14.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00   14.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   14.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00   14.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13   14.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00   14.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13   14.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00   14.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25   15.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00   15.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   15.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00   15.32 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   15.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00   15.43 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12   15.55 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00   15.55 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   15.69 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
+                  0.06    0.00   15.69 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   15.81 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
+                  0.04    0.00   15.81 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.15   15.96 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.04                           mprj/u_wb_host/clknet_4_11_0_lbist_clk_int (net)
+                  0.09    0.00   15.96 ^ mprj/u_wb_host/_6521_/CLK (sky130_fd_sc_hd__dfrtp_4)
+                         -0.25   15.71   clock uncertainty
+                          0.43   16.14   clock reconvergence pessimism
+                         -0.06   16.09   library setup time
+                                 16.09   data required time
+-----------------------------------------------------------------------------
+                                 16.09   data required time
+                                -10.64   data arrival time
+-----------------------------------------------------------------------------
+                                  5.44   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5659_
+            (rising edge-triggered flip-flop clocked by lbist_clk)
+Endpoint: mprj/u_wb_host/_6527_
+          (rising edge-triggered flip-flop clocked by lbist_clk)
+Path Group: lbist_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    6.13 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
+                  0.05    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.25 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_7_0_lbist_clk_int (net)
+                  0.04    0.00    6.25 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.20    6.45 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_14_0_lbist_clk_int (net)
+                  0.13    0.00    6.45 ^ mprj/u_wb_host/_5659_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.07    0.44    6.89 v mprj/u_wb_host/_5659_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_wb_host/u_lbist.u_lbist_core.clk_cnt[0] (net)
+                  0.07    0.00    6.89 v mprj/u_wb_host/fanout687/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.09    0.17    7.07 v mprj/u_wb_host/fanout687/X (sky130_fd_sc_hd__clkbuf_2)
+     6    0.02                           mprj/u_wb_host/net687 (net)
+                  0.09    0.00    7.07 v mprj/u_wb_host/_2754_/B (sky130_fd_sc_hd__or4_1)
+                  0.14    0.61    7.68 v mprj/u_wb_host/_2754_/X (sky130_fd_sc_hd__or4_1)
+     4    0.01                           mprj/u_wb_host/_1171_ (net)
+                  0.14    0.00    7.68 v mprj/u_wb_host/_2756_/D (sky130_fd_sc_hd__or4_1)
+                  0.13    0.51    8.19 v mprj/u_wb_host/_2756_/X (sky130_fd_sc_hd__or4_1)
+     4    0.01                           mprj/u_wb_host/_1173_ (net)
+                  0.13    0.00    8.19 v mprj/u_wb_host/_2758_/D (sky130_fd_sc_hd__or4_1)
+                  0.14    0.52    8.71 v mprj/u_wb_host/_2758_/X (sky130_fd_sc_hd__or4_1)
+     4    0.01                           mprj/u_wb_host/_1175_ (net)
+                  0.14    0.00    8.71 v mprj/u_wb_host/_2760_/D (sky130_fd_sc_hd__or4_2)
+                  0.16    0.69    9.39 v mprj/u_wb_host/_2760_/X (sky130_fd_sc_hd__or4_2)
+     6    0.02                           mprj/u_wb_host/_1177_ (net)
+                  0.16    0.00    9.39 v mprj/u_wb_host/_2762_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    9.94 v mprj/u_wb_host/_2762_/X (sky130_fd_sc_hd__or4_1)
+     2    0.01                           mprj/u_wb_host/_1179_ (net)
+                  0.15    0.00    9.94 v mprj/u_wb_host/fanout450/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.09    0.25   10.19 v mprj/u_wb_host/fanout450/X (sky130_fd_sc_hd__clkbuf_4)
+    10    0.04                           mprj/u_wb_host/net450 (net)
+                  0.09    0.00   10.19 v mprj/u_wb_host/_2763_/B (sky130_fd_sc_hd__nor2_2)
+                  0.28    0.26   10.45 ^ mprj/u_wb_host/_2763_/Y (sky130_fd_sc_hd__nor2_2)
+     6    0.02                           mprj/u_wb_host/_1180_ (net)
+                  0.28    0.00   10.45 ^ mprj/u_wb_host/_3119_/B (sky130_fd_sc_hd__and2_1)
+                  0.05    0.17   10.62 ^ mprj/u_wb_host/_3119_/X (sky130_fd_sc_hd__and2_1)
+     1    0.00                           mprj/u_wb_host/_0002_ (net)
+                  0.05    0.00   10.62 ^ mprj/u_wb_host/_6527_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 10.62   data arrival time
+
+                         10.00   10.00   clock lbist_clk (rise edge)
+                          0.00   10.00   clock source latency
+                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.11   10.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   10.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00   10.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   10.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00   10.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17   10.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00   10.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   11.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00   11.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   11.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00   11.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00   11.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   11.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00   11.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   11.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00   11.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00   11.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   12.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00   12.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00   12.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00   12.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   12.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00   12.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00   12.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00   12.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00   13.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   13.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00   13.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14   13.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00   13.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00   13.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   13.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00   13.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10   13.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00   13.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14   13.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00   13.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   14.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00   14.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   14.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00   14.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13   14.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00   14.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13   14.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00   14.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25   15.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00   15.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   15.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00   15.32 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   15.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00   15.43 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12   15.55 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00   15.55 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   15.69 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
+                  0.06    0.00   15.69 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   15.81 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
+                  0.04    0.00   15.81 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.15   15.96 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.04                           mprj/u_wb_host/clknet_4_11_0_lbist_clk_int (net)
+                  0.09    0.00   15.96 ^ mprj/u_wb_host/_6527_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   15.71   clock uncertainty
+                          0.43   16.14   clock reconvergence pessimism
+                         -0.05   16.09   library setup time
+                                 16.09   data required time
+-----------------------------------------------------------------------------
+                                 16.09   data required time
+                                -10.62   data arrival time
+-----------------------------------------------------------------------------
+                                  5.46   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5659_
+            (rising edge-triggered flip-flop clocked by lbist_clk)
+Endpoint: mprj/u_wb_host/_5654_
+          (rising edge-triggered flip-flop clocked by lbist_clk)
+Path Group: lbist_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    6.13 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
+                  0.05    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.25 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_7_0_lbist_clk_int (net)
+                  0.04    0.00    6.25 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.20    6.45 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_14_0_lbist_clk_int (net)
+                  0.13    0.00    6.45 ^ mprj/u_wb_host/_5659_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.07    0.44    6.89 v mprj/u_wb_host/_5659_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_wb_host/u_lbist.u_lbist_core.clk_cnt[0] (net)
+                  0.07    0.00    6.89 v mprj/u_wb_host/fanout687/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.09    0.17    7.07 v mprj/u_wb_host/fanout687/X (sky130_fd_sc_hd__clkbuf_2)
+     6    0.02                           mprj/u_wb_host/net687 (net)
+                  0.09    0.00    7.07 v mprj/u_wb_host/_2754_/B (sky130_fd_sc_hd__or4_1)
+                  0.14    0.61    7.68 v mprj/u_wb_host/_2754_/X (sky130_fd_sc_hd__or4_1)
+     4    0.01                           mprj/u_wb_host/_1171_ (net)
+                  0.14    0.00    7.68 v mprj/u_wb_host/_2756_/D (sky130_fd_sc_hd__or4_1)
+                  0.13    0.51    8.19 v mprj/u_wb_host/_2756_/X (sky130_fd_sc_hd__or4_1)
+     4    0.01                           mprj/u_wb_host/_1173_ (net)
+                  0.13    0.00    8.19 v mprj/u_wb_host/_2758_/D (sky130_fd_sc_hd__or4_1)
+                  0.14    0.52    8.71 v mprj/u_wb_host/_2758_/X (sky130_fd_sc_hd__or4_1)
+     4    0.01                           mprj/u_wb_host/_1175_ (net)
+                  0.14    0.00    8.71 v mprj/u_wb_host/_2760_/D (sky130_fd_sc_hd__or4_2)
+                  0.16    0.69    9.39 v mprj/u_wb_host/_2760_/X (sky130_fd_sc_hd__or4_2)
+     6    0.02                           mprj/u_wb_host/_1177_ (net)
+                  0.16    0.00    9.39 v mprj/u_wb_host/_2762_/D (sky130_fd_sc_hd__or4_1)
+                  0.15    0.54    9.94 v mprj/u_wb_host/_2762_/X (sky130_fd_sc_hd__or4_1)
+     2    0.01                           mprj/u_wb_host/_1179_ (net)
+                  0.15    0.00    9.94 v mprj/u_wb_host/fanout450/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.09    0.25   10.19 v mprj/u_wb_host/fanout450/X (sky130_fd_sc_hd__clkbuf_4)
+    10    0.04                           mprj/u_wb_host/net450 (net)
+                  0.09    0.00   10.19 v mprj/u_wb_host/_3621_/A_N (sky130_fd_sc_hd__and2b_1)
+                  0.04    0.21   10.39 ^ mprj/u_wb_host/_3621_/X (sky130_fd_sc_hd__and2b_1)
+     1    0.00                           mprj/u_wb_host/_1730_ (net)
+                  0.04    0.00   10.39 ^ mprj/u_wb_host/_3622_/B (sky130_fd_sc_hd__or2_1)
+                  0.04    0.09   10.48 ^ mprj/u_wb_host/_3622_/X (sky130_fd_sc_hd__or2_1)
+     1    0.00                           mprj/u_wb_host/_1731_ (net)
+                  0.04    0.00   10.48 ^ mprj/u_wb_host/_3623_/B1 (sky130_fd_sc_hd__a221o_1)
+                  0.05    0.13   10.62 ^ mprj/u_wb_host/_3623_/X (sky130_fd_sc_hd__a221o_1)
+     1    0.00                           mprj/u_wb_host/_0192_ (net)
+                  0.05    0.00   10.62 ^ mprj/u_wb_host/_5654_/D (sky130_fd_sc_hd__dfstp_2)
+                                 10.62   data arrival time
+
+                         10.00   10.00   clock lbist_clk (rise edge)
+                          0.00   10.00   clock source latency
+                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.11   10.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   10.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00   10.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   10.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00   10.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17   10.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00   10.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   11.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00   11.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   11.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00   11.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00   11.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   11.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00   11.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   11.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00   11.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00   11.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   12.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00   12.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00   12.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00   12.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   12.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00   12.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00   12.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00   12.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00   13.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   13.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00   13.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14   13.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00   13.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00   13.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   13.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00   13.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10   13.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00   13.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14   13.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00   13.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   14.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00   14.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   14.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00   14.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13   14.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00   14.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13   14.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00   14.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25   15.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00   15.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   15.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00   15.32 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   15.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00   15.43 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12   15.55 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00   15.55 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   15.69 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
+                  0.06    0.00   15.69 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   15.81 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
+                  0.04    0.00   15.81 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.15   15.96 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.04                           mprj/u_wb_host/clknet_4_11_0_lbist_clk_int (net)
+                  0.09    0.00   15.96 ^ mprj/u_wb_host/_5654_/CLK (sky130_fd_sc_hd__dfstp_2)
+                         -0.25   15.71   clock uncertainty
+                          0.43   16.14   clock reconvergence pessimism
+                         -0.05   16.09   library setup time
+                                 16.09   data required time
+-----------------------------------------------------------------------------
+                                 16.09   data required time
+                                -10.62   data arrival time
+-----------------------------------------------------------------------------
+                                  5.48   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_5659_
+            (rising edge-triggered flip-flop clocked by lbist_clk)
+Endpoint: mprj/u_wb_host/_6524_
+          (rising edge-triggered flip-flop clocked by lbist_clk)
+Path Group: lbist_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00    5.98 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    6.13 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
+                  0.05    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.25 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_7_0_lbist_clk_int (net)
+                  0.04    0.00    6.25 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.20    6.45 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_14_0_lbist_clk_int (net)
+                  0.13    0.00    6.45 ^ mprj/u_wb_host/_5659_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.07    0.44    6.89 v mprj/u_wb_host/_5659_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_wb_host/u_lbist.u_lbist_core.clk_cnt[0] (net)
+                  0.07    0.00    6.89 v mprj/u_wb_host/fanout687/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.09    0.17    7.07 v mprj/u_wb_host/fanout687/X (sky130_fd_sc_hd__clkbuf_2)
+     6    0.02                           mprj/u_wb_host/net687 (net)
+                  0.09    0.00    7.07 v mprj/u_wb_host/_2754_/B (sky130_fd_sc_hd__or4_1)
+                  0.14    0.61    7.68 v mprj/u_wb_host/_2754_/X (sky130_fd_sc_hd__or4_1)
+     4    0.01                           mprj/u_wb_host/_1171_ (net)
+                  0.14    0.00    7.68 v mprj/u_wb_host/_2756_/D (sky130_fd_sc_hd__or4_1)
+                  0.13    0.51    8.19 v mprj/u_wb_host/_2756_/X (sky130_fd_sc_hd__or4_1)
+     4    0.01                           mprj/u_wb_host/_1173_ (net)
+                  0.13    0.00    8.19 v mprj/u_wb_host/_2758_/D (sky130_fd_sc_hd__or4_1)
+                  0.14    0.52    8.71 v mprj/u_wb_host/_2758_/X (sky130_fd_sc_hd__or4_1)
+     4    0.01                           mprj/u_wb_host/_1175_ (net)
+                  0.14    0.00    8.71 v mprj/u_wb_host/_2760_/D (sky130_fd_sc_hd__or4_2)
+                  0.16    0.69    9.39 v mprj/u_wb_host/_2760_/X (sky130_fd_sc_hd__or4_2)
+     6    0.02                           mprj/u_wb_host/_1177_ (net)
+                  0.16    0.00    9.39 v mprj/u_wb_host/_2767_/A4 (sky130_fd_sc_hd__o41a_4)
+                  0.11    0.47    9.86 v mprj/u_wb_host/_2767_/X (sky130_fd_sc_hd__o41a_4)
+     9    0.04                           mprj/u_wb_host/_1183_ (net)
+                  0.11    0.00    9.87 v mprj/u_wb_host/_2777_/B1 (sky130_fd_sc_hd__a2bb2o_1)
+                  0.05    0.30   10.17 v mprj/u_wb_host/_2777_/X (sky130_fd_sc_hd__a2bb2o_1)
+     1    0.00                           mprj/u_wb_host/_0007_ (net)
+                  0.05    0.00   10.17 v mprj/u_wb_host/_6524_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 10.17   data arrival time
+
+                         10.00   10.00   clock lbist_clk (rise edge)
+                          0.00   10.00   clock source latency
+                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.11   10.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   10.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00   10.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   10.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00   10.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17   10.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00   10.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   11.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00   11.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   11.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00   11.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00   11.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   11.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00   11.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   11.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00   11.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00   11.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   12.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00   12.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00   12.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00   12.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   12.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00   12.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00   12.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00   12.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00   13.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   13.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00   13.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14   13.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00   13.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00   13.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   13.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00   13.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10   13.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00   13.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14   13.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00   13.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   14.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00   14.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   14.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00   14.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13   14.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00   14.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13   14.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00   14.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25   15.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00   15.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   15.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00   15.32 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   15.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00   15.43 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12   15.55 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00   15.55 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   15.69 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
+                  0.06    0.00   15.69 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   15.81 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
+                  0.04    0.00   15.81 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.15   15.96 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.04                           mprj/u_wb_host/clknet_4_11_0_lbist_clk_int (net)
+                  0.09    0.00   15.96 ^ mprj/u_wb_host/_6524_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   15.71   clock uncertainty
+                          0.43   16.14   clock reconvergence pessimism
+                         -0.11   16.04   library setup time
+                                 16.04   data required time
+-----------------------------------------------------------------------------
+                                 16.04   data required time
+                                -10.17   data arrival time
+-----------------------------------------------------------------------------
+                                  5.87   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6521_
+            (rising edge-triggered flip-flop clocked by lbist_clk)
+Endpoint: mprj/u_wb_host/_5686_
+          (rising edge-triggered flip-flop clocked by lbist_clk)
+Path Group: lbist_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00    5.99 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.16    6.42 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.04                           mprj/u_wb_host/clknet_4_11_0_lbist_clk_int (net)
+                  0.09    0.00    6.43 ^ mprj/u_wb_host/_6521_/CLK (sky130_fd_sc_hd__dfrtp_4)
+                  0.12    0.59    7.02 v mprj/u_wb_host/_6521_/Q (sky130_fd_sc_hd__dfrtp_4)
+     8    0.06                           mprj/u_wb_host/u_lbist.u_lbist_core.next_lbist_done (net)
+                  0.12    0.00    7.02 v mprj/u_wb_host/fanout601/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.13    0.27    7.29 v mprj/u_wb_host/fanout601/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.06                           mprj/u_wb_host/net601 (net)
+                  0.13    0.00    7.29 v mprj/u_wb_host/_3698_/C (sky130_fd_sc_hd__and3_2)
+                  0.08    0.31    7.61 v mprj/u_wb_host/_3698_/X (sky130_fd_sc_hd__and3_2)
+     4    0.02                           mprj/u_wb_host/_1786_ (net)
+                  0.08    0.00    7.61 v mprj/u_wb_host/_3700_/B1 (sky130_fd_sc_hd__o21ai_4)
+                  0.29    0.14    7.75 ^ mprj/u_wb_host/_3700_/Y (sky130_fd_sc_hd__o21ai_4)
+     6    0.04                           mprj/u_wb_host/_1788_ (net)
+                  0.29    0.00    7.75 ^ mprj/u_wb_host/fanout572/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.21    0.35    8.10 ^ mprj/u_wb_host/fanout572/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.07                           mprj/u_wb_host/net572 (net)
+                  0.21    0.00    8.11 ^ mprj/u_wb_host/fanout570/A (sky130_fd_sc_hd__buf_2)
+                  0.19    0.27    8.38 ^ mprj/u_wb_host/fanout570/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_wb_host/net570 (net)
+                  0.19    0.00    8.38 ^ mprj/u_wb_host/_3775_/B1 (sky130_fd_sc_hd__a21oi_1)
+                  0.06    0.07    8.45 v mprj/u_wb_host/_3775_/Y (sky130_fd_sc_hd__a21oi_1)
+     1    0.00                           mprj/u_wb_host/_1853_ (net)
+                  0.06    0.00    8.45 v mprj/u_wb_host/_3776_/B1 (sky130_fd_sc_hd__o21a_1)
+                  0.04    0.12    8.56 v mprj/u_wb_host/_3776_/X (sky130_fd_sc_hd__o21a_1)
+     1    0.00                           mprj/u_wb_host/_1854_ (net)
+                  0.04    0.00    8.56 v mprj/u_wb_host/_3777_/B1 (sky130_fd_sc_hd__a211o_1)
+                  0.05    0.27    8.83 v mprj/u_wb_host/_3777_/X (sky130_fd_sc_hd__a211o_1)
+     1    0.00                           mprj/u_wb_host/_0223_ (net)
+                  0.05    0.00    8.83 v mprj/u_wb_host/_5686_/D (sky130_fd_sc_hd__dfstp_1)
+                                  8.83   data arrival time
+
+                         10.00   10.00   clock lbist_clk (rise edge)
+                          0.00   10.00   clock source latency
+                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.11   10.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   10.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00   10.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   10.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00   10.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17   10.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00   10.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   11.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00   11.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   11.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00   11.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00   11.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   11.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00   11.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   11.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00   11.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00   11.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   12.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00   12.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00   12.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00   12.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   12.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00   12.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00   12.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00   12.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00   13.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   13.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00   13.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14   13.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00   13.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00   13.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   13.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00   13.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10   13.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00   13.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14   13.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00   13.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   14.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00   14.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   14.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00   14.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13   14.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00   14.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13   14.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00   14.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25   15.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00   15.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   15.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00   15.32 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   15.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00   15.43 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   15.55 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00   15.55 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   15.69 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_lbist_clk_int (net)
+                  0.06    0.00   15.69 ^ mprj/u_wb_host/clkbuf_3_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   15.80 ^ mprj/u_wb_host/clkbuf_3_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_3_0_lbist_clk_int (net)
+                  0.04    0.00   15.80 ^ mprj/u_wb_host/clkbuf_4_6_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.14   15.94 ^ mprj/u_wb_host/clkbuf_4_6_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.03                           mprj/u_wb_host/clknet_4_6_0_lbist_clk_int (net)
+                  0.07    0.00   15.94 ^ mprj/u_wb_host/_5686_/CLK (sky130_fd_sc_hd__dfstp_1)
+                         -0.25   15.69   clock uncertainty
+                          0.41   16.11   clock reconvergence pessimism
+                         -0.06   16.04   library setup time
+                                 16.04   data required time
+-----------------------------------------------------------------------------
+                                 16.04   data required time
+                                 -8.83   data arrival time
+-----------------------------------------------------------------------------
+                                  7.21   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6521_
+            (rising edge-triggered flip-flop clocked by lbist_clk)
+Endpoint: mprj/u_wb_host/_5676_
+          (rising edge-triggered flip-flop clocked by lbist_clk)
+Path Group: lbist_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00    5.99 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.16    6.42 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.04                           mprj/u_wb_host/clknet_4_11_0_lbist_clk_int (net)
+                  0.09    0.00    6.43 ^ mprj/u_wb_host/_6521_/CLK (sky130_fd_sc_hd__dfrtp_4)
+                  0.12    0.59    7.02 v mprj/u_wb_host/_6521_/Q (sky130_fd_sc_hd__dfrtp_4)
+     8    0.06                           mprj/u_wb_host/u_lbist.u_lbist_core.next_lbist_done (net)
+                  0.12    0.00    7.02 v mprj/u_wb_host/fanout601/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.13    0.27    7.29 v mprj/u_wb_host/fanout601/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.06                           mprj/u_wb_host/net601 (net)
+                  0.13    0.00    7.29 v mprj/u_wb_host/_3698_/C (sky130_fd_sc_hd__and3_2)
+                  0.08    0.31    7.61 v mprj/u_wb_host/_3698_/X (sky130_fd_sc_hd__and3_2)
+     4    0.02                           mprj/u_wb_host/_1786_ (net)
+                  0.08    0.00    7.61 v mprj/u_wb_host/_3700_/B1 (sky130_fd_sc_hd__o21ai_4)
+                  0.29    0.14    7.75 ^ mprj/u_wb_host/_3700_/Y (sky130_fd_sc_hd__o21ai_4)
+     6    0.04                           mprj/u_wb_host/_1788_ (net)
+                  0.29    0.00    7.75 ^ mprj/u_wb_host/fanout572/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.21    0.35    8.10 ^ mprj/u_wb_host/fanout572/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.07                           mprj/u_wb_host/net572 (net)
+                  0.21    0.00    8.11 ^ mprj/u_wb_host/fanout570/A (sky130_fd_sc_hd__buf_2)
+                  0.19    0.27    8.38 ^ mprj/u_wb_host/fanout570/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_wb_host/net570 (net)
+                  0.19    0.00    8.38 ^ mprj/u_wb_host/_3701_/B1 (sky130_fd_sc_hd__a21oi_1)
+                  0.06    0.07    8.45 v mprj/u_wb_host/_3701_/Y (sky130_fd_sc_hd__a21oi_1)
+     1    0.00                           mprj/u_wb_host/_1789_ (net)
+                  0.06    0.00    8.45 v mprj/u_wb_host/_3702_/B1 (sky130_fd_sc_hd__o21a_1)
+                  0.04    0.11    8.56 v mprj/u_wb_host/_3702_/X (sky130_fd_sc_hd__o21a_1)
+     1    0.00                           mprj/u_wb_host/_1790_ (net)
+                  0.04    0.00    8.56 v mprj/u_wb_host/_3706_/B1 (sky130_fd_sc_hd__a211o_1)
+                  0.05    0.27    8.82 v mprj/u_wb_host/_3706_/X (sky130_fd_sc_hd__a211o_1)
+     1    0.00                           mprj/u_wb_host/_0213_ (net)
+                  0.05    0.00    8.82 v mprj/u_wb_host/_5676_/D (sky130_fd_sc_hd__dfstp_4)
+                                  8.82   data arrival time
+
+                         10.00   10.00   clock lbist_clk (rise edge)
+                          0.00   10.00   clock source latency
+                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.11   10.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   10.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00   10.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   10.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00   10.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17   10.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00   10.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   11.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00   11.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   11.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00   11.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00   11.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   11.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00   11.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   11.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00   11.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00   11.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   12.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00   12.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00   12.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00   12.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   12.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00   12.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00   12.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00   12.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00   13.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   13.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00   13.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14   13.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00   13.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00   13.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   13.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00   13.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10   13.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00   13.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14   13.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00   13.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   14.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00   14.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   14.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00   14.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13   14.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00   14.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13   14.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00   14.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25   15.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00   15.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   15.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00   15.32 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   15.43 ^ mprj/u_wb_host/clkbuf_1_0_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_lbist_clk_int (net)
+                  0.03    0.00   15.43 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   15.55 ^ mprj/u_wb_host/clkbuf_1_0_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_0_1_lbist_clk_int (net)
+                  0.04    0.00   15.55 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   15.69 ^ mprj/u_wb_host/clkbuf_2_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_lbist_clk_int (net)
+                  0.06    0.00   15.69 ^ mprj/u_wb_host/clkbuf_3_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   15.80 ^ mprj/u_wb_host/clkbuf_3_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_3_0_lbist_clk_int (net)
+                  0.04    0.00   15.80 ^ mprj/u_wb_host/clkbuf_4_6_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.14   15.94 ^ mprj/u_wb_host/clkbuf_4_6_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.03                           mprj/u_wb_host/clknet_4_6_0_lbist_clk_int (net)
+                  0.07    0.00   15.94 ^ mprj/u_wb_host/_5676_/CLK (sky130_fd_sc_hd__dfstp_4)
+                         -0.25   15.69   clock uncertainty
+                          0.41   16.11   clock reconvergence pessimism
+                         -0.07   16.04   library setup time
+                                 16.04   data required time
+-----------------------------------------------------------------------------
+                                 16.04   data required time
+                                 -8.82   data arrival time
+-----------------------------------------------------------------------------
+                                  7.22   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6521_
+            (rising edge-triggered flip-flop clocked by lbist_clk)
+Endpoint: mprj/u_wb_host/_5690_
+          (rising edge-triggered flip-flop clocked by lbist_clk)
+Path Group: lbist_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00    5.99 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.16    6.42 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.04                           mprj/u_wb_host/clknet_4_11_0_lbist_clk_int (net)
+                  0.09    0.00    6.43 ^ mprj/u_wb_host/_6521_/CLK (sky130_fd_sc_hd__dfrtp_4)
+                  0.18    0.55    6.97 ^ mprj/u_wb_host/_6521_/Q (sky130_fd_sc_hd__dfrtp_4)
+     8    0.06                           mprj/u_wb_host/u_lbist.u_lbist_core.next_lbist_done (net)
+                  0.18    0.00    6.98 ^ mprj/u_wb_host/fanout601/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.20    0.31    7.29 ^ mprj/u_wb_host/fanout601/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.07                           mprj/u_wb_host/net601 (net)
+                  0.20    0.00    7.29 ^ mprj/u_wb_host/_3698_/C (sky130_fd_sc_hd__and3_2)
+                  0.14    0.31    7.59 ^ mprj/u_wb_host/_3698_/X (sky130_fd_sc_hd__and3_2)
+     4    0.03                           mprj/u_wb_host/_1786_ (net)
+                  0.14    0.00    7.59 ^ mprj/u_wb_host/_3700_/B1 (sky130_fd_sc_hd__o21ai_4)
+                  0.10    0.13    7.73 v mprj/u_wb_host/_3700_/Y (sky130_fd_sc_hd__o21ai_4)
+     6    0.04                           mprj/u_wb_host/_1788_ (net)
+                  0.10    0.00    7.73 v mprj/u_wb_host/fanout572/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.13    0.26    7.99 v mprj/u_wb_host/fanout572/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.07                           mprj/u_wb_host/net572 (net)
+                  0.13    0.00    7.99 v mprj/u_wb_host/_3705_/B (sky130_fd_sc_hd__or2_2)
+                  0.14    0.43    8.42 v mprj/u_wb_host/_3705_/X (sky130_fd_sc_hd__or2_2)
+    12    0.04                           mprj/u_wb_host/_1793_ (net)
+                  0.14    0.00    8.42 v mprj/u_wb_host/_3797_/B1_N (sky130_fd_sc_hd__o21bai_1)
+                  0.07    0.20    8.62 v mprj/u_wb_host/_3797_/Y (sky130_fd_sc_hd__o21bai_1)
+     1    0.00                           mprj/u_wb_host/_1871_ (net)
+                  0.07    0.00    8.62 v mprj/u_wb_host/_3798_/B1 (sky130_fd_sc_hd__a21o_1)
+                  0.04    0.18    8.80 v mprj/u_wb_host/_3798_/X (sky130_fd_sc_hd__a21o_1)
+     1    0.01                           mprj/u_wb_host/_1872_ (net)
+                  0.04    0.00    8.80 v mprj/u_wb_host/_3799_/B1 (sky130_fd_sc_hd__o21a_1)
+                  0.03    0.10    8.90 v mprj/u_wb_host/_3799_/X (sky130_fd_sc_hd__o21a_1)
+     1    0.00                           mprj/u_wb_host/_0227_ (net)
+                  0.03    0.00    8.90 v mprj/u_wb_host/_5690_/D (sky130_fd_sc_hd__dfstp_2)
+                                  8.90   data arrival time
+
+                         10.00   10.00   clock lbist_clk (rise edge)
+                          0.00   10.00   clock source latency
+                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.11   10.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   10.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00   10.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   10.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00   10.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17   10.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00   10.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   11.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00   11.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   11.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00   11.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00   11.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   11.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00   11.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   11.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00   11.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00   11.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   12.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00   12.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00   12.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00   12.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   12.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00   12.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00   12.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00   12.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00   13.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   13.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00   13.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14   13.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00   13.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00   13.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   13.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00   13.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10   13.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00   13.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14   13.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00   13.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   14.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00   14.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   14.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00   14.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13   14.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00   14.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13   14.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00   14.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25   15.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00   15.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   15.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00   15.32 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   15.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00   15.43 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12   15.55 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00   15.55 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13   15.68 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
+                  0.05    0.00   15.68 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   15.80 ^ mprj/u_wb_host/clkbuf_3_6_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_6_0_lbist_clk_int (net)
+                  0.04    0.00   15.80 ^ mprj/u_wb_host/clkbuf_4_12_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.19   15.99 ^ mprj/u_wb_host/clkbuf_4_12_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    28    0.08                           mprj/u_wb_host/clknet_4_12_0_lbist_clk_int (net)
+                  0.14    0.00   15.99 ^ mprj/u_wb_host/_5690_/CLK (sky130_fd_sc_hd__dfstp_2)
+                         -0.25   15.74   clock uncertainty
+                          0.43   16.18   clock reconvergence pessimism
+                         -0.05   16.13   library setup time
+                                 16.13   data required time
+-----------------------------------------------------------------------------
+                                 16.13   data required time
+                                 -8.90   data arrival time
+-----------------------------------------------------------------------------
+                                  7.24   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6521_
+            (rising edge-triggered flip-flop clocked by lbist_clk)
+Endpoint: mprj/u_wb_host/_5698_
+          (rising edge-triggered flip-flop clocked by lbist_clk)
+Path Group: lbist_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock lbist_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12    0.12 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00    0.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.08    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00    0.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.15    0.36 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00    0.36 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    0.53 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00    0.53 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    0.64 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00    0.64 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.09    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00    0.73 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.18    0.91 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00    0.91 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    1.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00    1.09 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    1.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00    1.20 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00    1.30 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    1.47 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00    1.47 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    1.64 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00    1.64 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    1.76 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00    1.76 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00    1.86 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.17    2.03 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00    2.03 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    2.20 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00    2.20 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.31 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00    2.31 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00    2.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    2.62 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00    2.62 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    2.79 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00    2.79 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.12    2.90 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00    2.90 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00    3.04 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.17    3.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00    3.21 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.38 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00    3.38 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    3.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00    3.49 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00    3.58 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.15    3.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00    3.72 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    3.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00    3.88 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.00 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00    4.00 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.11    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00    4.10 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15    4.25 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00    4.25 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16    4.41 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00    4.41 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    4.52 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00    4.52 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00    4.67 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.15    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00    4.82 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.14    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00    4.96 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.14    5.11 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00    5.11 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.14    5.24 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00    5.25 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    5.52 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00    5.52 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.21    5.73 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00    5.73 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    5.86 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00    5.86 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.98 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00    5.99 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    6.13 ^ mprj/u_wb_host/clkbuf_2_2_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_2_0_lbist_clk_int (net)
+                  0.06    0.00    6.13 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    6.26 ^ mprj/u_wb_host/clkbuf_3_5_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_5_0_lbist_clk_int (net)
+                  0.04    0.00    6.26 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.16    6.42 ^ mprj/u_wb_host/clkbuf_4_11_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.04                           mprj/u_wb_host/clknet_4_11_0_lbist_clk_int (net)
+                  0.09    0.00    6.43 ^ mprj/u_wb_host/_6521_/CLK (sky130_fd_sc_hd__dfrtp_4)
+                  0.12    0.59    7.02 v mprj/u_wb_host/_6521_/Q (sky130_fd_sc_hd__dfrtp_4)
+     8    0.06                           mprj/u_wb_host/u_lbist.u_lbist_core.next_lbist_done (net)
+                  0.12    0.00    7.02 v mprj/u_wb_host/fanout601/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.13    0.27    7.29 v mprj/u_wb_host/fanout601/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.06                           mprj/u_wb_host/net601 (net)
+                  0.13    0.00    7.29 v mprj/u_wb_host/_3698_/C (sky130_fd_sc_hd__and3_2)
+                  0.08    0.31    7.61 v mprj/u_wb_host/_3698_/X (sky130_fd_sc_hd__and3_2)
+     4    0.02                           mprj/u_wb_host/_1786_ (net)
+                  0.08    0.00    7.61 v mprj/u_wb_host/_3700_/B1 (sky130_fd_sc_hd__o21ai_4)
+                  0.29    0.14    7.75 ^ mprj/u_wb_host/_3700_/Y (sky130_fd_sc_hd__o21ai_4)
+     6    0.04                           mprj/u_wb_host/_1788_ (net)
+                  0.29    0.00    7.75 ^ mprj/u_wb_host/fanout574/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.21    0.36    8.11 ^ mprj/u_wb_host/fanout574/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.07                           mprj/u_wb_host/net574 (net)
+                  0.21    0.00    8.11 ^ mprj/u_wb_host/fanout573/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.30    8.41 ^ mprj/u_wb_host/fanout573/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.06                           mprj/u_wb_host/net573 (net)
+                  0.17    0.00    8.41 ^ mprj/u_wb_host/_3867_/B1 (sky130_fd_sc_hd__a21oi_1)
+                  0.06    0.06    8.47 v mprj/u_wb_host/_3867_/Y (sky130_fd_sc_hd__a21oi_1)
+     1    0.00                           mprj/u_wb_host/_1933_ (net)
+                  0.06    0.00    8.47 v mprj/u_wb_host/_3868_/B1 (sky130_fd_sc_hd__o21a_1)
+                  0.04    0.11    8.58 v mprj/u_wb_host/_3868_/X (sky130_fd_sc_hd__o21a_1)
+     1    0.00                           mprj/u_wb_host/_1934_ (net)
+                  0.04    0.00    8.58 v mprj/u_wb_host/_3869_/B1 (sky130_fd_sc_hd__a211o_1)
+                  0.05    0.27    8.86 v mprj/u_wb_host/_3869_/X (sky130_fd_sc_hd__a211o_1)
+     1    0.00                           mprj/u_wb_host/_0235_ (net)
+                  0.05    0.00    8.86 v mprj/u_wb_host/_5698_/D (sky130_fd_sc_hd__dfstp_2)
+                                  8.86   data arrival time
+
+                         10.00   10.00   clock lbist_clk (rise edge)
+                          0.00   10.00   clock source latency
+                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_0_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.00 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.11   10.11 ^ mprj/u_wb_host/clkbuf_1_0__f_u_lbist.lbist_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_lbist.lbist_clk (net)
+                  0.04    0.00   10.12 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.07   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_1.X1 (net)
+                  0.04    0.00   10.19 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.14   10.33 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_1.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d1 (net)
+                  0.14    0.00   10.33 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   10.49 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d1 (net)
+                  0.04    0.00   10.49 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   10.60 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d1/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d1 (net)
+                  0.03    0.00   10.60 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.08   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_2.X1 (net)
+                  0.06    0.00   10.68 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.17    0.17   10.85 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_2.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d2 (net)
+                  0.17    0.00   10.85 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   11.01 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d2 (net)
+                  0.04    0.00   11.01 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   11.11 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d2/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d2 (net)
+                  0.03    0.00   11.11 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.09   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_3.X1 (net)
+                  0.08    0.00   11.21 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.37 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_3.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d3 (net)
+                  0.15    0.00   11.37 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   11.52 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d3 (net)
+                  0.04    0.00   11.52 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   11.63 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d3/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d3 (net)
+                  0.03    0.00   11.63 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.09   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_4.X1 (net)
+                  0.07    0.00   11.72 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.16   11.88 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_4.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d4 (net)
+                  0.15    0.00   11.88 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   12.04 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d4 (net)
+                  0.04    0.00   12.04 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.14 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d4/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d4 (net)
+                  0.03    0.00   12.14 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_5.X1 (net)
+                  0.13    0.00   12.27 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.43 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_5.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d5 (net)
+                  0.13    0.00   12.43 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   12.59 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d5 (net)
+                  0.04    0.00   12.59 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   12.69 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d5/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d5 (net)
+                  0.03    0.00   12.69 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.13   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_6.X1 (net)
+                  0.13    0.00   12.82 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.16   12.98 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_6.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d6 (net)
+                  0.13    0.00   12.98 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.13 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d6 (net)
+                  0.04    0.00   13.13 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   13.24 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d6/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.01                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d6 (net)
+                  0.03    0.00   13.24 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.08   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.clkbuf_7.X1 (net)
+                  0.05    0.00   13.32 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.14   13.45 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_7.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d7 (net)
+                  0.13    0.00   13.45 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   13.60 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d7 (net)
+                  0.04    0.00   13.60 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   13.71 ^ mprj/u_wb_host/clkbuf_1_1__f_u_skew_lbist.clk_d7/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_1__leaf_u_skew_lbist.clk_d7 (net)
+                  0.03    0.00   13.71 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.10   13.80 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly0/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clkbuf_8.X1 (net)
+                  0.08    0.00   13.81 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.14   13.94 ^ mprj/u_wb_host/u_skew_lbist.clkbuf_8.u_dly1/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.clk_d8 (net)
+                  0.12    0.00   13.94 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15   14.09 ^ mprj/u_wb_host/clkbuf_0_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_wb_host/clknet_0_u_skew_lbist.clk_d8 (net)
+                  0.04    0.00   14.09 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11   14.19 ^ mprj/u_wb_host/clkbuf_1_0__f_u_skew_lbist.clk_d8/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_wb_host/clknet_1_0__leaf_u_skew_lbist.clk_d8 (net)
+                  0.03    0.00   14.19 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_04.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.01                           mprj/u_wb_host/u_skew_lbist.d04 (net)
+                  0.05    0.00   14.33 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.05    0.14   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_12.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d12 (net)
+                  0.05    0.00   14.47 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_2)
+                  0.04    0.13   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_21.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_2)
+     1    0.00                           mprj/u_wb_host/u_skew_lbist.d21 (net)
+                  0.04    0.00   14.61 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/A1 (sky130_fd_sc_hd__mux2_4)
+                  0.04    0.13   14.74 ^ mprj/u_wb_host/u_skew_lbist.u_mux_level_30.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_wb_host/net208 (net)
+                  0.04    0.00   14.74 ^ mprj/u_wb_host/output208/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.13   14.87 ^ mprj/u_wb_host/output208/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/lbist_clk (net)
+                  0.09    0.00   14.87 ^ mprj/u_wb_host/wire3/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25   15.12 ^ mprj/u_wb_host/wire3/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_wb_host/net854 (net)
+                  0.24    0.00   15.12 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   15.32 ^ mprj/u_wb_host/clkbuf_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_lbist_clk_int (net)
+                  0.06    0.00   15.32 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   15.43 ^ mprj/u_wb_host/clkbuf_1_1_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_lbist_clk_int (net)
+                  0.03    0.00   15.43 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.12   15.55 ^ mprj/u_wb_host/clkbuf_1_1_1_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_1_1_1_lbist_clk_int (net)
+                  0.05    0.00   15.55 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13   15.68 ^ mprj/u_wb_host/clkbuf_2_3_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_3_0_lbist_clk_int (net)
+                  0.05    0.00   15.68 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   15.80 ^ mprj/u_wb_host/clkbuf_3_7_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.01                           mprj/u_wb_host/clknet_3_7_0_lbist_clk_int (net)
+                  0.04    0.00   15.80 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   15.98 ^ mprj/u_wb_host/clkbuf_4_14_0_lbist_clk_int/X (sky130_fd_sc_hd__clkbuf_8)
+    26    0.07                           mprj/u_wb_host/clknet_4_14_0_lbist_clk_int (net)
+                  0.13    0.00   15.98 ^ mprj/u_wb_host/_5698_/CLK (sky130_fd_sc_hd__dfstp_2)
+                         -0.25   15.73   clock uncertainty
+                          0.43   16.17   clock reconvergence pessimism
+                         -0.05   16.11   library setup time
+                                 16.11   data required time
+-----------------------------------------------------------------------------
+                                 16.11   data required time
+                                 -8.86   data arrival time
+-----------------------------------------------------------------------------
+                                  7.25   slack (MET)
+
+
+Startpoint: mprj_io[13] (input port clocked by pad_mac_rx_clk)
+Endpoint: mprj/u_mac_wrap/_09885_
+          (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Path Group: mac_rx_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock pad_mac_rx_clk (rise edge)
+                          0.00    0.00   clock network delay (propagated)
+                         20.00   20.00 ^ input external delay
+                  4.00    0.00   20.00 ^ mprj_io[13] (inout)
+     1   11.12                           mprj_io[13] (net)
+                  4.00    0.00   20.00 ^ padframe/mprj_pads.area1_io_pad[13]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[13] (net)
+                  0.07    3.31   23.31 ^ padframe/mprj_pads.area1_io_pad[13]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[13] (net)
+                  0.07    0.00   23.31 ^ gpio_control_in_1[5]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11   23.42 ^ gpio_control_in_1[5]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[5]/net3 (net)
+                  0.03    0.00   23.42 ^ gpio_control_in_1[5]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22   23.64 ^ gpio_control_in_1[5]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[5]/net22 (net)
+                  0.15    0.00   23.64 ^ gpio_control_in_1[5]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12   23.76 ^ gpio_control_in_1[5]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[13] (net)
+                  0.03    0.00   23.76 ^ mprj/u_rp_east/u_rp[5].u_buf/A (sky130_fd_sc_hd__buf_2)
+                  0.31    0.29   24.05 ^ mprj/u_rp_east/u_rp[5].u_buf/X (sky130_fd_sc_hd__buf_2)
+     2    0.06                           mprj/u_rp_east/net2 (net)
+                  0.31    0.01   24.07 ^ mprj/u_rp_east/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.56    0.48   24.54 ^ mprj/u_rp_east/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.21                           mprj/ch_out_east[5] (net)
+                  0.63    0.16   24.70 ^ mprj/u_pinmux/input2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.16    0.24   24.94 ^ mprj/u_pinmux/input2/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_pinmux/net2 (net)
+                  0.16    0.00   24.94 ^ mprj/u_pinmux/_1223_/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.21    0.27   25.21 ^ mprj/u_pinmux/_1223_/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.04                           mprj/u_pinmux/net77 (net)
+                  0.21    0.00   25.21 ^ mprj/u_pinmux/output77/A (sky130_fd_sc_hd__buf_2)
+                  0.16    0.25   25.46 ^ mprj/u_pinmux/output77/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/mac_rx_dv (net)
+                  0.16    0.00   25.47 ^ mprj/u_mac_wrap/hold42/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.05    0.59   26.05 ^ mprj/u_mac_wrap/hold42/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.00                           mprj/u_mac_wrap/net879 (net)
+                  0.05    0.00   26.05 ^ mprj/u_mac_wrap/hold25/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.14    0.63   26.69 ^ mprj/u_mac_wrap/hold25/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net862 (net)
+                  0.14    0.00   26.69 ^ mprj/u_mac_wrap/hold22/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.10    0.62   27.31 ^ mprj/u_mac_wrap/hold22/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net859 (net)
+                  0.10    0.00   27.31 ^ mprj/u_mac_wrap/hold26/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.14    0.65   27.96 ^ mprj/u_mac_wrap/hold26/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net863 (net)
+                  0.14    0.00   27.96 ^ mprj/u_mac_wrap/input6/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.14   28.09 ^ mprj/u_mac_wrap/input6/X (sky130_fd_sc_hd__buf_2)
+     1    0.00                           mprj/u_mac_wrap/net6 (net)
+                  0.03    0.00   28.09 ^ mprj/u_mac_wrap/hold27/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.11    0.61   28.70 ^ mprj/u_mac_wrap/hold27/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net864 (net)
+                  0.11    0.00   28.70 ^ mprj/u_mac_wrap/hold23/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.15    0.66   29.36 ^ mprj/u_mac_wrap/hold23/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net860 (net)
+                  0.15    0.00   29.36 ^ mprj/u_mac_wrap/hold28/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.20    0.70   30.06 ^ mprj/u_mac_wrap/hold28/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     5    0.02                           mprj/u_mac_wrap/net865 (net)
+                  0.20    0.00   30.07 ^ mprj/u_mac_wrap/_07877_/A1 (sky130_fd_sc_hd__o21ai_1)
+                  0.05    0.10   30.16 v mprj/u_mac_wrap/_07877_/Y (sky130_fd_sc_hd__o21ai_1)
+     1    0.00                           mprj/u_mac_wrap/_03893_ (net)
+                  0.05    0.00   30.16 v mprj/u_mac_wrap/hold40/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.05    0.57   30.73 v mprj/u_mac_wrap/hold40/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.00                           mprj/u_mac_wrap/net877 (net)
+                  0.05    0.00   30.73 v mprj/u_mac_wrap/_07878_/B1 (sky130_fd_sc_hd__o41a_1)
+                  0.06    0.11   30.84 v mprj/u_mac_wrap/_07878_/X (sky130_fd_sc_hd__o41a_1)
+     1    0.00                           mprj/u_mac_wrap/_03894_ (net)
+                  0.06    0.00   30.84 v mprj/u_mac_wrap/hold41/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.05    0.57   31.42 v mprj/u_mac_wrap/hold41/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.00                           mprj/u_mac_wrap/net878 (net)
+                  0.05    0.00   31.42 v mprj/u_mac_wrap/_07879_/B (sky130_fd_sc_hd__nand2_1)
+                  0.05    0.07   31.49 ^ mprj/u_mac_wrap/_07879_/Y (sky130_fd_sc_hd__nand2_1)
+     1    0.00                           mprj/u_mac_wrap/_01277_ (net)
+                  0.05    0.00   31.49 ^ mprj/u_mac_wrap/_09885_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 31.49   data arrival time
+
+                         40.00   40.00   clock mac_rx_clk (rise edge)
+                          0.00   40.00   clock source latency
+                  1.00    0.00   40.00 ^ mprj_io[12] (inout)
+     1    6.12                           mprj_io[12] (net)
+                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[12] (net)
+                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00   41.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10   41.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00   41.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21   41.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12   41.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00   41.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81   42.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21   42.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42   43.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01   43.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15   43.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00   43.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32   43.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00   43.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28   44.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00   44.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21   44.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01   44.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16   44.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00   44.41 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   44.52 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
+                  0.03    0.00   44.52 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.14   44.66 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_1_1_1_phy_rx_clk (net)
+                  0.07    0.00   44.66 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15   44.81 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
+                  0.06    0.00   44.81 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.20    0.24   45.05 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_mac_wrap/clknet_3_5_0_phy_rx_clk (net)
+                  0.20    0.00   45.05 ^ mprj/u_mac_wrap/clkbuf_leaf_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.18   45.23 ^ mprj/u_mac_wrap/clkbuf_leaf_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_mac_wrap/clknet_leaf_1_phy_rx_clk (net)
+                  0.05    0.00   45.23 ^ mprj/u_mac_wrap/_09885_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   44.98   clock uncertainty
+                          0.00   44.98   clock reconvergence pessimism
+                         -0.06   44.92   library setup time
+                                 44.92   data required time
+-----------------------------------------------------------------------------
+                                 44.92   data required time
+                                -31.49   data arrival time
+-----------------------------------------------------------------------------
+                                 13.43   slack (MET)
+
+
+Startpoint: mprj_io[13] (input port clocked by pad_mac_rx_clk)
+Endpoint: mprj/u_mac_wrap/_08982_
+          (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Path Group: mac_rx_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock pad_mac_rx_clk (rise edge)
+                          0.00    0.00   clock network delay (propagated)
+                         20.00   20.00 ^ input external delay
+                  4.00    0.00   20.00 ^ mprj_io[13] (inout)
+     1   11.12                           mprj_io[13] (net)
+                  4.00    0.00   20.00 ^ padframe/mprj_pads.area1_io_pad[13]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[13] (net)
+                  0.07    3.31   23.31 ^ padframe/mprj_pads.area1_io_pad[13]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[13] (net)
+                  0.07    0.00   23.31 ^ gpio_control_in_1[5]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11   23.42 ^ gpio_control_in_1[5]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[5]/net3 (net)
+                  0.03    0.00   23.42 ^ gpio_control_in_1[5]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22   23.64 ^ gpio_control_in_1[5]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[5]/net22 (net)
+                  0.15    0.00   23.64 ^ gpio_control_in_1[5]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12   23.76 ^ gpio_control_in_1[5]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[13] (net)
+                  0.03    0.00   23.76 ^ mprj/u_rp_east/u_rp[5].u_buf/A (sky130_fd_sc_hd__buf_2)
+                  0.31    0.29   24.05 ^ mprj/u_rp_east/u_rp[5].u_buf/X (sky130_fd_sc_hd__buf_2)
+     2    0.06                           mprj/u_rp_east/net2 (net)
+                  0.31    0.01   24.07 ^ mprj/u_rp_east/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.56    0.48   24.54 ^ mprj/u_rp_east/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.21                           mprj/ch_out_east[5] (net)
+                  0.63    0.16   24.70 ^ mprj/u_pinmux/input2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.16    0.24   24.94 ^ mprj/u_pinmux/input2/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_pinmux/net2 (net)
+                  0.16    0.00   24.94 ^ mprj/u_pinmux/_1223_/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.21    0.27   25.21 ^ mprj/u_pinmux/_1223_/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.04                           mprj/u_pinmux/net77 (net)
+                  0.21    0.00   25.21 ^ mprj/u_pinmux/output77/A (sky130_fd_sc_hd__buf_2)
+                  0.16    0.25   25.46 ^ mprj/u_pinmux/output77/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/mac_rx_dv (net)
+                  0.16    0.00   25.47 ^ mprj/u_mac_wrap/hold42/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.05    0.59   26.05 ^ mprj/u_mac_wrap/hold42/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.00                           mprj/u_mac_wrap/net879 (net)
+                  0.05    0.00   26.05 ^ mprj/u_mac_wrap/hold25/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.14    0.63   26.69 ^ mprj/u_mac_wrap/hold25/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net862 (net)
+                  0.14    0.00   26.69 ^ mprj/u_mac_wrap/hold22/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.10    0.62   27.31 ^ mprj/u_mac_wrap/hold22/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net859 (net)
+                  0.10    0.00   27.31 ^ mprj/u_mac_wrap/hold26/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.14    0.65   27.96 ^ mprj/u_mac_wrap/hold26/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net863 (net)
+                  0.14    0.00   27.96 ^ mprj/u_mac_wrap/input6/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.14   28.09 ^ mprj/u_mac_wrap/input6/X (sky130_fd_sc_hd__buf_2)
+     1    0.00                           mprj/u_mac_wrap/net6 (net)
+                  0.03    0.00   28.09 ^ mprj/u_mac_wrap/hold27/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.11    0.61   28.70 ^ mprj/u_mac_wrap/hold27/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net864 (net)
+                  0.11    0.00   28.70 ^ mprj/u_mac_wrap/hold23/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.15    0.66   29.36 ^ mprj/u_mac_wrap/hold23/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net860 (net)
+                  0.15    0.00   29.36 ^ mprj/u_mac_wrap/hold28/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.20    0.70   30.06 ^ mprj/u_mac_wrap/hold28/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     5    0.02                           mprj/u_mac_wrap/net865 (net)
+                  0.20    0.00   30.07 ^ mprj/u_mac_wrap/_04676_/C (sky130_fd_sc_hd__or4b_1)
+                  0.13    0.22   30.28 ^ mprj/u_mac_wrap/_04676_/X (sky130_fd_sc_hd__or4b_1)
+     2    0.01                           mprj/u_mac_wrap/_01969_ (net)
+                  0.13    0.00   30.28 ^ mprj/u_mac_wrap/fanout354/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.22    0.25   30.53 ^ mprj/u_mac_wrap/fanout354/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     6    0.02                           mprj/u_mac_wrap/net354 (net)
+                  0.22    0.00   30.53 ^ mprj/u_mac_wrap/fanout353/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.27   30.80 ^ mprj/u_mac_wrap/fanout353/X (sky130_fd_sc_hd__clkbuf_2)
+    10    0.03                           mprj/u_mac_wrap/net353 (net)
+                  0.19    0.00   30.80 ^ mprj/u_mac_wrap/_04692_/B1_N (sky130_fd_sc_hd__a21boi_1)
+                  0.12    0.20   31.00 ^ mprj/u_mac_wrap/_04692_/Y (sky130_fd_sc_hd__a21boi_1)
+     1    0.00                           mprj/u_mac_wrap/_01981_ (net)
+                  0.12    0.00   31.00 ^ mprj/u_mac_wrap/_04693_/A1_N (sky130_fd_sc_hd__o2bb2a_1)
+                  0.06    0.24   31.24 v mprj/u_mac_wrap/_04693_/X (sky130_fd_sc_hd__o2bb2a_1)
+     1    0.00                           mprj/u_mac_wrap/_00046_ (net)
+                  0.06    0.00   31.24 v mprj/u_mac_wrap/_08982_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 31.24   data arrival time
+
+                         40.00   40.00   clock mac_rx_clk (rise edge)
+                          0.00   40.00   clock source latency
+                  1.00    0.00   40.00 ^ mprj_io[12] (inout)
+     1    6.12                           mprj_io[12] (net)
+                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[12] (net)
+                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00   41.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10   41.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00   41.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21   41.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12   41.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00   41.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81   42.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21   42.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42   43.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01   43.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15   43.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00   43.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32   43.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00   43.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28   44.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00   44.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21   44.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01   44.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16   44.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00   44.41 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   44.52 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
+                  0.03    0.00   44.52 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.14   44.66 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_1_1_1_phy_rx_clk (net)
+                  0.07    0.00   44.66 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15   44.81 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
+                  0.06    0.00   44.81 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.20    0.24   45.05 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_mac_wrap/clknet_3_5_0_phy_rx_clk (net)
+                  0.20    0.00   45.05 ^ mprj/u_mac_wrap/clkbuf_opt_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17   45.22 ^ mprj/u_mac_wrap/clkbuf_opt_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mac_wrap/clknet_opt_1_0_phy_rx_clk (net)
+                  0.04    0.00   45.22 ^ mprj/u_mac_wrap/clkbuf_leaf_65_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12   45.34 ^ mprj/u_mac_wrap/clkbuf_leaf_65_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.02                           mprj/u_mac_wrap/clknet_leaf_65_phy_rx_clk (net)
+                  0.05    0.00   45.34 ^ mprj/u_mac_wrap/_08982_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   45.09   clock uncertainty
+                          0.00   45.09   clock reconvergence pessimism
+                         -0.12   44.97   library setup time
+                                 44.97   data required time
+-----------------------------------------------------------------------------
+                                 44.97   data required time
+                                -31.24   data arrival time
+-----------------------------------------------------------------------------
+                                 13.74   slack (MET)
+
+
+Startpoint: mprj_io[13] (input port clocked by pad_mac_rx_clk)
+Endpoint: mprj/u_mac_wrap/_08983_
+          (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Path Group: mac_rx_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock pad_mac_rx_clk (rise edge)
+                          0.00    0.00   clock network delay (propagated)
+                         20.00   20.00 ^ input external delay
+                  4.00    0.00   20.00 ^ mprj_io[13] (inout)
+     1   11.12                           mprj_io[13] (net)
+                  4.00    0.00   20.00 ^ padframe/mprj_pads.area1_io_pad[13]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[13] (net)
+                  0.07    3.31   23.31 ^ padframe/mprj_pads.area1_io_pad[13]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[13] (net)
+                  0.07    0.00   23.31 ^ gpio_control_in_1[5]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11   23.42 ^ gpio_control_in_1[5]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[5]/net3 (net)
+                  0.03    0.00   23.42 ^ gpio_control_in_1[5]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22   23.64 ^ gpio_control_in_1[5]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[5]/net22 (net)
+                  0.15    0.00   23.64 ^ gpio_control_in_1[5]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12   23.76 ^ gpio_control_in_1[5]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[13] (net)
+                  0.03    0.00   23.76 ^ mprj/u_rp_east/u_rp[5].u_buf/A (sky130_fd_sc_hd__buf_2)
+                  0.31    0.29   24.05 ^ mprj/u_rp_east/u_rp[5].u_buf/X (sky130_fd_sc_hd__buf_2)
+     2    0.06                           mprj/u_rp_east/net2 (net)
+                  0.31    0.01   24.07 ^ mprj/u_rp_east/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.56    0.48   24.54 ^ mprj/u_rp_east/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.21                           mprj/ch_out_east[5] (net)
+                  0.63    0.16   24.70 ^ mprj/u_pinmux/input2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.16    0.24   24.94 ^ mprj/u_pinmux/input2/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_pinmux/net2 (net)
+                  0.16    0.00   24.94 ^ mprj/u_pinmux/_1223_/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.21    0.27   25.21 ^ mprj/u_pinmux/_1223_/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.04                           mprj/u_pinmux/net77 (net)
+                  0.21    0.00   25.21 ^ mprj/u_pinmux/output77/A (sky130_fd_sc_hd__buf_2)
+                  0.16    0.25   25.46 ^ mprj/u_pinmux/output77/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/mac_rx_dv (net)
+                  0.16    0.00   25.47 ^ mprj/u_mac_wrap/hold42/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.05    0.59   26.05 ^ mprj/u_mac_wrap/hold42/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.00                           mprj/u_mac_wrap/net879 (net)
+                  0.05    0.00   26.05 ^ mprj/u_mac_wrap/hold25/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.14    0.63   26.69 ^ mprj/u_mac_wrap/hold25/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net862 (net)
+                  0.14    0.00   26.69 ^ mprj/u_mac_wrap/hold22/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.10    0.62   27.31 ^ mprj/u_mac_wrap/hold22/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net859 (net)
+                  0.10    0.00   27.31 ^ mprj/u_mac_wrap/hold26/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.14    0.65   27.96 ^ mprj/u_mac_wrap/hold26/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net863 (net)
+                  0.14    0.00   27.96 ^ mprj/u_mac_wrap/input6/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.14   28.09 ^ mprj/u_mac_wrap/input6/X (sky130_fd_sc_hd__buf_2)
+     1    0.00                           mprj/u_mac_wrap/net6 (net)
+                  0.03    0.00   28.09 ^ mprj/u_mac_wrap/hold27/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.11    0.61   28.70 ^ mprj/u_mac_wrap/hold27/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net864 (net)
+                  0.11    0.00   28.70 ^ mprj/u_mac_wrap/hold23/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.15    0.66   29.36 ^ mprj/u_mac_wrap/hold23/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net860 (net)
+                  0.15    0.00   29.36 ^ mprj/u_mac_wrap/hold28/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.20    0.70   30.06 ^ mprj/u_mac_wrap/hold28/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     5    0.02                           mprj/u_mac_wrap/net865 (net)
+                  0.20    0.00   30.07 ^ mprj/u_mac_wrap/_04676_/C (sky130_fd_sc_hd__or4b_1)
+                  0.13    0.22   30.28 ^ mprj/u_mac_wrap/_04676_/X (sky130_fd_sc_hd__or4b_1)
+     2    0.01                           mprj/u_mac_wrap/_01969_ (net)
+                  0.13    0.00   30.28 ^ mprj/u_mac_wrap/fanout354/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.22    0.25   30.53 ^ mprj/u_mac_wrap/fanout354/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     6    0.02                           mprj/u_mac_wrap/net354 (net)
+                  0.22    0.00   30.53 ^ mprj/u_mac_wrap/fanout353/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.27   30.80 ^ mprj/u_mac_wrap/fanout353/X (sky130_fd_sc_hd__clkbuf_2)
+    10    0.03                           mprj/u_mac_wrap/net353 (net)
+                  0.19    0.00   30.80 ^ mprj/u_mac_wrap/_04694_/B1_N (sky130_fd_sc_hd__a21boi_1)
+                  0.11    0.19   30.99 ^ mprj/u_mac_wrap/_04694_/Y (sky130_fd_sc_hd__a21boi_1)
+     1    0.00                           mprj/u_mac_wrap/_01982_ (net)
+                  0.11    0.00   30.99 ^ mprj/u_mac_wrap/_04695_/A1_N (sky130_fd_sc_hd__o2bb2a_1)
+                  0.05    0.23   31.22 v mprj/u_mac_wrap/_04695_/X (sky130_fd_sc_hd__o2bb2a_1)
+     1    0.00                           mprj/u_mac_wrap/_00047_ (net)
+                  0.05    0.00   31.22 v mprj/u_mac_wrap/_08983_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 31.22   data arrival time
+
+                         40.00   40.00   clock mac_rx_clk (rise edge)
+                          0.00   40.00   clock source latency
+                  1.00    0.00   40.00 ^ mprj_io[12] (inout)
+     1    6.12                           mprj_io[12] (net)
+                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[12] (net)
+                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00   41.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10   41.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00   41.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21   41.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12   41.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00   41.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81   42.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21   42.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42   43.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01   43.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15   43.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00   43.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32   43.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00   43.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28   44.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00   44.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21   44.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01   44.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16   44.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00   44.41 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   44.52 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
+                  0.03    0.00   44.52 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.14   44.66 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_1_1_1_phy_rx_clk (net)
+                  0.07    0.00   44.66 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15   44.81 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
+                  0.06    0.00   44.81 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.20    0.24   45.05 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_mac_wrap/clknet_3_5_0_phy_rx_clk (net)
+                  0.20    0.00   45.05 ^ mprj/u_mac_wrap/clkbuf_opt_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17   45.22 ^ mprj/u_mac_wrap/clkbuf_opt_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mac_wrap/clknet_opt_1_0_phy_rx_clk (net)
+                  0.04    0.00   45.22 ^ mprj/u_mac_wrap/clkbuf_leaf_65_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12   45.34 ^ mprj/u_mac_wrap/clkbuf_leaf_65_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.02                           mprj/u_mac_wrap/clknet_leaf_65_phy_rx_clk (net)
+                  0.05    0.00   45.34 ^ mprj/u_mac_wrap/_08983_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   45.09   clock uncertainty
+                          0.00   45.09   clock reconvergence pessimism
+                         -0.12   44.98   library setup time
+                                 44.98   data required time
+-----------------------------------------------------------------------------
+                                 44.98   data required time
+                                -31.22   data arrival time
+-----------------------------------------------------------------------------
+                                 13.76   slack (MET)
+
+
+Startpoint: mprj_io[13] (input port clocked by pad_mac_rx_clk)
+Endpoint: mprj/u_mac_wrap/_08984_
+          (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Path Group: mac_rx_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock pad_mac_rx_clk (rise edge)
+                          0.00    0.00   clock network delay (propagated)
+                         20.00   20.00 ^ input external delay
+                  4.00    0.00   20.00 ^ mprj_io[13] (inout)
+     1   11.12                           mprj_io[13] (net)
+                  4.00    0.00   20.00 ^ padframe/mprj_pads.area1_io_pad[13]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[13] (net)
+                  0.07    3.31   23.31 ^ padframe/mprj_pads.area1_io_pad[13]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[13] (net)
+                  0.07    0.00   23.31 ^ gpio_control_in_1[5]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11   23.42 ^ gpio_control_in_1[5]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[5]/net3 (net)
+                  0.03    0.00   23.42 ^ gpio_control_in_1[5]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22   23.64 ^ gpio_control_in_1[5]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[5]/net22 (net)
+                  0.15    0.00   23.64 ^ gpio_control_in_1[5]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12   23.76 ^ gpio_control_in_1[5]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[13] (net)
+                  0.03    0.00   23.76 ^ mprj/u_rp_east/u_rp[5].u_buf/A (sky130_fd_sc_hd__buf_2)
+                  0.31    0.29   24.05 ^ mprj/u_rp_east/u_rp[5].u_buf/X (sky130_fd_sc_hd__buf_2)
+     2    0.06                           mprj/u_rp_east/net2 (net)
+                  0.31    0.01   24.07 ^ mprj/u_rp_east/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.56    0.48   24.54 ^ mprj/u_rp_east/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.21                           mprj/ch_out_east[5] (net)
+                  0.63    0.16   24.70 ^ mprj/u_pinmux/input2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.16    0.24   24.94 ^ mprj/u_pinmux/input2/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_pinmux/net2 (net)
+                  0.16    0.00   24.94 ^ mprj/u_pinmux/_1223_/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.21    0.27   25.21 ^ mprj/u_pinmux/_1223_/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.04                           mprj/u_pinmux/net77 (net)
+                  0.21    0.00   25.21 ^ mprj/u_pinmux/output77/A (sky130_fd_sc_hd__buf_2)
+                  0.16    0.25   25.46 ^ mprj/u_pinmux/output77/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/mac_rx_dv (net)
+                  0.16    0.00   25.47 ^ mprj/u_mac_wrap/hold42/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.05    0.59   26.05 ^ mprj/u_mac_wrap/hold42/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.00                           mprj/u_mac_wrap/net879 (net)
+                  0.05    0.00   26.05 ^ mprj/u_mac_wrap/hold25/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.14    0.63   26.69 ^ mprj/u_mac_wrap/hold25/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net862 (net)
+                  0.14    0.00   26.69 ^ mprj/u_mac_wrap/hold22/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.10    0.62   27.31 ^ mprj/u_mac_wrap/hold22/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net859 (net)
+                  0.10    0.00   27.31 ^ mprj/u_mac_wrap/hold26/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.14    0.65   27.96 ^ mprj/u_mac_wrap/hold26/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net863 (net)
+                  0.14    0.00   27.96 ^ mprj/u_mac_wrap/input6/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.14   28.09 ^ mprj/u_mac_wrap/input6/X (sky130_fd_sc_hd__buf_2)
+     1    0.00                           mprj/u_mac_wrap/net6 (net)
+                  0.03    0.00   28.09 ^ mprj/u_mac_wrap/hold27/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.11    0.61   28.70 ^ mprj/u_mac_wrap/hold27/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net864 (net)
+                  0.11    0.00   28.70 ^ mprj/u_mac_wrap/hold23/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.15    0.66   29.36 ^ mprj/u_mac_wrap/hold23/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net860 (net)
+                  0.15    0.00   29.36 ^ mprj/u_mac_wrap/hold28/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.20    0.70   30.06 ^ mprj/u_mac_wrap/hold28/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     5    0.02                           mprj/u_mac_wrap/net865 (net)
+                  0.20    0.00   30.07 ^ mprj/u_mac_wrap/_04676_/C (sky130_fd_sc_hd__or4b_1)
+                  0.13    0.22   30.28 ^ mprj/u_mac_wrap/_04676_/X (sky130_fd_sc_hd__or4b_1)
+     2    0.01                           mprj/u_mac_wrap/_01969_ (net)
+                  0.13    0.00   30.28 ^ mprj/u_mac_wrap/fanout354/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.22    0.25   30.53 ^ mprj/u_mac_wrap/fanout354/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     6    0.02                           mprj/u_mac_wrap/net354 (net)
+                  0.22    0.00   30.53 ^ mprj/u_mac_wrap/fanout353/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.27   30.80 ^ mprj/u_mac_wrap/fanout353/X (sky130_fd_sc_hd__clkbuf_2)
+    10    0.03                           mprj/u_mac_wrap/net353 (net)
+                  0.19    0.00   30.80 ^ mprj/u_mac_wrap/_04696_/B1_N (sky130_fd_sc_hd__a21boi_1)
+                  0.10    0.18   30.98 ^ mprj/u_mac_wrap/_04696_/Y (sky130_fd_sc_hd__a21boi_1)
+     1    0.00                           mprj/u_mac_wrap/_01983_ (net)
+                  0.10    0.00   30.98 ^ mprj/u_mac_wrap/_04697_/A1_N (sky130_fd_sc_hd__o2bb2a_1)
+                  0.05    0.23   31.21 v mprj/u_mac_wrap/_04697_/X (sky130_fd_sc_hd__o2bb2a_1)
+     1    0.00                           mprj/u_mac_wrap/_00048_ (net)
+                  0.05    0.00   31.21 v mprj/u_mac_wrap/_08984_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 31.21   data arrival time
+
+                         40.00   40.00   clock mac_rx_clk (rise edge)
+                          0.00   40.00   clock source latency
+                  1.00    0.00   40.00 ^ mprj_io[12] (inout)
+     1    6.12                           mprj_io[12] (net)
+                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[12] (net)
+                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00   41.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10   41.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00   41.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21   41.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12   41.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00   41.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81   42.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21   42.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42   43.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01   43.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15   43.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00   43.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32   43.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00   43.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28   44.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00   44.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21   44.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01   44.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16   44.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00   44.41 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   44.52 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
+                  0.03    0.00   44.52 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.14   44.66 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_1_1_1_phy_rx_clk (net)
+                  0.07    0.00   44.66 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15   44.81 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
+                  0.06    0.00   44.81 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.20    0.24   45.05 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_mac_wrap/clknet_3_5_0_phy_rx_clk (net)
+                  0.20    0.00   45.05 ^ mprj/u_mac_wrap/clkbuf_opt_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17   45.22 ^ mprj/u_mac_wrap/clkbuf_opt_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mac_wrap/clknet_opt_1_0_phy_rx_clk (net)
+                  0.04    0.00   45.22 ^ mprj/u_mac_wrap/clkbuf_leaf_65_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12   45.34 ^ mprj/u_mac_wrap/clkbuf_leaf_65_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.02                           mprj/u_mac_wrap/clknet_leaf_65_phy_rx_clk (net)
+                  0.05    0.00   45.34 ^ mprj/u_mac_wrap/_08984_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   45.09   clock uncertainty
+                          0.00   45.09   clock reconvergence pessimism
+                         -0.12   44.98   library setup time
+                                 44.98   data required time
+-----------------------------------------------------------------------------
+                                 44.98   data required time
+                                -31.21   data arrival time
+-----------------------------------------------------------------------------
+                                 13.76   slack (MET)
+
+
+Startpoint: mprj_io[13] (input port clocked by pad_mac_rx_clk)
+Endpoint: mprj/u_mac_wrap/_08985_
+          (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Path Group: mac_rx_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock pad_mac_rx_clk (rise edge)
+                          0.00    0.00   clock network delay (propagated)
+                         20.00   20.00 ^ input external delay
+                  4.00    0.00   20.00 ^ mprj_io[13] (inout)
+     1   11.12                           mprj_io[13] (net)
+                  4.00    0.00   20.00 ^ padframe/mprj_pads.area1_io_pad[13]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[13] (net)
+                  0.07    3.31   23.31 ^ padframe/mprj_pads.area1_io_pad[13]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[13] (net)
+                  0.07    0.00   23.31 ^ gpio_control_in_1[5]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11   23.42 ^ gpio_control_in_1[5]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[5]/net3 (net)
+                  0.03    0.00   23.42 ^ gpio_control_in_1[5]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22   23.64 ^ gpio_control_in_1[5]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[5]/net22 (net)
+                  0.15    0.00   23.64 ^ gpio_control_in_1[5]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12   23.76 ^ gpio_control_in_1[5]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[13] (net)
+                  0.03    0.00   23.76 ^ mprj/u_rp_east/u_rp[5].u_buf/A (sky130_fd_sc_hd__buf_2)
+                  0.31    0.29   24.05 ^ mprj/u_rp_east/u_rp[5].u_buf/X (sky130_fd_sc_hd__buf_2)
+     2    0.06                           mprj/u_rp_east/net2 (net)
+                  0.31    0.01   24.07 ^ mprj/u_rp_east/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.56    0.48   24.54 ^ mprj/u_rp_east/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.21                           mprj/ch_out_east[5] (net)
+                  0.63    0.16   24.70 ^ mprj/u_pinmux/input2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.16    0.24   24.94 ^ mprj/u_pinmux/input2/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_pinmux/net2 (net)
+                  0.16    0.00   24.94 ^ mprj/u_pinmux/_1223_/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.21    0.27   25.21 ^ mprj/u_pinmux/_1223_/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.04                           mprj/u_pinmux/net77 (net)
+                  0.21    0.00   25.21 ^ mprj/u_pinmux/output77/A (sky130_fd_sc_hd__buf_2)
+                  0.16    0.25   25.46 ^ mprj/u_pinmux/output77/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/mac_rx_dv (net)
+                  0.16    0.00   25.47 ^ mprj/u_mac_wrap/hold42/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.05    0.59   26.05 ^ mprj/u_mac_wrap/hold42/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.00                           mprj/u_mac_wrap/net879 (net)
+                  0.05    0.00   26.05 ^ mprj/u_mac_wrap/hold25/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.14    0.63   26.69 ^ mprj/u_mac_wrap/hold25/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net862 (net)
+                  0.14    0.00   26.69 ^ mprj/u_mac_wrap/hold22/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.10    0.62   27.31 ^ mprj/u_mac_wrap/hold22/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net859 (net)
+                  0.10    0.00   27.31 ^ mprj/u_mac_wrap/hold26/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.14    0.65   27.96 ^ mprj/u_mac_wrap/hold26/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net863 (net)
+                  0.14    0.00   27.96 ^ mprj/u_mac_wrap/input6/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.14   28.09 ^ mprj/u_mac_wrap/input6/X (sky130_fd_sc_hd__buf_2)
+     1    0.00                           mprj/u_mac_wrap/net6 (net)
+                  0.03    0.00   28.09 ^ mprj/u_mac_wrap/hold27/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.11    0.61   28.70 ^ mprj/u_mac_wrap/hold27/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net864 (net)
+                  0.11    0.00   28.70 ^ mprj/u_mac_wrap/hold23/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.15    0.66   29.36 ^ mprj/u_mac_wrap/hold23/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net860 (net)
+                  0.15    0.00   29.36 ^ mprj/u_mac_wrap/hold28/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.20    0.70   30.06 ^ mprj/u_mac_wrap/hold28/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     5    0.02                           mprj/u_mac_wrap/net865 (net)
+                  0.20    0.00   30.07 ^ mprj/u_mac_wrap/_04676_/C (sky130_fd_sc_hd__or4b_1)
+                  0.13    0.22   30.28 ^ mprj/u_mac_wrap/_04676_/X (sky130_fd_sc_hd__or4b_1)
+     2    0.01                           mprj/u_mac_wrap/_01969_ (net)
+                  0.13    0.00   30.28 ^ mprj/u_mac_wrap/fanout354/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.22    0.25   30.53 ^ mprj/u_mac_wrap/fanout354/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     6    0.02                           mprj/u_mac_wrap/net354 (net)
+                  0.22    0.00   30.53 ^ mprj/u_mac_wrap/fanout353/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.27   30.80 ^ mprj/u_mac_wrap/fanout353/X (sky130_fd_sc_hd__clkbuf_2)
+    10    0.03                           mprj/u_mac_wrap/net353 (net)
+                  0.19    0.00   30.80 ^ mprj/u_mac_wrap/_04698_/B1_N (sky130_fd_sc_hd__a21boi_1)
+                  0.11    0.19   30.99 ^ mprj/u_mac_wrap/_04698_/Y (sky130_fd_sc_hd__a21boi_1)
+     1    0.00                           mprj/u_mac_wrap/_01984_ (net)
+                  0.11    0.00   30.99 ^ mprj/u_mac_wrap/_04699_/A1_N (sky130_fd_sc_hd__o2bb2a_1)
+                  0.05    0.23   31.21 v mprj/u_mac_wrap/_04699_/X (sky130_fd_sc_hd__o2bb2a_1)
+     1    0.00                           mprj/u_mac_wrap/_00049_ (net)
+                  0.05    0.00   31.21 v mprj/u_mac_wrap/_08985_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 31.21   data arrival time
+
+                         40.00   40.00   clock mac_rx_clk (rise edge)
+                          0.00   40.00   clock source latency
+                  1.00    0.00   40.00 ^ mprj_io[12] (inout)
+     1    6.12                           mprj_io[12] (net)
+                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[12] (net)
+                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00   41.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10   41.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00   41.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21   41.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12   41.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00   41.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81   42.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21   42.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42   43.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01   43.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15   43.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00   43.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32   43.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00   43.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28   44.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00   44.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21   44.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01   44.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16   44.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00   44.41 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   44.52 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
+                  0.03    0.00   44.52 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.14   44.66 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_1_1_1_phy_rx_clk (net)
+                  0.07    0.00   44.66 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15   44.81 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
+                  0.06    0.00   44.81 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.20    0.24   45.05 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_mac_wrap/clknet_3_5_0_phy_rx_clk (net)
+                  0.20    0.00   45.05 ^ mprj/u_mac_wrap/clkbuf_opt_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17   45.22 ^ mprj/u_mac_wrap/clkbuf_opt_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mac_wrap/clknet_opt_1_0_phy_rx_clk (net)
+                  0.04    0.00   45.22 ^ mprj/u_mac_wrap/clkbuf_leaf_65_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12   45.34 ^ mprj/u_mac_wrap/clkbuf_leaf_65_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.02                           mprj/u_mac_wrap/clknet_leaf_65_phy_rx_clk (net)
+                  0.05    0.00   45.34 ^ mprj/u_mac_wrap/_08985_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   45.09   clock uncertainty
+                          0.00   45.09   clock reconvergence pessimism
+                         -0.12   44.98   library setup time
+                                 44.98   data required time
+-----------------------------------------------------------------------------
+                                 44.98   data required time
+                                -31.21   data arrival time
+-----------------------------------------------------------------------------
+                                 13.76   slack (MET)
+
+
+Startpoint: mprj_io[13] (input port clocked by pad_mac_rx_clk)
+Endpoint: mprj/u_mac_wrap/_08980_
+          (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Path Group: mac_rx_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock pad_mac_rx_clk (rise edge)
+                          0.00    0.00   clock network delay (propagated)
+                         20.00   20.00 ^ input external delay
+                  4.00    0.00   20.00 ^ mprj_io[13] (inout)
+     1   11.12                           mprj_io[13] (net)
+                  4.00    0.00   20.00 ^ padframe/mprj_pads.area1_io_pad[13]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[13] (net)
+                  0.07    3.31   23.31 ^ padframe/mprj_pads.area1_io_pad[13]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[13] (net)
+                  0.07    0.00   23.31 ^ gpio_control_in_1[5]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11   23.42 ^ gpio_control_in_1[5]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[5]/net3 (net)
+                  0.03    0.00   23.42 ^ gpio_control_in_1[5]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22   23.64 ^ gpio_control_in_1[5]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[5]/net22 (net)
+                  0.15    0.00   23.64 ^ gpio_control_in_1[5]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12   23.76 ^ gpio_control_in_1[5]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[13] (net)
+                  0.03    0.00   23.76 ^ mprj/u_rp_east/u_rp[5].u_buf/A (sky130_fd_sc_hd__buf_2)
+                  0.31    0.29   24.05 ^ mprj/u_rp_east/u_rp[5].u_buf/X (sky130_fd_sc_hd__buf_2)
+     2    0.06                           mprj/u_rp_east/net2 (net)
+                  0.31    0.01   24.07 ^ mprj/u_rp_east/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.56    0.48   24.54 ^ mprj/u_rp_east/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.21                           mprj/ch_out_east[5] (net)
+                  0.63    0.16   24.70 ^ mprj/u_pinmux/input2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.16    0.24   24.94 ^ mprj/u_pinmux/input2/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_pinmux/net2 (net)
+                  0.16    0.00   24.94 ^ mprj/u_pinmux/_1223_/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.21    0.27   25.21 ^ mprj/u_pinmux/_1223_/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.04                           mprj/u_pinmux/net77 (net)
+                  0.21    0.00   25.21 ^ mprj/u_pinmux/output77/A (sky130_fd_sc_hd__buf_2)
+                  0.16    0.25   25.46 ^ mprj/u_pinmux/output77/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/mac_rx_dv (net)
+                  0.16    0.00   25.47 ^ mprj/u_mac_wrap/hold42/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.05    0.59   26.05 ^ mprj/u_mac_wrap/hold42/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.00                           mprj/u_mac_wrap/net879 (net)
+                  0.05    0.00   26.05 ^ mprj/u_mac_wrap/hold25/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.14    0.63   26.69 ^ mprj/u_mac_wrap/hold25/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net862 (net)
+                  0.14    0.00   26.69 ^ mprj/u_mac_wrap/hold22/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.10    0.62   27.31 ^ mprj/u_mac_wrap/hold22/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net859 (net)
+                  0.10    0.00   27.31 ^ mprj/u_mac_wrap/hold26/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.14    0.65   27.96 ^ mprj/u_mac_wrap/hold26/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net863 (net)
+                  0.14    0.00   27.96 ^ mprj/u_mac_wrap/input6/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.14   28.09 ^ mprj/u_mac_wrap/input6/X (sky130_fd_sc_hd__buf_2)
+     1    0.00                           mprj/u_mac_wrap/net6 (net)
+                  0.03    0.00   28.09 ^ mprj/u_mac_wrap/hold27/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.11    0.61   28.70 ^ mprj/u_mac_wrap/hold27/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net864 (net)
+                  0.11    0.00   28.70 ^ mprj/u_mac_wrap/hold23/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.15    0.66   29.36 ^ mprj/u_mac_wrap/hold23/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net860 (net)
+                  0.15    0.00   29.36 ^ mprj/u_mac_wrap/hold28/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.20    0.70   30.06 ^ mprj/u_mac_wrap/hold28/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     5    0.02                           mprj/u_mac_wrap/net865 (net)
+                  0.20    0.00   30.07 ^ mprj/u_mac_wrap/_04676_/C (sky130_fd_sc_hd__or4b_1)
+                  0.13    0.22   30.28 ^ mprj/u_mac_wrap/_04676_/X (sky130_fd_sc_hd__or4b_1)
+     2    0.01                           mprj/u_mac_wrap/_01969_ (net)
+                  0.13    0.00   30.28 ^ mprj/u_mac_wrap/fanout354/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.22    0.25   30.53 ^ mprj/u_mac_wrap/fanout354/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     6    0.02                           mprj/u_mac_wrap/net354 (net)
+                  0.22    0.00   30.53 ^ mprj/u_mac_wrap/fanout353/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.27   30.80 ^ mprj/u_mac_wrap/fanout353/X (sky130_fd_sc_hd__clkbuf_2)
+    10    0.03                           mprj/u_mac_wrap/net353 (net)
+                  0.19    0.00   30.80 ^ mprj/u_mac_wrap/_04688_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.35   31.15 v mprj/u_mac_wrap/_04688_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00044_ (net)
+                  0.05    0.00   31.15 v mprj/u_mac_wrap/_08980_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 31.15   data arrival time
+
+                         40.00   40.00   clock mac_rx_clk (rise edge)
+                          0.00   40.00   clock source latency
+                  1.00    0.00   40.00 ^ mprj_io[12] (inout)
+     1    6.12                           mprj_io[12] (net)
+                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[12] (net)
+                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00   41.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10   41.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00   41.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21   41.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12   41.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00   41.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81   42.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21   42.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42   43.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01   43.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15   43.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00   43.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32   43.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00   43.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28   44.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00   44.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21   44.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01   44.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16   44.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00   44.41 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   44.52 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
+                  0.03    0.00   44.52 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.14   44.66 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_1_1_1_phy_rx_clk (net)
+                  0.07    0.00   44.66 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15   44.81 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
+                  0.06    0.00   44.81 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.20    0.24   45.05 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_mac_wrap/clknet_3_5_0_phy_rx_clk (net)
+                  0.20    0.00   45.05 ^ mprj/u_mac_wrap/clkbuf_opt_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17   45.22 ^ mprj/u_mac_wrap/clkbuf_opt_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mac_wrap/clknet_opt_1_0_phy_rx_clk (net)
+                  0.04    0.00   45.22 ^ mprj/u_mac_wrap/clkbuf_leaf_65_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12   45.34 ^ mprj/u_mac_wrap/clkbuf_leaf_65_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.02                           mprj/u_mac_wrap/clknet_leaf_65_phy_rx_clk (net)
+                  0.05    0.00   45.34 ^ mprj/u_mac_wrap/_08980_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   45.09   clock uncertainty
+                          0.00   45.09   clock reconvergence pessimism
+                         -0.12   44.98   library setup time
+                                 44.98   data required time
+-----------------------------------------------------------------------------
+                                 44.98   data required time
+                                -31.15   data arrival time
+-----------------------------------------------------------------------------
+                                 13.82   slack (MET)
+
+
+Startpoint: mprj_io[13] (input port clocked by pad_mac_rx_clk)
+Endpoint: mprj/u_mac_wrap/_08981_
+          (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Path Group: mac_rx_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock pad_mac_rx_clk (rise edge)
+                          0.00    0.00   clock network delay (propagated)
+                         20.00   20.00 ^ input external delay
+                  4.00    0.00   20.00 ^ mprj_io[13] (inout)
+     1   11.12                           mprj_io[13] (net)
+                  4.00    0.00   20.00 ^ padframe/mprj_pads.area1_io_pad[13]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[13] (net)
+                  0.07    3.31   23.31 ^ padframe/mprj_pads.area1_io_pad[13]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[13] (net)
+                  0.07    0.00   23.31 ^ gpio_control_in_1[5]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11   23.42 ^ gpio_control_in_1[5]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[5]/net3 (net)
+                  0.03    0.00   23.42 ^ gpio_control_in_1[5]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22   23.64 ^ gpio_control_in_1[5]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[5]/net22 (net)
+                  0.15    0.00   23.64 ^ gpio_control_in_1[5]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12   23.76 ^ gpio_control_in_1[5]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[13] (net)
+                  0.03    0.00   23.76 ^ mprj/u_rp_east/u_rp[5].u_buf/A (sky130_fd_sc_hd__buf_2)
+                  0.31    0.29   24.05 ^ mprj/u_rp_east/u_rp[5].u_buf/X (sky130_fd_sc_hd__buf_2)
+     2    0.06                           mprj/u_rp_east/net2 (net)
+                  0.31    0.01   24.07 ^ mprj/u_rp_east/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.56    0.48   24.54 ^ mprj/u_rp_east/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.21                           mprj/ch_out_east[5] (net)
+                  0.63    0.16   24.70 ^ mprj/u_pinmux/input2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.16    0.24   24.94 ^ mprj/u_pinmux/input2/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_pinmux/net2 (net)
+                  0.16    0.00   24.94 ^ mprj/u_pinmux/_1223_/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.21    0.27   25.21 ^ mprj/u_pinmux/_1223_/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.04                           mprj/u_pinmux/net77 (net)
+                  0.21    0.00   25.21 ^ mprj/u_pinmux/output77/A (sky130_fd_sc_hd__buf_2)
+                  0.16    0.25   25.46 ^ mprj/u_pinmux/output77/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/mac_rx_dv (net)
+                  0.16    0.00   25.47 ^ mprj/u_mac_wrap/hold42/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.05    0.59   26.05 ^ mprj/u_mac_wrap/hold42/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.00                           mprj/u_mac_wrap/net879 (net)
+                  0.05    0.00   26.05 ^ mprj/u_mac_wrap/hold25/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.14    0.63   26.69 ^ mprj/u_mac_wrap/hold25/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net862 (net)
+                  0.14    0.00   26.69 ^ mprj/u_mac_wrap/hold22/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.10    0.62   27.31 ^ mprj/u_mac_wrap/hold22/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net859 (net)
+                  0.10    0.00   27.31 ^ mprj/u_mac_wrap/hold26/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.14    0.65   27.96 ^ mprj/u_mac_wrap/hold26/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net863 (net)
+                  0.14    0.00   27.96 ^ mprj/u_mac_wrap/input6/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.14   28.09 ^ mprj/u_mac_wrap/input6/X (sky130_fd_sc_hd__buf_2)
+     1    0.00                           mprj/u_mac_wrap/net6 (net)
+                  0.03    0.00   28.09 ^ mprj/u_mac_wrap/hold27/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.11    0.61   28.70 ^ mprj/u_mac_wrap/hold27/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net864 (net)
+                  0.11    0.00   28.70 ^ mprj/u_mac_wrap/hold23/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.15    0.66   29.36 ^ mprj/u_mac_wrap/hold23/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net860 (net)
+                  0.15    0.00   29.36 ^ mprj/u_mac_wrap/hold28/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.20    0.70   30.06 ^ mprj/u_mac_wrap/hold28/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     5    0.02                           mprj/u_mac_wrap/net865 (net)
+                  0.20    0.00   30.07 ^ mprj/u_mac_wrap/_04676_/C (sky130_fd_sc_hd__or4b_1)
+                  0.13    0.22   30.28 ^ mprj/u_mac_wrap/_04676_/X (sky130_fd_sc_hd__or4b_1)
+     2    0.01                           mprj/u_mac_wrap/_01969_ (net)
+                  0.13    0.00   30.28 ^ mprj/u_mac_wrap/fanout354/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.22    0.25   30.53 ^ mprj/u_mac_wrap/fanout354/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     6    0.02                           mprj/u_mac_wrap/net354 (net)
+                  0.22    0.00   30.53 ^ mprj/u_mac_wrap/fanout353/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.27   30.80 ^ mprj/u_mac_wrap/fanout353/X (sky130_fd_sc_hd__clkbuf_2)
+    10    0.03                           mprj/u_mac_wrap/net353 (net)
+                  0.19    0.00   30.80 ^ mprj/u_mac_wrap/_04690_/A (sky130_fd_sc_hd__nand2_1)
+                  0.06    0.08   30.88 v mprj/u_mac_wrap/_04690_/Y (sky130_fd_sc_hd__nand2_1)
+     1    0.00                           mprj/u_mac_wrap/_01980_ (net)
+                  0.06    0.00   30.88 v mprj/u_mac_wrap/_04691_/B2 (sky130_fd_sc_hd__o22a_1)
+                  0.04    0.16   31.04 v mprj/u_mac_wrap/_04691_/X (sky130_fd_sc_hd__o22a_1)
+     1    0.00                           mprj/u_mac_wrap/_00045_ (net)
+                  0.04    0.00   31.04 v mprj/u_mac_wrap/_08981_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 31.04   data arrival time
+
+                         40.00   40.00   clock mac_rx_clk (rise edge)
+                          0.00   40.00   clock source latency
+                  1.00    0.00   40.00 ^ mprj_io[12] (inout)
+     1    6.12                           mprj_io[12] (net)
+                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[12] (net)
+                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00   41.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10   41.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00   41.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21   41.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12   41.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00   41.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81   42.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21   42.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42   43.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01   43.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15   43.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00   43.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32   43.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00   43.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28   44.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00   44.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21   44.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01   44.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16   44.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00   44.41 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   44.52 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
+                  0.03    0.00   44.52 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.14   44.66 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_1_1_1_phy_rx_clk (net)
+                  0.07    0.00   44.66 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15   44.81 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
+                  0.06    0.00   44.81 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.20    0.24   45.05 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_mac_wrap/clknet_3_5_0_phy_rx_clk (net)
+                  0.20    0.00   45.05 ^ mprj/u_mac_wrap/clkbuf_opt_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17   45.22 ^ mprj/u_mac_wrap/clkbuf_opt_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mac_wrap/clknet_opt_1_0_phy_rx_clk (net)
+                  0.04    0.00   45.22 ^ mprj/u_mac_wrap/clkbuf_leaf_65_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12   45.34 ^ mprj/u_mac_wrap/clkbuf_leaf_65_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.02                           mprj/u_mac_wrap/clknet_leaf_65_phy_rx_clk (net)
+                  0.05    0.00   45.34 ^ mprj/u_mac_wrap/_08981_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   45.09   clock uncertainty
+                          0.00   45.09   clock reconvergence pessimism
+                         -0.11   44.98   library setup time
+                                 44.98   data required time
+-----------------------------------------------------------------------------
+                                 44.98   data required time
+                                -31.04   data arrival time
+-----------------------------------------------------------------------------
+                                 13.94   slack (MET)
+
+
+Startpoint: mprj_io[13] (input port clocked by pad_mac_rx_clk)
+Endpoint: mprj/u_mac_wrap/_08986_
+          (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Path Group: mac_rx_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock pad_mac_rx_clk (rise edge)
+                          0.00    0.00   clock network delay (propagated)
+                         20.00   20.00 ^ input external delay
+                  4.00    0.00   20.00 ^ mprj_io[13] (inout)
+     1   11.12                           mprj_io[13] (net)
+                  4.00    0.00   20.00 ^ padframe/mprj_pads.area1_io_pad[13]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[13] (net)
+                  0.07    3.31   23.31 ^ padframe/mprj_pads.area1_io_pad[13]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[13] (net)
+                  0.07    0.00   23.31 ^ gpio_control_in_1[5]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11   23.42 ^ gpio_control_in_1[5]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[5]/net3 (net)
+                  0.03    0.00   23.42 ^ gpio_control_in_1[5]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22   23.64 ^ gpio_control_in_1[5]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[5]/net22 (net)
+                  0.15    0.00   23.64 ^ gpio_control_in_1[5]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12   23.76 ^ gpio_control_in_1[5]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[13] (net)
+                  0.03    0.00   23.76 ^ mprj/u_rp_east/u_rp[5].u_buf/A (sky130_fd_sc_hd__buf_2)
+                  0.31    0.29   24.05 ^ mprj/u_rp_east/u_rp[5].u_buf/X (sky130_fd_sc_hd__buf_2)
+     2    0.06                           mprj/u_rp_east/net2 (net)
+                  0.31    0.01   24.07 ^ mprj/u_rp_east/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.56    0.48   24.54 ^ mprj/u_rp_east/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.21                           mprj/ch_out_east[5] (net)
+                  0.63    0.16   24.70 ^ mprj/u_pinmux/input2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.16    0.24   24.94 ^ mprj/u_pinmux/input2/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_pinmux/net2 (net)
+                  0.16    0.00   24.94 ^ mprj/u_pinmux/_1223_/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.21    0.27   25.21 ^ mprj/u_pinmux/_1223_/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.04                           mprj/u_pinmux/net77 (net)
+                  0.21    0.00   25.21 ^ mprj/u_pinmux/output77/A (sky130_fd_sc_hd__buf_2)
+                  0.16    0.25   25.46 ^ mprj/u_pinmux/output77/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/mac_rx_dv (net)
+                  0.16    0.00   25.47 ^ mprj/u_mac_wrap/hold42/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.05    0.59   26.05 ^ mprj/u_mac_wrap/hold42/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.00                           mprj/u_mac_wrap/net879 (net)
+                  0.05    0.00   26.05 ^ mprj/u_mac_wrap/hold25/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.14    0.63   26.69 ^ mprj/u_mac_wrap/hold25/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net862 (net)
+                  0.14    0.00   26.69 ^ mprj/u_mac_wrap/hold22/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.10    0.62   27.31 ^ mprj/u_mac_wrap/hold22/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net859 (net)
+                  0.10    0.00   27.31 ^ mprj/u_mac_wrap/hold26/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.14    0.65   27.96 ^ mprj/u_mac_wrap/hold26/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net863 (net)
+                  0.14    0.00   27.96 ^ mprj/u_mac_wrap/input6/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.14   28.09 ^ mprj/u_mac_wrap/input6/X (sky130_fd_sc_hd__buf_2)
+     1    0.00                           mprj/u_mac_wrap/net6 (net)
+                  0.03    0.00   28.09 ^ mprj/u_mac_wrap/hold27/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.11    0.61   28.70 ^ mprj/u_mac_wrap/hold27/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net864 (net)
+                  0.11    0.00   28.70 ^ mprj/u_mac_wrap/hold23/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.15    0.66   29.36 ^ mprj/u_mac_wrap/hold23/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net860 (net)
+                  0.15    0.00   29.36 ^ mprj/u_mac_wrap/hold28/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.20    0.70   30.06 ^ mprj/u_mac_wrap/hold28/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     5    0.02                           mprj/u_mac_wrap/net865 (net)
+                  0.20    0.00   30.07 ^ mprj/u_mac_wrap/_04676_/C (sky130_fd_sc_hd__or4b_1)
+                  0.13    0.22   30.28 ^ mprj/u_mac_wrap/_04676_/X (sky130_fd_sc_hd__or4b_1)
+     2    0.01                           mprj/u_mac_wrap/_01969_ (net)
+                  0.13    0.00   30.28 ^ mprj/u_mac_wrap/fanout354/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.22    0.25   30.53 ^ mprj/u_mac_wrap/fanout354/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     6    0.02                           mprj/u_mac_wrap/net354 (net)
+                  0.22    0.00   30.53 ^ mprj/u_mac_wrap/_04700_/B1_N (sky130_fd_sc_hd__a21bo_1)
+                  0.04    0.24   30.77 v mprj/u_mac_wrap/_04700_/X (sky130_fd_sc_hd__a21bo_1)
+     1    0.00                           mprj/u_mac_wrap/_01985_ (net)
+                  0.04    0.00   30.77 v mprj/u_mac_wrap/_04701_/B2 (sky130_fd_sc_hd__o22a_1)
+                  0.04    0.15   30.93 v mprj/u_mac_wrap/_04701_/X (sky130_fd_sc_hd__o22a_1)
+     1    0.00                           mprj/u_mac_wrap/_00050_ (net)
+                  0.04    0.00   30.93 v mprj/u_mac_wrap/_08986_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 30.93   data arrival time
+
+                         40.00   40.00   clock mac_rx_clk (rise edge)
+                          0.00   40.00   clock source latency
+                  1.00    0.00   40.00 ^ mprj_io[12] (inout)
+     1    6.12                           mprj_io[12] (net)
+                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[12] (net)
+                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00   41.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10   41.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00   41.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21   41.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12   41.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00   41.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81   42.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21   42.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42   43.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01   43.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15   43.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00   43.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32   43.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00   43.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28   44.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00   44.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21   44.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01   44.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16   44.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00   44.41 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   44.52 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
+                  0.03    0.00   44.52 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.14   44.66 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_1_1_1_phy_rx_clk (net)
+                  0.07    0.00   44.66 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15   44.81 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
+                  0.06    0.00   44.81 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.20    0.24   45.05 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_mac_wrap/clknet_3_5_0_phy_rx_clk (net)
+                  0.20    0.00   45.05 ^ mprj/u_mac_wrap/clkbuf_opt_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17   45.22 ^ mprj/u_mac_wrap/clkbuf_opt_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mac_wrap/clknet_opt_2_0_phy_rx_clk (net)
+                  0.04    0.00   45.22 ^ mprj/u_mac_wrap/clkbuf_leaf_66_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12   45.34 ^ mprj/u_mac_wrap/clkbuf_leaf_66_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.02                           mprj/u_mac_wrap/clknet_leaf_66_phy_rx_clk (net)
+                  0.04    0.00   45.34 ^ mprj/u_mac_wrap/_08986_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   45.09   clock uncertainty
+                          0.00   45.09   clock reconvergence pessimism
+                         -0.11   44.98   library setup time
+                                 44.98   data required time
+-----------------------------------------------------------------------------
+                                 44.98   data required time
+                                -30.93   data arrival time
+-----------------------------------------------------------------------------
+                                 14.05   slack (MET)
+
+
+Startpoint: mprj_io[13] (input port clocked by pad_mac_rx_clk)
+Endpoint: mprj/u_mac_wrap/_09455_
+          (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Path Group: mac_rx_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock pad_mac_rx_clk (rise edge)
+                          0.00    0.00   clock network delay (propagated)
+                         20.00   20.00 ^ input external delay
+                  4.00    0.00   20.00 ^ mprj_io[13] (inout)
+     1   11.12                           mprj_io[13] (net)
+                  4.00    0.00   20.00 ^ padframe/mprj_pads.area1_io_pad[13]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[13] (net)
+                  0.07    3.31   23.31 ^ padframe/mprj_pads.area1_io_pad[13]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[13] (net)
+                  0.07    0.00   23.31 ^ gpio_control_in_1[5]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11   23.42 ^ gpio_control_in_1[5]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[5]/net3 (net)
+                  0.03    0.00   23.42 ^ gpio_control_in_1[5]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22   23.64 ^ gpio_control_in_1[5]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[5]/net22 (net)
+                  0.15    0.00   23.64 ^ gpio_control_in_1[5]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12   23.76 ^ gpio_control_in_1[5]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[13] (net)
+                  0.03    0.00   23.76 ^ mprj/u_rp_east/u_rp[5].u_buf/A (sky130_fd_sc_hd__buf_2)
+                  0.31    0.29   24.05 ^ mprj/u_rp_east/u_rp[5].u_buf/X (sky130_fd_sc_hd__buf_2)
+     2    0.06                           mprj/u_rp_east/net2 (net)
+                  0.31    0.01   24.07 ^ mprj/u_rp_east/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.56    0.48   24.54 ^ mprj/u_rp_east/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.21                           mprj/ch_out_east[5] (net)
+                  0.63    0.16   24.70 ^ mprj/u_pinmux/input2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.16    0.24   24.94 ^ mprj/u_pinmux/input2/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_pinmux/net2 (net)
+                  0.16    0.00   24.94 ^ mprj/u_pinmux/_1223_/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.21    0.27   25.21 ^ mprj/u_pinmux/_1223_/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.04                           mprj/u_pinmux/net77 (net)
+                  0.21    0.00   25.21 ^ mprj/u_pinmux/output77/A (sky130_fd_sc_hd__buf_2)
+                  0.16    0.25   25.46 ^ mprj/u_pinmux/output77/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/mac_rx_dv (net)
+                  0.16    0.00   25.47 ^ mprj/u_mac_wrap/hold42/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.05    0.59   26.05 ^ mprj/u_mac_wrap/hold42/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.00                           mprj/u_mac_wrap/net879 (net)
+                  0.05    0.00   26.05 ^ mprj/u_mac_wrap/hold25/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.14    0.63   26.69 ^ mprj/u_mac_wrap/hold25/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net862 (net)
+                  0.14    0.00   26.69 ^ mprj/u_mac_wrap/hold22/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.10    0.62   27.31 ^ mprj/u_mac_wrap/hold22/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net859 (net)
+                  0.10    0.00   27.31 ^ mprj/u_mac_wrap/hold26/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.14    0.65   27.96 ^ mprj/u_mac_wrap/hold26/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net863 (net)
+                  0.14    0.00   27.96 ^ mprj/u_mac_wrap/input6/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.14   28.09 ^ mprj/u_mac_wrap/input6/X (sky130_fd_sc_hd__buf_2)
+     1    0.00                           mprj/u_mac_wrap/net6 (net)
+                  0.03    0.00   28.09 ^ mprj/u_mac_wrap/hold27/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.11    0.61   28.70 ^ mprj/u_mac_wrap/hold27/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net864 (net)
+                  0.11    0.00   28.70 ^ mprj/u_mac_wrap/hold23/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.15    0.66   29.36 ^ mprj/u_mac_wrap/hold23/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net860 (net)
+                  0.15    0.00   29.36 ^ mprj/u_mac_wrap/hold28/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.20    0.70   30.06 ^ mprj/u_mac_wrap/hold28/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     5    0.02                           mprj/u_mac_wrap/net865 (net)
+                  0.20    0.00   30.07 ^ mprj/u_mac_wrap/_04824_/C_N (sky130_fd_sc_hd__nor3b_1)
+                  0.14    0.20   30.26 ^ mprj/u_mac_wrap/_04824_/Y (sky130_fd_sc_hd__nor3b_1)
+     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.U_deferral_rx.nxt_dfl_st[4] (net)
+                  0.14    0.00   30.26 ^ mprj/u_mac_wrap/hold24/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.05    0.58   30.84 ^ mprj/u_mac_wrap/hold24/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.00                           mprj/u_mac_wrap/net861 (net)
+                  0.05    0.00   30.84 ^ mprj/u_mac_wrap/_09455_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 30.84   data arrival time
+
+                         40.00   40.00   clock mac_rx_clk (rise edge)
+                          0.00   40.00   clock source latency
+                  1.00    0.00   40.00 ^ mprj_io[12] (inout)
+     1    6.12                           mprj_io[12] (net)
+                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[12] (net)
+                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00   41.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10   41.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00   41.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21   41.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12   41.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00   41.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81   42.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21   42.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42   43.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01   43.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15   43.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00   43.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32   43.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00   43.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28   44.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00   44.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21   44.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01   44.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16   44.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00   44.41 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   44.52 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
+                  0.03    0.00   44.52 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.14   44.66 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_1_1_1_phy_rx_clk (net)
+                  0.07    0.00   44.66 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15   44.81 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
+                  0.06    0.00   44.81 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.20    0.24   45.05 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_mac_wrap/clknet_3_5_0_phy_rx_clk (net)
+                  0.20    0.00   45.05 ^ mprj/u_mac_wrap/clkbuf_leaf_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.18   45.23 ^ mprj/u_mac_wrap/clkbuf_leaf_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_mac_wrap/clknet_leaf_1_phy_rx_clk (net)
+                  0.05    0.00   45.23 ^ mprj/u_mac_wrap/_09455_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   44.98   clock uncertainty
+                          0.00   44.98   clock reconvergence pessimism
+                         -0.06   44.92   library setup time
+                                 44.92   data required time
+-----------------------------------------------------------------------------
+                                 44.92   data required time
+                                -30.84   data arrival time
+-----------------------------------------------------------------------------
+                                 14.08   slack (MET)
+
+
+Startpoint: mprj_io[13] (input port clocked by pad_mac_rx_clk)
+Endpoint: mprj/u_mac_wrap/_08979_
+          (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Path Group: mac_rx_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock pad_mac_rx_clk (rise edge)
+                          0.00    0.00   clock network delay (propagated)
+                         20.00   20.00 ^ input external delay
+                  4.00    0.00   20.00 ^ mprj_io[13] (inout)
+     1   11.12                           mprj_io[13] (net)
+                  4.00    0.00   20.00 ^ padframe/mprj_pads.area1_io_pad[13]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[13] (net)
+                  0.07    3.31   23.31 ^ padframe/mprj_pads.area1_io_pad[13]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[13] (net)
+                  0.07    0.00   23.31 ^ gpio_control_in_1[5]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11   23.42 ^ gpio_control_in_1[5]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[5]/net3 (net)
+                  0.03    0.00   23.42 ^ gpio_control_in_1[5]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22   23.64 ^ gpio_control_in_1[5]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[5]/net22 (net)
+                  0.15    0.00   23.64 ^ gpio_control_in_1[5]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12   23.76 ^ gpio_control_in_1[5]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[13] (net)
+                  0.03    0.00   23.76 ^ mprj/u_rp_east/u_rp[5].u_buf/A (sky130_fd_sc_hd__buf_2)
+                  0.31    0.29   24.05 ^ mprj/u_rp_east/u_rp[5].u_buf/X (sky130_fd_sc_hd__buf_2)
+     2    0.06                           mprj/u_rp_east/net2 (net)
+                  0.31    0.01   24.07 ^ mprj/u_rp_east/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.56    0.48   24.54 ^ mprj/u_rp_east/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.21                           mprj/ch_out_east[5] (net)
+                  0.63    0.16   24.70 ^ mprj/u_pinmux/input2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.16    0.24   24.94 ^ mprj/u_pinmux/input2/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_pinmux/net2 (net)
+                  0.16    0.00   24.94 ^ mprj/u_pinmux/_1223_/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.21    0.27   25.21 ^ mprj/u_pinmux/_1223_/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.04                           mprj/u_pinmux/net77 (net)
+                  0.21    0.00   25.21 ^ mprj/u_pinmux/output77/A (sky130_fd_sc_hd__buf_2)
+                  0.16    0.25   25.46 ^ mprj/u_pinmux/output77/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/mac_rx_dv (net)
+                  0.16    0.00   25.47 ^ mprj/u_mac_wrap/hold42/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.05    0.59   26.05 ^ mprj/u_mac_wrap/hold42/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.00                           mprj/u_mac_wrap/net879 (net)
+                  0.05    0.00   26.05 ^ mprj/u_mac_wrap/hold25/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.14    0.63   26.69 ^ mprj/u_mac_wrap/hold25/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net862 (net)
+                  0.14    0.00   26.69 ^ mprj/u_mac_wrap/hold22/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.10    0.62   27.31 ^ mprj/u_mac_wrap/hold22/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net859 (net)
+                  0.10    0.00   27.31 ^ mprj/u_mac_wrap/hold26/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.14    0.65   27.96 ^ mprj/u_mac_wrap/hold26/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net863 (net)
+                  0.14    0.00   27.96 ^ mprj/u_mac_wrap/input6/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.14   28.09 ^ mprj/u_mac_wrap/input6/X (sky130_fd_sc_hd__buf_2)
+     1    0.00                           mprj/u_mac_wrap/net6 (net)
+                  0.03    0.00   28.09 ^ mprj/u_mac_wrap/hold27/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.11    0.61   28.70 ^ mprj/u_mac_wrap/hold27/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net864 (net)
+                  0.11    0.00   28.70 ^ mprj/u_mac_wrap/hold23/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.15    0.66   29.36 ^ mprj/u_mac_wrap/hold23/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net860 (net)
+                  0.15    0.00   29.36 ^ mprj/u_mac_wrap/hold28/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.20    0.70   30.06 ^ mprj/u_mac_wrap/hold28/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     5    0.02                           mprj/u_mac_wrap/net865 (net)
+                  0.20    0.00   30.07 ^ mprj/u_mac_wrap/_04676_/C (sky130_fd_sc_hd__or4b_1)
+                  0.13    0.22   30.28 ^ mprj/u_mac_wrap/_04676_/X (sky130_fd_sc_hd__or4b_1)
+     2    0.01                           mprj/u_mac_wrap/_01969_ (net)
+                  0.13    0.00   30.28 ^ mprj/u_mac_wrap/fanout354/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.22    0.25   30.53 ^ mprj/u_mac_wrap/fanout354/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     6    0.02                           mprj/u_mac_wrap/net354 (net)
+                  0.22    0.00   30.53 ^ mprj/u_mac_wrap/_04686_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.35   30.88 v mprj/u_mac_wrap/_04686_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00043_ (net)
+                  0.05    0.00   30.88 v mprj/u_mac_wrap/_08979_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 30.88   data arrival time
+
+                         40.00   40.00   clock mac_rx_clk (rise edge)
+                          0.00   40.00   clock source latency
+                  1.00    0.00   40.00 ^ mprj_io[12] (inout)
+     1    6.12                           mprj_io[12] (net)
+                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[12] (net)
+                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00   41.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10   41.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00   41.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21   41.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12   41.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00   41.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81   42.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21   42.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42   43.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01   43.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15   43.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00   43.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32   43.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00   43.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28   44.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00   44.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21   44.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01   44.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16   44.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00   44.41 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   44.52 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
+                  0.03    0.00   44.52 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.14   44.66 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_1_1_1_phy_rx_clk (net)
+                  0.07    0.00   44.66 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15   44.81 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
+                  0.06    0.00   44.81 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.20    0.24   45.05 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_mac_wrap/clknet_3_5_0_phy_rx_clk (net)
+                  0.20    0.00   45.05 ^ mprj/u_mac_wrap/clkbuf_opt_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17   45.22 ^ mprj/u_mac_wrap/clkbuf_opt_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mac_wrap/clknet_opt_1_0_phy_rx_clk (net)
+                  0.04    0.00   45.22 ^ mprj/u_mac_wrap/clkbuf_leaf_65_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12   45.34 ^ mprj/u_mac_wrap/clkbuf_leaf_65_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.02                           mprj/u_mac_wrap/clknet_leaf_65_phy_rx_clk (net)
+                  0.05    0.00   45.34 ^ mprj/u_mac_wrap/_08979_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   45.09   clock uncertainty
+                          0.00   45.09   clock reconvergence pessimism
+                         -0.12   44.98   library setup time
+                                 44.98   data required time
+-----------------------------------------------------------------------------
+                                 44.98   data required time
+                                -30.88   data arrival time
+-----------------------------------------------------------------------------
+                                 14.09   slack (MET)
+
+
+Startpoint: mprj_io[13] (input port clocked by pad_mac_rx_clk)
+Endpoint: mprj/u_mac_wrap/_09907_
+          (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Path Group: mac_rx_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock pad_mac_rx_clk (rise edge)
+                          0.00    0.00   clock network delay (propagated)
+                         20.00   20.00 ^ input external delay
+                  4.00    0.00   20.00 ^ mprj_io[13] (inout)
+     1   11.12                           mprj_io[13] (net)
+                  4.00    0.00   20.00 ^ padframe/mprj_pads.area1_io_pad[13]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[13] (net)
+                  0.07    3.31   23.31 ^ padframe/mprj_pads.area1_io_pad[13]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[13] (net)
+                  0.07    0.00   23.31 ^ gpio_control_in_1[5]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11   23.42 ^ gpio_control_in_1[5]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[5]/net3 (net)
+                  0.03    0.00   23.42 ^ gpio_control_in_1[5]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22   23.64 ^ gpio_control_in_1[5]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[5]/net22 (net)
+                  0.15    0.00   23.64 ^ gpio_control_in_1[5]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12   23.76 ^ gpio_control_in_1[5]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[13] (net)
+                  0.03    0.00   23.76 ^ mprj/u_rp_east/u_rp[5].u_buf/A (sky130_fd_sc_hd__buf_2)
+                  0.31    0.29   24.05 ^ mprj/u_rp_east/u_rp[5].u_buf/X (sky130_fd_sc_hd__buf_2)
+     2    0.06                           mprj/u_rp_east/net2 (net)
+                  0.31    0.01   24.07 ^ mprj/u_rp_east/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.56    0.48   24.54 ^ mprj/u_rp_east/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.21                           mprj/ch_out_east[5] (net)
+                  0.63    0.16   24.70 ^ mprj/u_pinmux/input2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.16    0.24   24.94 ^ mprj/u_pinmux/input2/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_pinmux/net2 (net)
+                  0.16    0.00   24.94 ^ mprj/u_pinmux/_1223_/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.21    0.27   25.21 ^ mprj/u_pinmux/_1223_/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.04                           mprj/u_pinmux/net77 (net)
+                  0.21    0.00   25.21 ^ mprj/u_pinmux/output77/A (sky130_fd_sc_hd__buf_2)
+                  0.16    0.25   25.46 ^ mprj/u_pinmux/output77/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/mac_rx_dv (net)
+                  0.16    0.00   25.47 ^ mprj/u_mac_wrap/hold42/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.05    0.59   26.05 ^ mprj/u_mac_wrap/hold42/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.00                           mprj/u_mac_wrap/net879 (net)
+                  0.05    0.00   26.05 ^ mprj/u_mac_wrap/hold25/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.14    0.63   26.69 ^ mprj/u_mac_wrap/hold25/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net862 (net)
+                  0.14    0.00   26.69 ^ mprj/u_mac_wrap/hold22/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.10    0.62   27.31 ^ mprj/u_mac_wrap/hold22/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net859 (net)
+                  0.10    0.00   27.31 ^ mprj/u_mac_wrap/hold26/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.14    0.65   27.96 ^ mprj/u_mac_wrap/hold26/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net863 (net)
+                  0.14    0.00   27.96 ^ mprj/u_mac_wrap/input6/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.14   28.09 ^ mprj/u_mac_wrap/input6/X (sky130_fd_sc_hd__buf_2)
+     1    0.00                           mprj/u_mac_wrap/net6 (net)
+                  0.03    0.00   28.09 ^ mprj/u_mac_wrap/hold27/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.11    0.61   28.70 ^ mprj/u_mac_wrap/hold27/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net864 (net)
+                  0.11    0.00   28.70 ^ mprj/u_mac_wrap/hold23/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.15    0.66   29.36 ^ mprj/u_mac_wrap/hold23/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net860 (net)
+                  0.15    0.00   29.36 ^ mprj/u_mac_wrap/hold28/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.20    0.70   30.06 ^ mprj/u_mac_wrap/hold28/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     5    0.02                           mprj/u_mac_wrap/net865 (net)
+                  0.20    0.00   30.07 ^ mprj/u_mac_wrap/_04723_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   30.23 ^ mprj/u_mac_wrap/_04723_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_mii_intf.U_dble_reg1.in_pulse (net)
+                  0.05    0.00   30.23 ^ mprj/u_mac_wrap/hold29/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.05    0.55   30.78 ^ mprj/u_mac_wrap/hold29/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.00                           mprj/u_mac_wrap/net866 (net)
+                  0.05    0.00   30.78 ^ mprj/u_mac_wrap/_09907_/D (sky130_fd_sc_hd__dfrtp_4)
+                                 30.78   data arrival time
+
+                         40.00   40.00   clock mac_rx_clk (rise edge)
+                          0.00   40.00   clock source latency
+                  1.00    0.00   40.00 ^ mprj_io[12] (inout)
+     1    6.12                           mprj_io[12] (net)
+                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[12] (net)
+                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00   41.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10   41.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00   41.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21   41.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12   41.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00   41.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81   42.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21   42.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42   43.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01   43.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15   43.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00   43.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32   43.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00   43.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28   44.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00   44.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21   44.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01   44.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16   44.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00   44.41 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   44.52 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
+                  0.03    0.00   44.52 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.14   44.66 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_1_1_1_phy_rx_clk (net)
+                  0.07    0.00   44.66 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15   44.81 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
+                  0.06    0.00   44.81 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.20    0.24   45.05 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_mac_wrap/clknet_3_5_0_phy_rx_clk (net)
+                  0.20    0.01   45.05 ^ mprj/u_mac_wrap/clkbuf_leaf_3_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.18   45.24 ^ mprj/u_mac_wrap/clkbuf_leaf_3_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    11    0.04                           mprj/u_mac_wrap/clknet_leaf_3_phy_rx_clk (net)
+                  0.06    0.00   45.24 ^ mprj/u_mac_wrap/_09907_/CLK (sky130_fd_sc_hd__dfrtp_4)
+                         -0.25   44.99   clock uncertainty
+                          0.00   44.99   clock reconvergence pessimism
+                         -0.06   44.93   library setup time
+                                 44.93   data required time
+-----------------------------------------------------------------------------
+                                 44.93   data required time
+                                -30.78   data arrival time
+-----------------------------------------------------------------------------
+                                 14.14   slack (MET)
+
+
+Startpoint: mprj_io[13] (input port clocked by pad_mac_rx_clk)
+Endpoint: mprj/u_mac_wrap/_08987_
+          (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Path Group: mac_rx_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock pad_mac_rx_clk (rise edge)
+                          0.00    0.00   clock network delay (propagated)
+                         20.00   20.00 ^ input external delay
+                  4.00    0.00   20.00 ^ mprj_io[13] (inout)
+     1   11.12                           mprj_io[13] (net)
+                  4.00    0.00   20.00 ^ padframe/mprj_pads.area1_io_pad[13]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[13] (net)
+                  0.07    3.31   23.31 ^ padframe/mprj_pads.area1_io_pad[13]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[13] (net)
+                  0.07    0.00   23.31 ^ gpio_control_in_1[5]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11   23.42 ^ gpio_control_in_1[5]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[5]/net3 (net)
+                  0.03    0.00   23.42 ^ gpio_control_in_1[5]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22   23.64 ^ gpio_control_in_1[5]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[5]/net22 (net)
+                  0.15    0.00   23.64 ^ gpio_control_in_1[5]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12   23.76 ^ gpio_control_in_1[5]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[13] (net)
+                  0.03    0.00   23.76 ^ mprj/u_rp_east/u_rp[5].u_buf/A (sky130_fd_sc_hd__buf_2)
+                  0.31    0.29   24.05 ^ mprj/u_rp_east/u_rp[5].u_buf/X (sky130_fd_sc_hd__buf_2)
+     2    0.06                           mprj/u_rp_east/net2 (net)
+                  0.31    0.01   24.07 ^ mprj/u_rp_east/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.56    0.48   24.54 ^ mprj/u_rp_east/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.21                           mprj/ch_out_east[5] (net)
+                  0.63    0.16   24.70 ^ mprj/u_pinmux/input2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.16    0.24   24.94 ^ mprj/u_pinmux/input2/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_pinmux/net2 (net)
+                  0.16    0.00   24.94 ^ mprj/u_pinmux/_1223_/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.21    0.27   25.21 ^ mprj/u_pinmux/_1223_/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.04                           mprj/u_pinmux/net77 (net)
+                  0.21    0.00   25.21 ^ mprj/u_pinmux/output77/A (sky130_fd_sc_hd__buf_2)
+                  0.16    0.25   25.46 ^ mprj/u_pinmux/output77/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/mac_rx_dv (net)
+                  0.16    0.00   25.47 ^ mprj/u_mac_wrap/hold42/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.05    0.59   26.05 ^ mprj/u_mac_wrap/hold42/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.00                           mprj/u_mac_wrap/net879 (net)
+                  0.05    0.00   26.05 ^ mprj/u_mac_wrap/hold25/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.14    0.63   26.69 ^ mprj/u_mac_wrap/hold25/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net862 (net)
+                  0.14    0.00   26.69 ^ mprj/u_mac_wrap/hold22/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.10    0.62   27.31 ^ mprj/u_mac_wrap/hold22/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net859 (net)
+                  0.10    0.00   27.31 ^ mprj/u_mac_wrap/hold26/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.14    0.65   27.96 ^ mprj/u_mac_wrap/hold26/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net863 (net)
+                  0.14    0.00   27.96 ^ mprj/u_mac_wrap/input6/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.14   28.09 ^ mprj/u_mac_wrap/input6/X (sky130_fd_sc_hd__buf_2)
+     1    0.00                           mprj/u_mac_wrap/net6 (net)
+                  0.03    0.00   28.09 ^ mprj/u_mac_wrap/hold27/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.11    0.61   28.70 ^ mprj/u_mac_wrap/hold27/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net864 (net)
+                  0.11    0.00   28.70 ^ mprj/u_mac_wrap/hold23/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.15    0.66   29.36 ^ mprj/u_mac_wrap/hold23/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net860 (net)
+                  0.15    0.00   29.36 ^ mprj/u_mac_wrap/hold28/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.20    0.70   30.06 ^ mprj/u_mac_wrap/hold28/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     5    0.02                           mprj/u_mac_wrap/net865 (net)
+                  0.20    0.00   30.07 ^ mprj/u_mac_wrap/_04676_/C (sky130_fd_sc_hd__or4b_1)
+                  0.13    0.22   30.28 ^ mprj/u_mac_wrap/_04676_/X (sky130_fd_sc_hd__or4b_1)
+     2    0.01                           mprj/u_mac_wrap/_01969_ (net)
+                  0.13    0.00   30.28 ^ mprj/u_mac_wrap/fanout354/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.22    0.25   30.53 ^ mprj/u_mac_wrap/fanout354/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     6    0.02                           mprj/u_mac_wrap/net354 (net)
+                  0.22    0.00   30.53 ^ mprj/u_mac_wrap/_04685_/B1_N (sky130_fd_sc_hd__a21boi_1)
+                  0.10    0.19   30.72 ^ mprj/u_mac_wrap/_04685_/Y (sky130_fd_sc_hd__a21boi_1)
+     1    0.00                           mprj/u_mac_wrap/_00051_ (net)
+                  0.10    0.00   30.72 ^ mprj/u_mac_wrap/_08987_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 30.72   data arrival time
+
+                         40.00   40.00   clock mac_rx_clk (rise edge)
+                          0.00   40.00   clock source latency
+                  1.00    0.00   40.00 ^ mprj_io[12] (inout)
+     1    6.12                           mprj_io[12] (net)
+                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[12] (net)
+                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00   41.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10   41.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00   41.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21   41.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12   41.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00   41.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81   42.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21   42.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42   43.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01   43.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15   43.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00   43.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32   43.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00   43.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28   44.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00   44.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21   44.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01   44.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16   44.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00   44.41 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   44.52 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
+                  0.03    0.00   44.52 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.14   44.66 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_1_1_1_phy_rx_clk (net)
+                  0.07    0.00   44.66 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15   44.81 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
+                  0.06    0.00   44.81 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.20    0.24   45.05 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_mac_wrap/clknet_3_5_0_phy_rx_clk (net)
+                  0.20    0.00   45.05 ^ mprj/u_mac_wrap/clkbuf_opt_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17   45.22 ^ mprj/u_mac_wrap/clkbuf_opt_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mac_wrap/clknet_opt_2_0_phy_rx_clk (net)
+                  0.04    0.00   45.22 ^ mprj/u_mac_wrap/clkbuf_leaf_66_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12   45.34 ^ mprj/u_mac_wrap/clkbuf_leaf_66_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.02                           mprj/u_mac_wrap/clknet_leaf_66_phy_rx_clk (net)
+                  0.04    0.00   45.34 ^ mprj/u_mac_wrap/_08987_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   45.09   clock uncertainty
+                          0.00   45.09   clock reconvergence pessimism
+                         -0.07   45.02   library setup time
+                                 45.02   data required time
+-----------------------------------------------------------------------------
+                                 45.02   data required time
+                                -30.72   data arrival time
+-----------------------------------------------------------------------------
+                                 14.30   slack (MET)
+
+
+Startpoint: mprj_io[13] (input port clocked by pad_mac_rx_clk)
+Endpoint: mprj/u_mac_wrap/_09456_
+          (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Path Group: mac_rx_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock pad_mac_rx_clk (rise edge)
+                          0.00    0.00   clock network delay (propagated)
+                         20.00   20.00 ^ input external delay
+                  4.00    0.00   20.00 ^ mprj_io[13] (inout)
+     1   11.12                           mprj_io[13] (net)
+                  4.00    0.00   20.00 ^ padframe/mprj_pads.area1_io_pad[13]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[13] (net)
+                  0.07    3.31   23.31 ^ padframe/mprj_pads.area1_io_pad[13]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[13] (net)
+                  0.07    0.00   23.31 ^ gpio_control_in_1[5]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11   23.42 ^ gpio_control_in_1[5]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[5]/net3 (net)
+                  0.03    0.00   23.42 ^ gpio_control_in_1[5]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22   23.64 ^ gpio_control_in_1[5]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[5]/net22 (net)
+                  0.15    0.00   23.64 ^ gpio_control_in_1[5]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12   23.76 ^ gpio_control_in_1[5]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[13] (net)
+                  0.03    0.00   23.76 ^ mprj/u_rp_east/u_rp[5].u_buf/A (sky130_fd_sc_hd__buf_2)
+                  0.31    0.29   24.05 ^ mprj/u_rp_east/u_rp[5].u_buf/X (sky130_fd_sc_hd__buf_2)
+     2    0.06                           mprj/u_rp_east/net2 (net)
+                  0.31    0.01   24.07 ^ mprj/u_rp_east/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.56    0.48   24.54 ^ mprj/u_rp_east/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.21                           mprj/ch_out_east[5] (net)
+                  0.63    0.16   24.70 ^ mprj/u_pinmux/input2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.16    0.24   24.94 ^ mprj/u_pinmux/input2/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_pinmux/net2 (net)
+                  0.16    0.00   24.94 ^ mprj/u_pinmux/_1223_/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.21    0.27   25.21 ^ mprj/u_pinmux/_1223_/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.04                           mprj/u_pinmux/net77 (net)
+                  0.21    0.00   25.21 ^ mprj/u_pinmux/output77/A (sky130_fd_sc_hd__buf_2)
+                  0.16    0.25   25.46 ^ mprj/u_pinmux/output77/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/mac_rx_dv (net)
+                  0.16    0.00   25.47 ^ mprj/u_mac_wrap/hold42/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.05    0.59   26.05 ^ mprj/u_mac_wrap/hold42/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.00                           mprj/u_mac_wrap/net879 (net)
+                  0.05    0.00   26.05 ^ mprj/u_mac_wrap/hold25/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.14    0.63   26.69 ^ mprj/u_mac_wrap/hold25/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net862 (net)
+                  0.14    0.00   26.69 ^ mprj/u_mac_wrap/hold22/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.10    0.62   27.31 ^ mprj/u_mac_wrap/hold22/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net859 (net)
+                  0.10    0.00   27.31 ^ mprj/u_mac_wrap/hold26/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.14    0.65   27.96 ^ mprj/u_mac_wrap/hold26/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net863 (net)
+                  0.14    0.00   27.96 ^ mprj/u_mac_wrap/input6/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.14   28.09 ^ mprj/u_mac_wrap/input6/X (sky130_fd_sc_hd__buf_2)
+     1    0.00                           mprj/u_mac_wrap/net6 (net)
+                  0.03    0.00   28.09 ^ mprj/u_mac_wrap/hold27/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.11    0.61   28.70 ^ mprj/u_mac_wrap/hold27/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net864 (net)
+                  0.11    0.00   28.70 ^ mprj/u_mac_wrap/hold23/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.15    0.66   29.36 ^ mprj/u_mac_wrap/hold23/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net860 (net)
+                  0.15    0.00   29.36 ^ mprj/u_mac_wrap/hold28/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.20    0.70   30.06 ^ mprj/u_mac_wrap/hold28/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     5    0.02                           mprj/u_mac_wrap/net865 (net)
+                  0.20    0.00   30.07 ^ mprj/u_mac_wrap/_04676_/C (sky130_fd_sc_hd__or4b_1)
+                  0.13    0.22   30.28 ^ mprj/u_mac_wrap/_04676_/X (sky130_fd_sc_hd__or4b_1)
+     2    0.01                           mprj/u_mac_wrap/_01969_ (net)
+                  0.13    0.00   30.28 ^ mprj/u_mac_wrap/_04827_/A (sky130_fd_sc_hd__nand2_1)
+                  0.04    0.06   30.34 v mprj/u_mac_wrap/_04827_/Y (sky130_fd_sc_hd__nand2_1)
+     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.U_deferral_rx.nxt_dfl_st[5] (net)
+                  0.04    0.00   30.34 v mprj/u_mac_wrap/_09456_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 30.34   data arrival time
+
+                         40.00   40.00   clock mac_rx_clk (rise edge)
+                          0.00   40.00   clock source latency
+                  1.00    0.00   40.00 ^ mprj_io[12] (inout)
+     1    6.12                           mprj_io[12] (net)
+                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[12] (net)
+                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00   41.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10   41.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00   41.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21   41.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12   41.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00   41.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81   42.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21   42.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42   43.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01   43.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15   43.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00   43.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32   43.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00   43.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28   44.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00   44.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21   44.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01   44.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16   44.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00   44.41 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   44.52 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
+                  0.03    0.00   44.52 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.14   44.66 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_1_1_1_phy_rx_clk (net)
+                  0.07    0.00   44.66 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15   44.81 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
+                  0.06    0.00   44.81 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.20    0.24   45.05 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_mac_wrap/clknet_3_5_0_phy_rx_clk (net)
+                  0.20    0.00   45.05 ^ mprj/u_mac_wrap/clkbuf_leaf_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.18   45.23 ^ mprj/u_mac_wrap/clkbuf_leaf_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_mac_wrap/clknet_leaf_1_phy_rx_clk (net)
+                  0.05    0.00   45.23 ^ mprj/u_mac_wrap/_09456_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   44.98   clock uncertainty
+                          0.00   44.98   clock reconvergence pessimism
+                         -0.11   44.87   library setup time
+                                 44.87   data required time
+-----------------------------------------------------------------------------
+                                 44.87   data required time
+                                -30.34   data arrival time
+-----------------------------------------------------------------------------
+                                 14.53   slack (MET)
+
+
+Startpoint: mprj_io[19] (input port clocked by pad_mac_rx_clk)
+Endpoint: mprj/u_mac_wrap/_09898_
+          (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Path Group: mac_rx_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock pad_mac_rx_clk (rise edge)
+                          0.00    0.00   clock network delay (propagated)
+                         20.00   20.00 ^ input external delay
+                  4.00    0.00   20.00 ^ mprj_io[19] (inout)
+     1   11.12                           mprj_io[19] (net)
+                  4.00    0.00   20.00 ^ padframe/mprj_pads.area2_io_pad[0]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[19] (net)
+                  0.07    3.31   23.31 ^ padframe/mprj_pads.area2_io_pad[0]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[19] (net)
+                  0.07    0.00   23.31 ^ gpio_control_in_2[0]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11   23.42 ^ gpio_control_in_2[0]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_2[0]/net3 (net)
+                  0.03    0.00   23.42 ^ gpio_control_in_2[0]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22   23.64 ^ gpio_control_in_2[0]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_2[0]/net22 (net)
+                  0.15    0.00   23.64 ^ gpio_control_in_2[0]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12   23.76 ^ gpio_control_in_2[0]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[19] (net)
+                  0.03    0.00   23.76 ^ mprj/u_rp_north/u_rp[14].u_buf/A (sky130_fd_sc_hd__buf_2)
+                  0.33    0.30   24.06 ^ mprj/u_rp_north/u_rp[14].u_buf/X (sky130_fd_sc_hd__buf_2)
+     2    0.07                           mprj/u_rp_north/net14 (net)
+                  0.33    0.02   24.08 ^ mprj/u_rp_north/wire15/A (sky130_fd_sc_hd__buf_6)
+                  0.23    0.29   24.36 ^ mprj/u_rp_north/wire15/X (sky130_fd_sc_hd__buf_6)
+     2    0.12                           mprj/u_rp_north/net15 (net)
+                  0.24    0.04   24.40 ^ mprj/u_rp_north/wire14/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.38   24.78 ^ mprj/u_rp_north/wire14/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_north[14] (net)
+                  0.69    0.24   25.03 ^ mprj/u_pinmux/input8/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.16   25.19 ^ mprj/u_pinmux/input8/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_pinmux/net8 (net)
+                  0.06    0.00   25.19 ^ mprj/u_pinmux/_1228_/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.25   25.44 ^ mprj/u_pinmux/_1228_/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_pinmux/net82 (net)
+                  0.23    0.01   25.45 ^ mprj/u_pinmux/output82/A (sky130_fd_sc_hd__buf_2)
+                  0.19    0.28   25.73 ^ mprj/u_pinmux/output82/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/mac_rxd[3] (net)
+                  0.19    0.00   25.74 ^ mprj/u_mac_wrap/hold245/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.09    0.63   26.36 ^ mprj/u_mac_wrap/hold245/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net1082 (net)
+                  0.09    0.00   26.36 ^ mprj/u_mac_wrap/hold33/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.05    0.57   26.93 ^ mprj/u_mac_wrap/hold33/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.00                           mprj/u_mac_wrap/net870 (net)
+                  0.05    0.00   26.93 ^ mprj/u_mac_wrap/hold246/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.06    0.57   27.50 ^ mprj/u_mac_wrap/hold246/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.00                           mprj/u_mac_wrap/net1083 (net)
+                  0.06    0.00   27.50 ^ mprj/u_mac_wrap/input11/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.10    0.14   27.64 ^ mprj/u_mac_wrap/input11/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     1    0.01                           mprj/u_mac_wrap/net11 (net)
+                  0.10    0.00   27.64 ^ mprj/u_mac_wrap/hold247/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.11    0.62   28.26 ^ mprj/u_mac_wrap/hold247/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net1084 (net)
+                  0.11    0.00   28.26 ^ mprj/u_mac_wrap/hold34/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.08    0.60   28.86 ^ mprj/u_mac_wrap/hold34/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net871 (net)
+                  0.08    0.00   28.86 ^ mprj/u_mac_wrap/hold248/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.10    0.61   29.48 ^ mprj/u_mac_wrap/hold248/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net1085 (net)
+                  0.10    0.00   29.48 ^ mprj/u_mac_wrap/_04728_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.18   29.66 ^ mprj/u_mac_wrap/_04728_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_mii_intf.rxd_in[3] (net)
+                  0.09    0.00   29.66 ^ mprj/u_mac_wrap/hold35/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.10    0.61   30.27 ^ mprj/u_mac_wrap/hold35/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net872 (net)
+                  0.10    0.00   30.27 ^ mprj/u_mac_wrap/_09898_/D (sky130_fd_sc_hd__dfrtp_2)
+                                 30.27   data arrival time
+
+                         40.00   40.00   clock mac_rx_clk (rise edge)
+                          0.00   40.00   clock source latency
+                  1.00    0.00   40.00 ^ mprj_io[12] (inout)
+     1    6.12                           mprj_io[12] (net)
+                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[12] (net)
+                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00   41.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10   41.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00   41.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21   41.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12   41.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00   41.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81   42.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21   42.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42   43.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01   43.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15   43.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00   43.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32   43.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00   43.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28   44.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00   44.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21   44.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01   44.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16   44.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00   44.41 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   44.52 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
+                  0.03    0.00   44.52 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.14   44.66 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_1_1_1_phy_rx_clk (net)
+                  0.07    0.00   44.66 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15   44.81 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
+                  0.06    0.00   44.81 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.20    0.24   45.05 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_mac_wrap/clknet_3_5_0_phy_rx_clk (net)
+                  0.20    0.01   45.06 ^ mprj/u_mac_wrap/clkbuf_leaf_4_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17   45.23 ^ mprj/u_mac_wrap/clkbuf_leaf_4_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.02                           mprj/u_mac_wrap/clknet_leaf_4_phy_rx_clk (net)
+                  0.05    0.00   45.23 ^ mprj/u_mac_wrap/_09898_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                         -0.25   44.98   clock uncertainty
+                          0.00   44.98   clock reconvergence pessimism
+                         -0.08   44.91   library setup time
+                                 44.91   data required time
+-----------------------------------------------------------------------------
+                                 44.91   data required time
+                                -30.27   data arrival time
+-----------------------------------------------------------------------------
+                                 14.64   slack (MET)
+
+
+Startpoint: mprj_io[14] (input port clocked by pad_mac_rx_clk)
+Endpoint: mprj/u_mac_wrap/_09906_
+          (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Path Group: mac_rx_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock pad_mac_rx_clk (rise edge)
+                          0.00    0.00   clock network delay (propagated)
+                         20.00   20.00 ^ input external delay
+                  4.00    0.00   20.00 ^ mprj_io[14] (inout)
+     1   11.12                           mprj_io[14] (net)
+                  4.00    0.00   20.00 ^ padframe/mprj_pads.area1_io_pad[14]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[14] (net)
+                  0.07    3.31   23.31 ^ padframe/mprj_pads.area1_io_pad[14]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[14] (net)
+                  0.07    0.00   23.31 ^ gpio_control_in_1[6]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11   23.42 ^ gpio_control_in_1[6]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[6]/net3 (net)
+                  0.03    0.00   23.42 ^ gpio_control_in_1[6]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22   23.64 ^ gpio_control_in_1[6]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[6]/net22 (net)
+                  0.15    0.00   23.64 ^ gpio_control_in_1[6]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12   23.76 ^ gpio_control_in_1[6]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[14] (net)
+                  0.03    0.00   23.76 ^ mprj/u_rp_east/u_rp[2].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.09    0.11   23.87 ^ mprj/u_rp_east/u_rp[2].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net19 (net)
+                  0.09    0.00   23.87 ^ mprj/u_rp_east/wire20/A (sky130_fd_sc_hd__buf_4)
+                  0.27    0.27   24.15 ^ mprj/u_rp_east/wire20/X (sky130_fd_sc_hd__buf_4)
+     2    0.10                           mprj/u_rp_east/net20 (net)
+                  0.27    0.04   24.18 ^ mprj/u_rp_east/wire19/A (sky130_fd_sc_hd__buf_6)
+                  0.48    0.36   24.54 ^ mprj/u_rp_east/wire19/X (sky130_fd_sc_hd__buf_6)
+     2    0.25                           mprj/ch_out_east[2] (net)
+                  0.59    0.19   24.73 ^ mprj/u_pinmux/input3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.20    0.27   25.00 ^ mprj/u_pinmux/input3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.02                           mprj/u_pinmux/net3 (net)
+                  0.20    0.00   25.00 ^ mprj/u_pinmux/_1224_/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.17    0.25   25.26 ^ mprj/u_pinmux/_1224_/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_pinmux/net78 (net)
+                  0.17    0.00   25.26 ^ mprj/u_pinmux/output78/A (sky130_fd_sc_hd__buf_2)
+                  0.13    0.22   25.48 ^ mprj/u_pinmux/output78/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/mac_rx_er (net)
+                  0.13    0.00   25.48 ^ mprj/u_mac_wrap/hold36/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.09    0.61   26.10 ^ mprj/u_mac_wrap/hold36/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net873 (net)
+                  0.09    0.00   26.10 ^ mprj/u_mac_wrap/hold10/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.13    0.64   26.73 ^ mprj/u_mac_wrap/hold10/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net847 (net)
+                  0.13    0.00   26.73 ^ mprj/u_mac_wrap/hold37/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.13    0.65   27.38 ^ mprj/u_mac_wrap/hold37/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net874 (net)
+                  0.13    0.00   27.38 ^ mprj/u_mac_wrap/input7/A (sky130_fd_sc_hd__buf_2)
+                  0.07    0.17   27.55 ^ mprj/u_mac_wrap/input7/X (sky130_fd_sc_hd__buf_2)
+     1    0.01                           mprj/u_mac_wrap/net7 (net)
+                  0.07    0.00   27.55 ^ mprj/u_mac_wrap/hold38/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.13    0.63   28.18 ^ mprj/u_mac_wrap/hold38/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net875 (net)
+                  0.13    0.00   28.18 ^ mprj/u_mac_wrap/hold11/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.13    0.64   28.83 ^ mprj/u_mac_wrap/hold11/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net848 (net)
+                  0.13    0.00   28.83 ^ mprj/u_mac_wrap/hold39/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.19    0.69   29.52 ^ mprj/u_mac_wrap/hold39/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     2    0.02                           mprj/u_mac_wrap/net876 (net)
+                  0.19    0.00   29.52 ^ mprj/u_mac_wrap/_04724_/B (sky130_fd_sc_hd__and2b_1)
+                  0.04    0.15   29.67 ^ mprj/u_mac_wrap/_04724_/X (sky130_fd_sc_hd__and2b_1)
+     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_mii_intf.rx_er_in (net)
+                  0.04    0.00   29.67 ^ mprj/u_mac_wrap/hold12/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.06    0.56   30.23 ^ mprj/u_mac_wrap/hold12/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.00                           mprj/u_mac_wrap/net849 (net)
+                  0.06    0.00   30.23 ^ mprj/u_mac_wrap/_09906_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 30.23   data arrival time
+
+                         40.00   40.00   clock mac_rx_clk (rise edge)
+                          0.00   40.00   clock source latency
+                  1.00    0.00   40.00 ^ mprj_io[12] (inout)
+     1    6.12                           mprj_io[12] (net)
+                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[12] (net)
+                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00   41.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10   41.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00   41.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21   41.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12   41.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00   41.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81   42.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21   42.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42   43.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01   43.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15   43.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00   43.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32   43.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00   43.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28   44.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00   44.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21   44.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01   44.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16   44.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00   44.41 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   44.52 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
+                  0.03    0.00   44.52 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.14   44.66 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_1_1_1_phy_rx_clk (net)
+                  0.07    0.00   44.66 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15   44.81 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
+                  0.06    0.00   44.81 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.20    0.24   45.05 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_mac_wrap/clknet_3_5_0_phy_rx_clk (net)
+                  0.20    0.01   45.05 ^ mprj/u_mac_wrap/clkbuf_leaf_3_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.18   45.24 ^ mprj/u_mac_wrap/clkbuf_leaf_3_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    11    0.04                           mprj/u_mac_wrap/clknet_leaf_3_phy_rx_clk (net)
+                  0.06    0.00   45.24 ^ mprj/u_mac_wrap/_09906_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   44.99   clock uncertainty
+                          0.00   44.99   clock reconvergence pessimism
+                         -0.06   44.93   library setup time
+                                 44.93   data required time
+-----------------------------------------------------------------------------
+                                 44.93   data required time
+                                -30.23   data arrival time
+-----------------------------------------------------------------------------
+                                 14.70   slack (MET)
+
+
+Startpoint: mprj_io[16] (input port clocked by pad_mac_rx_clk)
+Endpoint: mprj/u_mac_wrap/_09895_
+          (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Path Group: mac_rx_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock pad_mac_rx_clk (rise edge)
+                          0.00    0.00   clock network delay (propagated)
+                         20.00   20.00 ^ input external delay
+                  4.00    0.00   20.00 ^ mprj_io[16] (inout)
+     1   11.12                           mprj_io[16] (net)
+                  4.00    0.00   20.00 ^ padframe/mprj_pads.area1_io_pad[16]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[16] (net)
+                  0.07    3.31   23.31 ^ padframe/mprj_pads.area1_io_pad[16]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[16] (net)
+                  0.07    0.00   23.31 ^ gpio_control_in_1[8]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11   23.42 ^ gpio_control_in_1[8]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[8]/net3 (net)
+                  0.03    0.00   23.42 ^ gpio_control_in_1[8]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22   23.64 ^ gpio_control_in_1[8]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[8]/net22 (net)
+                  0.15    0.00   23.64 ^ gpio_control_in_1[8]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12   23.76 ^ gpio_control_in_1[8]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[16] (net)
+                  0.03    0.00   23.76 ^ mprj/u_rp_north/u_rp[23].u_buf/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.85   24.61 ^ mprj/u_rp_north/u_rp[23].u_buf/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_north[23] (net)
+                  1.33    0.24   24.85 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.16   25.01 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_pinmux/net5 (net)
+                  0.06    0.00   25.01 ^ mprj/u_pinmux/_1225_/A (sky130_fd_sc_hd__buf_2)
+                  0.27    0.28   25.29 ^ mprj/u_pinmux/_1225_/X (sky130_fd_sc_hd__buf_2)
+     2    0.06                           mprj/u_pinmux/net79 (net)
+                  0.27    0.01   25.29 ^ mprj/u_pinmux/output79/A (sky130_fd_sc_hd__buf_2)
+                  0.18    0.28   25.57 ^ mprj/u_pinmux/output79/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/mac_rxd[0] (net)
+                  0.18    0.00   25.57 ^ mprj/u_mac_wrap/hold221/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.09    0.62   26.20 ^ mprj/u_mac_wrap/hold221/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net1058 (net)
+                  0.09    0.00   26.20 ^ mprj/u_mac_wrap/hold4/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.07    0.58   26.78 ^ mprj/u_mac_wrap/hold4/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.00                           mprj/u_mac_wrap/net841 (net)
+                  0.07    0.00   26.78 ^ mprj/u_mac_wrap/hold222/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.11    0.62   27.40 ^ mprj/u_mac_wrap/hold222/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net1059 (net)
+                  0.11    0.00   27.40 ^ mprj/u_mac_wrap/input8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.08    0.16   27.57 ^ mprj/u_mac_wrap/input8/X (sky130_fd_sc_hd__clkbuf_2)
+     1    0.01                           mprj/u_mac_wrap/net8 (net)
+                  0.08    0.00   27.57 ^ mprj/u_mac_wrap/hold223/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.13    0.63   28.20 ^ mprj/u_mac_wrap/hold223/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net1060 (net)
+                  0.13    0.00   28.20 ^ mprj/u_mac_wrap/hold5/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.13    0.65   28.85 ^ mprj/u_mac_wrap/hold5/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net842 (net)
+                  0.13    0.00   28.85 ^ mprj/u_mac_wrap/hold224/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.11    0.63   29.48 ^ mprj/u_mac_wrap/hold224/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net1061 (net)
+                  0.11    0.00   29.48 ^ mprj/u_mac_wrap/_04725_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.16   29.64 ^ mprj/u_mac_wrap/_04725_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_mii_intf.rxd_in[0] (net)
+                  0.06    0.00   29.64 ^ mprj/u_mac_wrap/hold6/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.06    0.57   30.21 ^ mprj/u_mac_wrap/hold6/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.00                           mprj/u_mac_wrap/net843 (net)
+                  0.06    0.00   30.21 ^ mprj/u_mac_wrap/_09895_/D (sky130_fd_sc_hd__dfrtp_2)
+                                 30.21   data arrival time
+
+                         40.00   40.00   clock mac_rx_clk (rise edge)
+                          0.00   40.00   clock source latency
+                  1.00    0.00   40.00 ^ mprj_io[12] (inout)
+     1    6.12                           mprj_io[12] (net)
+                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[12] (net)
+                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00   41.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10   41.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00   41.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21   41.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12   41.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00   41.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81   42.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21   42.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42   43.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01   43.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15   43.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00   43.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32   43.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00   43.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28   44.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00   44.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21   44.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01   44.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16   44.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00   44.41 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   44.52 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
+                  0.03    0.00   44.52 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.14   44.66 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_1_1_1_phy_rx_clk (net)
+                  0.07    0.00   44.66 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15   44.81 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
+                  0.06    0.00   44.81 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.20    0.24   45.05 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_mac_wrap/clknet_3_5_0_phy_rx_clk (net)
+                  0.20    0.01   45.05 ^ mprj/u_mac_wrap/clkbuf_leaf_3_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.18   45.24 ^ mprj/u_mac_wrap/clkbuf_leaf_3_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    11    0.04                           mprj/u_mac_wrap/clknet_leaf_3_phy_rx_clk (net)
+                  0.06    0.00   45.24 ^ mprj/u_mac_wrap/_09895_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                         -0.25   44.99   clock uncertainty
+                          0.00   44.99   clock reconvergence pessimism
+                         -0.07   44.92   library setup time
+                                 44.92   data required time
+-----------------------------------------------------------------------------
+                                 44.92   data required time
+                                -30.21   data arrival time
+-----------------------------------------------------------------------------
+                                 14.71   slack (MET)
+
+
+Startpoint: mprj_io[17] (input port clocked by pad_mac_rx_clk)
+Endpoint: mprj/u_mac_wrap/_09896_
+          (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Path Group: mac_rx_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock pad_mac_rx_clk (rise edge)
+                          0.00    0.00   clock network delay (propagated)
+                         20.00   20.00 ^ input external delay
+                  4.00    0.00   20.00 ^ mprj_io[17] (inout)
+     1   11.12                           mprj_io[17] (net)
+                  4.00    0.00   20.00 ^ padframe/mprj_pads.area1_io_pad[17]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[17] (net)
+                  0.07    3.31   23.31 ^ padframe/mprj_pads.area1_io_pad[17]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[17] (net)
+                  0.07    0.00   23.31 ^ gpio_control_in_1[9]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11   23.42 ^ gpio_control_in_1[9]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[9]/net3 (net)
+                  0.03    0.00   23.42 ^ gpio_control_in_1[9]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22   23.64 ^ gpio_control_in_1[9]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[9]/net22 (net)
+                  0.15    0.00   23.64 ^ gpio_control_in_1[9]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12   23.76 ^ gpio_control_in_1[9]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[17] (net)
+                  0.03    0.00   23.76 ^ mprj/u_rp_north/u_rp[20].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.31    0.29   24.05 ^ mprj/u_rp_north/u_rp[20].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.06                           mprj/u_rp_north/net12 (net)
+                  0.31    0.01   24.06 ^ mprj/u_rp_north/wire12/A (sky130_fd_sc_hd__buf_4)
+                  0.84    0.58   24.65 ^ mprj/u_rp_north/wire12/X (sky130_fd_sc_hd__buf_4)
+     2    0.31                           mprj/ch_out_north[20] (net)
+                  1.03    0.32   24.97 ^ mprj/u_pinmux/input6/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.05    0.15   25.12 ^ mprj/u_pinmux/input6/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_pinmux/net6 (net)
+                  0.05    0.00   25.12 ^ mprj/u_pinmux/_1226_/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.25   25.37 ^ mprj/u_pinmux/_1226_/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_pinmux/net80 (net)
+                  0.24    0.01   25.38 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.25   25.63 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/mac_rxd[1] (net)
+                  0.15    0.00   25.63 ^ mprj/u_mac_wrap/hold241/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.08    0.61   26.25 ^ mprj/u_mac_wrap/hold241/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net1078 (net)
+                  0.08    0.00   26.25 ^ mprj/u_mac_wrap/hold30/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.05    0.56   26.81 ^ mprj/u_mac_wrap/hold30/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.00                           mprj/u_mac_wrap/net867 (net)
+                  0.05    0.00   26.81 ^ mprj/u_mac_wrap/hold242/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.06    0.56   27.37 ^ mprj/u_mac_wrap/hold242/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.00                           mprj/u_mac_wrap/net1079 (net)
+                  0.06    0.00   27.37 ^ mprj/u_mac_wrap/input9/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.11    0.15   27.52 ^ mprj/u_mac_wrap/input9/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     1    0.01                           mprj/u_mac_wrap/net9 (net)
+                  0.11    0.00   27.52 ^ mprj/u_mac_wrap/hold243/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.11    0.63   28.14 ^ mprj/u_mac_wrap/hold243/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net1080 (net)
+                  0.11    0.00   28.14 ^ mprj/u_mac_wrap/hold31/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.12    0.64   28.78 ^ mprj/u_mac_wrap/hold31/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net868 (net)
+                  0.12    0.00   28.78 ^ mprj/u_mac_wrap/hold244/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.09    0.61   29.39 ^ mprj/u_mac_wrap/hold244/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net1081 (net)
+                  0.09    0.00   29.39 ^ mprj/u_mac_wrap/_04726_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.08    0.17   29.56 ^ mprj/u_mac_wrap/_04726_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_mii_intf.rxd_in[1] (net)
+                  0.08    0.00   29.56 ^ mprj/u_mac_wrap/hold32/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.11    0.62   30.18 ^ mprj/u_mac_wrap/hold32/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net869 (net)
+                  0.11    0.00   30.18 ^ mprj/u_mac_wrap/_09896_/D (sky130_fd_sc_hd__dfrtp_2)
+                                 30.18   data arrival time
+
+                         40.00   40.00   clock mac_rx_clk (rise edge)
+                          0.00   40.00   clock source latency
+                  1.00    0.00   40.00 ^ mprj_io[12] (inout)
+     1    6.12                           mprj_io[12] (net)
+                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[12] (net)
+                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00   41.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10   41.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00   41.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21   41.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12   41.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00   41.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81   42.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21   42.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42   43.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01   43.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15   43.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00   43.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32   43.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00   43.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28   44.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00   44.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21   44.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01   44.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16   44.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00   44.41 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   44.52 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
+                  0.03    0.00   44.52 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.14   44.66 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_1_1_1_phy_rx_clk (net)
+                  0.07    0.00   44.66 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15   44.81 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
+                  0.06    0.00   44.81 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.20    0.24   45.05 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_mac_wrap/clknet_3_5_0_phy_rx_clk (net)
+                  0.20    0.01   45.05 ^ mprj/u_mac_wrap/clkbuf_leaf_3_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.18   45.24 ^ mprj/u_mac_wrap/clkbuf_leaf_3_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    11    0.04                           mprj/u_mac_wrap/clknet_leaf_3_phy_rx_clk (net)
+                  0.06    0.00   45.24 ^ mprj/u_mac_wrap/_09896_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                         -0.25   44.99   clock uncertainty
+                          0.00   44.99   clock reconvergence pessimism
+                         -0.08   44.91   library setup time
+                                 44.91   data required time
+-----------------------------------------------------------------------------
+                                 44.91   data required time
+                                -30.18   data arrival time
+-----------------------------------------------------------------------------
+                                 14.73   slack (MET)
+
+
+Startpoint: mprj_io[18] (input port clocked by pad_mac_rx_clk)
+Endpoint: mprj/u_mac_wrap/_09897_
+          (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Path Group: mac_rx_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock pad_mac_rx_clk (rise edge)
+                          0.00    0.00   clock network delay (propagated)
+                         20.00   20.00 ^ input external delay
+                  4.00    0.00   20.00 ^ mprj_io[18] (inout)
+     1   11.12                           mprj_io[18] (net)
+                  4.00    0.00   20.00 ^ padframe/mprj_pads.area1_io_pad[18]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[18] (net)
+                  0.07    3.31   23.31 ^ padframe/mprj_pads.area1_io_pad[18]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[18] (net)
+                  0.07    0.00   23.31 ^ gpio_control_in_1[10]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11   23.42 ^ gpio_control_in_1[10]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[10]/net3 (net)
+                  0.03    0.00   23.42 ^ gpio_control_in_1[10]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22   23.64 ^ gpio_control_in_1[10]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[10]/net22 (net)
+                  0.15    0.00   23.64 ^ gpio_control_in_1[10]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12   23.76 ^ gpio_control_in_1[10]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[18] (net)
+                  0.03    0.00   23.76 ^ mprj/u_rp_north/u_rp[17].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.26    0.28   24.04 ^ mprj/u_rp_north/u_rp[17].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.09                           mprj/u_rp_north/net13 (net)
+                  0.27    0.03   24.07 ^ mprj/u_rp_north/wire13/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.38   24.45 ^ mprj/u_rp_north/wire13/X (sky130_fd_sc_hd__buf_6)
+     2    0.28                           mprj/ch_out_north[17] (net)
+                  0.70    0.25   24.70 ^ mprj/u_pinmux/input7/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.16   24.87 ^ mprj/u_pinmux/input7/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_pinmux/net7 (net)
+                  0.06    0.00   24.87 ^ mprj/u_pinmux/_1227_/A (sky130_fd_sc_hd__buf_2)
+                  0.25    0.27   25.14 ^ mprj/u_pinmux/_1227_/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_pinmux/net81 (net)
+                  0.25    0.01   25.15 ^ mprj/u_pinmux/output81/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.26   25.40 ^ mprj/u_pinmux/output81/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/mac_rxd[2] (net)
+                  0.15    0.00   25.40 ^ mprj/u_mac_wrap/hold217/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.05    0.58   25.98 ^ mprj/u_mac_wrap/hold217/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.00                           mprj/u_mac_wrap/net1054 (net)
+                  0.05    0.00   25.98 ^ mprj/u_mac_wrap/hold1/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.08    0.58   26.56 ^ mprj/u_mac_wrap/hold1/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net838 (net)
+                  0.08    0.00   26.56 ^ mprj/u_mac_wrap/hold218/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.10    0.61   27.18 ^ mprj/u_mac_wrap/hold218/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net1055 (net)
+                  0.10    0.00   27.18 ^ mprj/u_mac_wrap/input10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.20   27.37 ^ mprj/u_mac_wrap/input10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_mac_wrap/net10 (net)
+                  0.13    0.00   27.37 ^ mprj/u_mac_wrap/hold219/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.12    0.64   28.01 ^ mprj/u_mac_wrap/hold219/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net1056 (net)
+                  0.12    0.00   28.02 ^ mprj/u_mac_wrap/hold2/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.12    0.64   28.65 ^ mprj/u_mac_wrap/hold2/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net839 (net)
+                  0.12    0.00   28.65 ^ mprj/u_mac_wrap/hold220/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.11    0.63   29.29 ^ mprj/u_mac_wrap/hold220/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net1057 (net)
+                  0.11    0.00   29.29 ^ mprj/u_mac_wrap/_04727_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.15   29.44 ^ mprj/u_mac_wrap/_04727_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_mii_intf.rxd_in[2] (net)
+                  0.05    0.00   29.44 ^ mprj/u_mac_wrap/hold3/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.05    0.55   29.99 ^ mprj/u_mac_wrap/hold3/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.00                           mprj/u_mac_wrap/net840 (net)
+                  0.05    0.00   29.99 ^ mprj/u_mac_wrap/_09897_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 29.99   data arrival time
+
+                         40.00   40.00   clock mac_rx_clk (rise edge)
+                          0.00   40.00   clock source latency
+                  1.00    0.00   40.00 ^ mprj_io[12] (inout)
+     1    6.12                           mprj_io[12] (net)
+                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[12] (net)
+                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00   41.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10   41.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00   41.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21   41.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12   41.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00   41.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81   42.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21   42.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42   43.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01   43.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15   43.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00   43.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32   43.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00   43.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28   44.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00   44.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21   44.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01   44.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16   44.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00   44.41 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   44.52 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
+                  0.03    0.00   44.52 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.14   44.66 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_1_1_1_phy_rx_clk (net)
+                  0.07    0.00   44.66 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15   44.81 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
+                  0.06    0.00   44.81 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.20    0.24   45.05 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_mac_wrap/clknet_3_5_0_phy_rx_clk (net)
+                  0.20    0.01   45.05 ^ mprj/u_mac_wrap/clkbuf_leaf_3_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.18   45.24 ^ mprj/u_mac_wrap/clkbuf_leaf_3_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    11    0.04                           mprj/u_mac_wrap/clknet_leaf_3_phy_rx_clk (net)
+                  0.06    0.00   45.24 ^ mprj/u_mac_wrap/_09897_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   44.99   clock uncertainty
+                          0.00   44.99   clock reconvergence pessimism
+                         -0.06   44.93   library setup time
+                                 44.93   data required time
+-----------------------------------------------------------------------------
+                                 44.93   data required time
+                                -29.99   data arrival time
+-----------------------------------------------------------------------------
+                                 14.94   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09129_
             (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_08994_
+Endpoint: mprj/u_mac_wrap/_09052_
           (rising edge-triggered flip-flop clocked by mac_rx_clk)
 Path Group: mac_rx_clk
 Path Type: max
@@ -137220,83 +152815,104 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.09 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.16    5.25 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
-                  0.06    0.00    5.25 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.27    5.52 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.12                           mprj/u_mac_wrap/clknet_3_5_0_phy_rx_clk (net)
-                  0.22    0.00    5.52 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.20    5.72 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.03                           mprj/u_mac_wrap/clknet_leaf_8_phy_rx_clk (net)
-                  0.06    0.00    5.72 ^ mprj/u_mac_wrap/_09078_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.05    0.34    6.06 ^ mprj/u_mac_wrap/_09078_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[1] (net)
-                  0.05    0.00    6.06 ^ mprj/u_mac_wrap/fanout451/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.17    0.20    6.27 ^ mprj/u_mac_wrap/fanout451/X (sky130_fd_sc_hd__clkbuf_2)
-     7    0.03                           mprj/u_mac_wrap/net451 (net)
-                  0.17    0.00    6.27 ^ mprj/u_mac_wrap/fanout450/A (sky130_fd_sc_hd__buf_2)
-                  0.21    0.27    6.54 ^ mprj/u_mac_wrap/fanout450/X (sky130_fd_sc_hd__buf_2)
-    10    0.04                           mprj/u_mac_wrap/net450 (net)
-                  0.21    0.00    6.54 ^ mprj/u_mac_wrap/_04293_/C (sky130_fd_sc_hd__and4_1)
-                  0.18    0.33    6.88 ^ mprj/u_mac_wrap/_04293_/X (sky130_fd_sc_hd__and4_1)
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15    7.14 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_1_1_1_phy_rx_clk (net)
+                  0.07    0.00    7.14 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.30 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_3_0_phy_rx_clk (net)
+                  0.06    0.00    7.30 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.17    0.24    7.53 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.10                           mprj/u_mac_wrap/clknet_3_6_0_phy_rx_clk (net)
+                  0.17    0.00    7.54 ^ mprj/u_mac_wrap/clkbuf_leaf_9_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    7.70 ^ mprj/u_mac_wrap/clkbuf_leaf_9_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     3    0.01                           mprj/u_mac_wrap/clknet_leaf_9_phy_rx_clk (net)
+                  0.04    0.00    7.70 ^ mprj/u_mac_wrap/_09129_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.27    0.50    8.21 ^ mprj/u_mac_wrap/_09129_/Q (sky130_fd_sc_hd__dfrtp_1)
+     8    0.03                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[2] (net)
+                  0.27    0.00    8.21 ^ mprj/u_mac_wrap/fanout447/A (sky130_fd_sc_hd__buf_2)
+                  0.20    0.30    8.50 ^ mprj/u_mac_wrap/fanout447/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_mac_wrap/net447 (net)
+                  0.20    0.00    8.50 ^ mprj/u_mac_wrap/_04316_/B (sky130_fd_sc_hd__and4_2)
+                  0.15    0.35    8.86 ^ mprj/u_mac_wrap/_04316_/X (sky130_fd_sc_hd__and4_2)
+     6    0.02                           mprj/u_mac_wrap/_01640_ (net)
+                  0.15    0.00    8.86 ^ mprj/u_mac_wrap/_04318_/D (sky130_fd_sc_hd__and4_1)
+                  0.19    0.33    9.19 ^ mprj/u_mac_wrap/_04318_/X (sky130_fd_sc_hd__and4_1)
      5    0.02                           mprj/u_mac_wrap/_01642_ (net)
-                  0.18    0.00    6.88 ^ mprj/u_mac_wrap/_04295_/D (sky130_fd_sc_hd__and4_2)
-                  0.14    0.35    7.22 ^ mprj/u_mac_wrap/_04295_/X (sky130_fd_sc_hd__and4_2)
-     6    0.02                           mprj/u_mac_wrap/_01644_ (net)
-                  0.14    0.00    7.22 ^ mprj/u_mac_wrap/_04297_/D (sky130_fd_sc_hd__and4_1)
-                  0.20    0.34    7.56 ^ mprj/u_mac_wrap/_04297_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01646_ (net)
-                  0.20    0.00    7.56 ^ mprj/u_mac_wrap/_04298_/C (sky130_fd_sc_hd__nand3_1)
-                  0.15    0.19    7.75 v mprj/u_mac_wrap/_04298_/Y (sky130_fd_sc_hd__nand3_1)
-     3    0.01                           mprj/u_mac_wrap/_01647_ (net)
-                  0.15    0.00    7.75 v mprj/u_mac_wrap/_04304_/A1 (sky130_fd_sc_hd__a2111o_1)
-                  0.13    0.50    8.25 v mprj/u_mac_wrap/_04304_/X (sky130_fd_sc_hd__a2111o_1)
-     3    0.02                           mprj/u_mac_wrap/_01653_ (net)
-                  0.13    0.00    8.25 v mprj/u_mac_wrap/_04320_/B1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.35    8.60 v mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_mac_wrap/_01669_ (net)
-                  0.05    0.00    8.60 v mprj/u_mac_wrap/_04321_/D (sky130_fd_sc_hd__or4_1)
-                  0.11    0.46    9.06 v mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01670_ (net)
-                  0.11    0.00    9.06 v mprj/u_mac_wrap/_04330_/B (sky130_fd_sc_hd__nor4_2)
-                  0.41    0.45    9.51 ^ mprj/u_mac_wrap/_04330_/Y (sky130_fd_sc_hd__nor4_2)
-     4    0.01                           mprj/u_mac_wrap/_01679_ (net)
-                  0.41    0.00    9.51 ^ mprj/u_mac_wrap/_04361_/A_N (sky130_fd_sc_hd__nand3b_1)
-                  0.20    0.27    9.78 ^ mprj/u_mac_wrap/_04361_/Y (sky130_fd_sc_hd__nand3b_1)
-     3    0.02                           mprj/u_mac_wrap/_01710_ (net)
-                  0.20    0.00    9.78 ^ mprj/u_mac_wrap/_04609_/A_N (sky130_fd_sc_hd__and2b_1)
-                  0.08    0.27   10.05 v mprj/u_mac_wrap/_04609_/X (sky130_fd_sc_hd__and2b_1)
-     3    0.01                           mprj/u_mac_wrap/_01928_ (net)
-                  0.08    0.00   10.05 v mprj/u_mac_wrap/_04620_/A (sky130_fd_sc_hd__or2_1)
-                  0.11    0.32   10.37 v mprj/u_mac_wrap/_04620_/X (sky130_fd_sc_hd__or2_1)
-     3    0.02                           mprj/u_mac_wrap/_01939_ (net)
-                  0.11    0.00   10.37 v mprj/u_mac_wrap/_06049_/A (sky130_fd_sc_hd__or3_1)
-                  0.14    0.50   10.87 v mprj/u_mac_wrap/_06049_/X (sky130_fd_sc_hd__or3_1)
-     2    0.02                           mprj/u_mac_wrap/_02846_ (net)
-                  0.14    0.00   10.87 v mprj/u_mac_wrap/fanout237/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.12    0.23   11.10 v mprj/u_mac_wrap/fanout237/X (sky130_fd_sc_hd__clkbuf_2)
-    10    0.03                           mprj/u_mac_wrap/net237 (net)
-                  0.12    0.00   11.10 v mprj/u_mac_wrap/_06055_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.34   11.44 v mprj/u_mac_wrap/_06055_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00526_ (net)
-                  0.05    0.00   11.44 v mprj/u_mac_wrap/_08994_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 11.44   data arrival time
+                  0.19    0.00    9.19 ^ mprj/u_mac_wrap/_04320_/D (sky130_fd_sc_hd__and4_1)
+                  0.16    0.31    9.50 ^ mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__and4_1)
+     4    0.01                           mprj/u_mac_wrap/_01644_ (net)
+                  0.16    0.00    9.50 ^ mprj/u_mac_wrap/_04321_/C (sky130_fd_sc_hd__and3_1)
+                  0.19    0.29    9.79 ^ mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__and3_1)
+     4    0.02                           mprj/u_mac_wrap/_01645_ (net)
+                  0.19    0.00    9.79 ^ mprj/u_mac_wrap/_04327_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.14    0.31   10.10 ^ mprj/u_mac_wrap/_04327_/X (sky130_fd_sc_hd__o2111a_1)
+     2    0.01                           mprj/u_mac_wrap/_01651_ (net)
+                  0.14    0.00   10.10 ^ mprj/u_mac_wrap/_04341_/C1 (sky130_fd_sc_hd__o211ai_1)
+                  0.10    0.13   10.23 v mprj/u_mac_wrap/_04341_/Y (sky130_fd_sc_hd__o211ai_1)
+     2    0.01                           mprj/u_mac_wrap/_01665_ (net)
+                  0.10    0.00   10.23 v mprj/u_mac_wrap/_04347_/D1 (sky130_fd_sc_hd__a2111o_1)
+                  0.06    0.31   10.54 v mprj/u_mac_wrap/_04347_/X (sky130_fd_sc_hd__a2111o_1)
+     1    0.00                           mprj/u_mac_wrap/_01671_ (net)
+                  0.06    0.00   10.54 v mprj/u_mac_wrap/_04355_/A (sky130_fd_sc_hd__nor3_1)
+                  0.34    0.35   10.89 ^ mprj/u_mac_wrap/_04355_/Y (sky130_fd_sc_hd__nor3_1)
+     2    0.01                           mprj/u_mac_wrap/_01679_ (net)
+                  0.34    0.00   10.89 ^ mprj/u_mac_wrap/_04357_/B (sky130_fd_sc_hd__and2_1)
+                  0.15    0.27   11.16 ^ mprj/u_mac_wrap/_04357_/X (sky130_fd_sc_hd__and2_1)
+     4    0.01                           mprj/u_mac_wrap/_01681_ (net)
+                  0.15    0.00   11.16 ^ mprj/u_mac_wrap/_04388_/A_N (sky130_fd_sc_hd__nand3b_1)
+                  0.14    0.19   11.34 ^ mprj/u_mac_wrap/_04388_/Y (sky130_fd_sc_hd__nand3b_1)
+     3    0.01                           mprj/u_mac_wrap/_01712_ (net)
+                  0.14    0.00   11.34 ^ mprj/u_mac_wrap/_04634_/A_N (sky130_fd_sc_hd__and2b_1)
+                  0.10    0.27   11.62 v mprj/u_mac_wrap/_04634_/X (sky130_fd_sc_hd__and2b_1)
+     5    0.02                           mprj/u_mac_wrap/_01928_ (net)
+                  0.10    0.00   11.62 v mprj/u_mac_wrap/_04645_/A (sky130_fd_sc_hd__or2_1)
+                  0.09    0.31   11.93 v mprj/u_mac_wrap/_04645_/X (sky130_fd_sc_hd__or2_1)
+     3    0.01                           mprj/u_mac_wrap/_01939_ (net)
+                  0.10    0.00   11.93 v mprj/u_mac_wrap/_06105_/B (sky130_fd_sc_hd__or3b_2)
+                  0.13    0.55   12.48 v mprj/u_mac_wrap/_06105_/X (sky130_fd_sc_hd__or3b_2)
+     4    0.02                           mprj/u_mac_wrap/_02877_ (net)
+                  0.13    0.00   12.48 v mprj/u_mac_wrap/fanout237/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.25   12.73 v mprj/u_mac_wrap/fanout237/X (sky130_fd_sc_hd__buf_2)
+    14    0.05                           mprj/u_mac_wrap/net237 (net)
+                  0.11    0.00   12.73 v mprj/u_mac_wrap/fanout236/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.10    0.24   12.97 v mprj/u_mac_wrap/fanout236/X (sky130_fd_sc_hd__clkbuf_4)
+    10    0.05                           mprj/u_mac_wrap/net236 (net)
+                  0.10    0.00   12.97 v mprj/u_mac_wrap/_06119_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.33   13.30 v mprj/u_mac_wrap/_06119_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00534_ (net)
+                  0.05    0.00   13.30 v mprj/u_mac_wrap/_09052_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 13.30   data arrival time
 
                          40.00   40.00   clock mac_rx_clk (rise edge)
                           0.00   40.00   clock source latency
@@ -137315,656 +152931,61 @@
                   0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17   41.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00   41.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21   41.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00   41.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43   42.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03   42.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24   42.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12   41.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00   41.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81   42.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21   42.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42   43.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01   43.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15   43.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00   43.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32   43.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00   43.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28   44.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00   44.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21   44.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01   44.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16   44.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00   42.61 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.15   42.76 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00   42.77 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14   42.91 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_3_0_phy_rx_clk (net)
-                  0.06    0.00   42.91 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.17    0.22   43.12 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.09                           mprj/u_mac_wrap/clknet_3_6_0_phy_rx_clk (net)
-                  0.17    0.00   43.13 ^ mprj/u_mac_wrap/clkbuf_leaf_31_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.18   43.31 ^ mprj/u_mac_wrap/clkbuf_leaf_31_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    15    0.05                           mprj/u_mac_wrap/clknet_leaf_31_phy_rx_clk (net)
-                  0.07    0.00   43.31 ^ mprj/u_mac_wrap/_08994_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   43.06   clock uncertainty
-                          2.33   45.39   clock reconvergence pessimism
-                         -0.11   45.27   library setup time
-                                 45.27   data required time
+                  0.05    0.00   44.41 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   44.52 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
+                  0.03    0.00   44.52 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.14   44.66 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_1_1_1_phy_rx_clk (net)
+                  0.07    0.00   44.66 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   44.80 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_3_0_phy_rx_clk (net)
+                  0.06    0.00   44.81 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.17    0.22   45.02 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.10                           mprj/u_mac_wrap/clknet_3_6_0_phy_rx_clk (net)
+                  0.17    0.00   45.03 ^ mprj/u_mac_wrap/clkbuf_leaf_24_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   45.19 ^ mprj/u_mac_wrap/clkbuf_leaf_24_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.02                           mprj/u_mac_wrap/clknet_leaf_24_phy_rx_clk (net)
+                  0.04    0.00   45.19 ^ mprj/u_mac_wrap/_09052_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   44.94   clock uncertainty
+                          2.51   47.45   clock reconvergence pessimism
+                         -0.12   47.33   library setup time
+                                 47.33   data required time
 -----------------------------------------------------------------------------
-                                 45.27   data required time
-                                -11.44   data arrival time
+                                 47.33   data required time
+                                -13.30   data arrival time
 -----------------------------------------------------------------------------
-                                 33.84   slack (MET)
+                                 34.03   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_09078_
-            (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_09021_
-          (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Path Group: mac_rx_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_rx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1   11.12                           mprj_io[12] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[12] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.09 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.16    5.25 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
-                  0.06    0.00    5.25 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.27    5.52 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.12                           mprj/u_mac_wrap/clknet_3_5_0_phy_rx_clk (net)
-                  0.22    0.00    5.52 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.20    5.72 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.03                           mprj/u_mac_wrap/clknet_leaf_8_phy_rx_clk (net)
-                  0.06    0.00    5.72 ^ mprj/u_mac_wrap/_09078_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.05    0.34    6.06 ^ mprj/u_mac_wrap/_09078_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[1] (net)
-                  0.05    0.00    6.06 ^ mprj/u_mac_wrap/fanout451/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.17    0.20    6.27 ^ mprj/u_mac_wrap/fanout451/X (sky130_fd_sc_hd__clkbuf_2)
-     7    0.03                           mprj/u_mac_wrap/net451 (net)
-                  0.17    0.00    6.27 ^ mprj/u_mac_wrap/fanout450/A (sky130_fd_sc_hd__buf_2)
-                  0.21    0.27    6.54 ^ mprj/u_mac_wrap/fanout450/X (sky130_fd_sc_hd__buf_2)
-    10    0.04                           mprj/u_mac_wrap/net450 (net)
-                  0.21    0.00    6.54 ^ mprj/u_mac_wrap/_04293_/C (sky130_fd_sc_hd__and4_1)
-                  0.18    0.33    6.88 ^ mprj/u_mac_wrap/_04293_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01642_ (net)
-                  0.18    0.00    6.88 ^ mprj/u_mac_wrap/_04295_/D (sky130_fd_sc_hd__and4_2)
-                  0.14    0.35    7.22 ^ mprj/u_mac_wrap/_04295_/X (sky130_fd_sc_hd__and4_2)
-     6    0.02                           mprj/u_mac_wrap/_01644_ (net)
-                  0.14    0.00    7.22 ^ mprj/u_mac_wrap/_04297_/D (sky130_fd_sc_hd__and4_1)
-                  0.20    0.34    7.56 ^ mprj/u_mac_wrap/_04297_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01646_ (net)
-                  0.20    0.00    7.56 ^ mprj/u_mac_wrap/_04298_/C (sky130_fd_sc_hd__nand3_1)
-                  0.15    0.19    7.75 v mprj/u_mac_wrap/_04298_/Y (sky130_fd_sc_hd__nand3_1)
-     3    0.01                           mprj/u_mac_wrap/_01647_ (net)
-                  0.15    0.00    7.75 v mprj/u_mac_wrap/_04304_/A1 (sky130_fd_sc_hd__a2111o_1)
-                  0.13    0.50    8.25 v mprj/u_mac_wrap/_04304_/X (sky130_fd_sc_hd__a2111o_1)
-     3    0.02                           mprj/u_mac_wrap/_01653_ (net)
-                  0.13    0.00    8.25 v mprj/u_mac_wrap/_04320_/B1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.35    8.60 v mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_mac_wrap/_01669_ (net)
-                  0.05    0.00    8.60 v mprj/u_mac_wrap/_04321_/D (sky130_fd_sc_hd__or4_1)
-                  0.11    0.46    9.06 v mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01670_ (net)
-                  0.11    0.00    9.06 v mprj/u_mac_wrap/_04330_/B (sky130_fd_sc_hd__nor4_2)
-                  0.41    0.45    9.51 ^ mprj/u_mac_wrap/_04330_/Y (sky130_fd_sc_hd__nor4_2)
-     4    0.01                           mprj/u_mac_wrap/_01679_ (net)
-                  0.41    0.00    9.51 ^ mprj/u_mac_wrap/_04361_/A_N (sky130_fd_sc_hd__nand3b_1)
-                  0.20    0.27    9.78 ^ mprj/u_mac_wrap/_04361_/Y (sky130_fd_sc_hd__nand3b_1)
-     3    0.02                           mprj/u_mac_wrap/_01710_ (net)
-                  0.20    0.00    9.78 ^ mprj/u_mac_wrap/_04609_/A_N (sky130_fd_sc_hd__and2b_1)
-                  0.08    0.27   10.05 v mprj/u_mac_wrap/_04609_/X (sky130_fd_sc_hd__and2b_1)
-     3    0.01                           mprj/u_mac_wrap/_01928_ (net)
-                  0.08    0.00   10.05 v mprj/u_mac_wrap/_04620_/A (sky130_fd_sc_hd__or2_1)
-                  0.11    0.32   10.37 v mprj/u_mac_wrap/_04620_/X (sky130_fd_sc_hd__or2_1)
-     3    0.02                           mprj/u_mac_wrap/_01939_ (net)
-                  0.11    0.00   10.37 v mprj/u_mac_wrap/_04622_/B (sky130_fd_sc_hd__or3_1)
-                  0.07    0.38   10.75 v mprj/u_mac_wrap/_04622_/X (sky130_fd_sc_hd__or3_1)
-     1    0.00                           mprj/u_mac_wrap/_01941_ (net)
-                  0.07    0.00   10.75 v mprj/u_mac_wrap/_04653_/B (sky130_fd_sc_hd__and4_1)
-                  0.11    0.26   11.01 v mprj/u_mac_wrap/_04653_/X (sky130_fd_sc_hd__and4_1)
-     2    0.02                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.e_rx_fsm_wrt (net)
-                  0.11    0.00   11.01 v mprj/u_mac_wrap/_09021_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 11.01   data arrival time
-
-                         40.00   40.00   clock mac_rx_clk (rise edge)
-                          0.00   40.00   clock source latency
-                  1.00    0.00   40.00 ^ mprj_io[12] (inout)
-     1    6.12                           mprj_io[12] (net)
-                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[12] (net)
-                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00   41.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10   41.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00   41.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21   41.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17   41.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00   41.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21   41.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00   41.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43   42.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03   42.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24   42.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00   42.61 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16   42.77 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00   42.77 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15   42.92 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_mac_wrap/clknet_2_0_0_phy_rx_clk (net)
-                  0.06    0.00   42.92 ^ mprj/u_mac_wrap/clkbuf_3_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.18    0.23   43.15 ^ mprj/u_mac_wrap/clkbuf_3_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.10                           mprj/u_mac_wrap/clknet_3_1_0_phy_rx_clk (net)
-                  0.18    0.00   43.15 ^ mprj/u_mac_wrap/clkbuf_leaf_67_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.17   43.31 ^ mprj/u_mac_wrap/clkbuf_leaf_67_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     7    0.02                           mprj/u_mac_wrap/clknet_leaf_67_phy_rx_clk (net)
-                  0.04    0.00   43.31 ^ mprj/u_mac_wrap/_09021_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   43.06   clock uncertainty
-                          2.32   45.38   clock reconvergence pessimism
-                         -0.14   45.24   library setup time
-                                 45.24   data required time
------------------------------------------------------------------------------
-                                 45.24   data required time
-                                -11.01   data arrival time
------------------------------------------------------------------------------
-                                 34.22   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_09078_
-            (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_10136_
-          (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Path Group: mac_rx_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_rx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1   11.12                           mprj_io[12] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[12] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.09 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.16    5.25 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
-                  0.06    0.00    5.25 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.27    5.52 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.12                           mprj/u_mac_wrap/clknet_3_5_0_phy_rx_clk (net)
-                  0.22    0.00    5.52 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.20    5.72 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.03                           mprj/u_mac_wrap/clknet_leaf_8_phy_rx_clk (net)
-                  0.06    0.00    5.72 ^ mprj/u_mac_wrap/_09078_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.05    0.34    6.06 ^ mprj/u_mac_wrap/_09078_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[1] (net)
-                  0.05    0.00    6.06 ^ mprj/u_mac_wrap/fanout451/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.17    0.20    6.27 ^ mprj/u_mac_wrap/fanout451/X (sky130_fd_sc_hd__clkbuf_2)
-     7    0.03                           mprj/u_mac_wrap/net451 (net)
-                  0.17    0.00    6.27 ^ mprj/u_mac_wrap/fanout450/A (sky130_fd_sc_hd__buf_2)
-                  0.21    0.27    6.54 ^ mprj/u_mac_wrap/fanout450/X (sky130_fd_sc_hd__buf_2)
-    10    0.04                           mprj/u_mac_wrap/net450 (net)
-                  0.21    0.00    6.54 ^ mprj/u_mac_wrap/_04293_/C (sky130_fd_sc_hd__and4_1)
-                  0.18    0.33    6.88 ^ mprj/u_mac_wrap/_04293_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01642_ (net)
-                  0.18    0.00    6.88 ^ mprj/u_mac_wrap/_04295_/D (sky130_fd_sc_hd__and4_2)
-                  0.14    0.35    7.22 ^ mprj/u_mac_wrap/_04295_/X (sky130_fd_sc_hd__and4_2)
-     6    0.02                           mprj/u_mac_wrap/_01644_ (net)
-                  0.14    0.00    7.22 ^ mprj/u_mac_wrap/_04297_/D (sky130_fd_sc_hd__and4_1)
-                  0.20    0.34    7.56 ^ mprj/u_mac_wrap/_04297_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01646_ (net)
-                  0.20    0.00    7.56 ^ mprj/u_mac_wrap/_04298_/C (sky130_fd_sc_hd__nand3_1)
-                  0.15    0.19    7.75 v mprj/u_mac_wrap/_04298_/Y (sky130_fd_sc_hd__nand3_1)
-     3    0.01                           mprj/u_mac_wrap/_01647_ (net)
-                  0.15    0.00    7.75 v mprj/u_mac_wrap/_04304_/A1 (sky130_fd_sc_hd__a2111o_1)
-                  0.13    0.50    8.25 v mprj/u_mac_wrap/_04304_/X (sky130_fd_sc_hd__a2111o_1)
-     3    0.02                           mprj/u_mac_wrap/_01653_ (net)
-                  0.13    0.00    8.25 v mprj/u_mac_wrap/_04320_/B1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.35    8.60 v mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_mac_wrap/_01669_ (net)
-                  0.05    0.00    8.60 v mprj/u_mac_wrap/_04321_/D (sky130_fd_sc_hd__or4_1)
-                  0.11    0.46    9.06 v mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01670_ (net)
-                  0.11    0.00    9.06 v mprj/u_mac_wrap/_04330_/B (sky130_fd_sc_hd__nor4_2)
-                  0.41    0.45    9.51 ^ mprj/u_mac_wrap/_04330_/Y (sky130_fd_sc_hd__nor4_2)
-     4    0.01                           mprj/u_mac_wrap/_01679_ (net)
-                  0.41    0.00    9.51 ^ mprj/u_mac_wrap/_04361_/A_N (sky130_fd_sc_hd__nand3b_1)
-                  0.20    0.27    9.78 ^ mprj/u_mac_wrap/_04361_/Y (sky130_fd_sc_hd__nand3b_1)
-     3    0.02                           mprj/u_mac_wrap/_01710_ (net)
-                  0.20    0.00    9.78 ^ mprj/u_mac_wrap/_04609_/A_N (sky130_fd_sc_hd__and2b_1)
-                  0.08    0.27   10.05 v mprj/u_mac_wrap/_04609_/X (sky130_fd_sc_hd__and2b_1)
-     3    0.01                           mprj/u_mac_wrap/_01928_ (net)
-                  0.08    0.00   10.05 v mprj/u_mac_wrap/_04620_/A (sky130_fd_sc_hd__or2_1)
-                  0.11    0.32   10.37 v mprj/u_mac_wrap/_04620_/X (sky130_fd_sc_hd__or2_1)
-     3    0.02                           mprj/u_mac_wrap/_01939_ (net)
-                  0.11    0.00   10.37 v mprj/u_mac_wrap/_08430_/A (sky130_fd_sc_hd__or4_1)
-                  0.08    0.55   10.92 v mprj/u_mac_wrap/_08430_/X (sky130_fd_sc_hd__or4_1)
-     1    0.00                           mprj/u_mac_wrap/_01551_ (net)
-                  0.08    0.00   10.92 v mprj/u_mac_wrap/_10136_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 10.92   data arrival time
-
-                         40.00   40.00   clock mac_rx_clk (rise edge)
-                          0.00   40.00   clock source latency
-                  1.00    0.00   40.00 ^ mprj_io[12] (inout)
-     1    6.12                           mprj_io[12] (net)
-                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[12] (net)
-                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00   41.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10   41.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00   41.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21   41.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17   41.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00   41.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21   41.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00   41.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43   42.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03   42.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24   42.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00   42.61 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.15   42.76 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00   42.76 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15   42.91 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
-                  0.06    0.00   42.91 ^ mprj/u_mac_wrap/clkbuf_3_4_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.17    0.22   43.13 ^ mprj/u_mac_wrap/clkbuf_3_4_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    12    0.09                           mprj/u_mac_wrap/clknet_3_4_0_phy_rx_clk (net)
-                  0.17    0.00   43.13 ^ mprj/u_mac_wrap/clkbuf_leaf_11_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.17   43.30 ^ mprj/u_mac_wrap/clkbuf_leaf_11_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.03                           mprj/u_mac_wrap/clknet_leaf_11_phy_rx_clk (net)
-                  0.06    0.00   43.30 ^ mprj/u_mac_wrap/_10136_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   43.05   clock uncertainty
-                          2.34   45.39   clock reconvergence pessimism
-                         -0.13   45.27   library setup time
-                                 45.27   data required time
------------------------------------------------------------------------------
-                                 45.27   data required time
-                                -10.92   data arrival time
------------------------------------------------------------------------------
-                                 34.34   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_09078_
-            (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_09096_
-          (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Path Group: mac_rx_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_rx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1   11.12                           mprj_io[12] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[12] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.09 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.16    5.25 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
-                  0.06    0.00    5.25 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.27    5.52 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.12                           mprj/u_mac_wrap/clknet_3_5_0_phy_rx_clk (net)
-                  0.22    0.00    5.52 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.20    5.72 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.03                           mprj/u_mac_wrap/clknet_leaf_8_phy_rx_clk (net)
-                  0.06    0.00    5.72 ^ mprj/u_mac_wrap/_09078_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.05    0.34    6.06 ^ mprj/u_mac_wrap/_09078_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[1] (net)
-                  0.05    0.00    6.06 ^ mprj/u_mac_wrap/fanout451/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.17    0.20    6.27 ^ mprj/u_mac_wrap/fanout451/X (sky130_fd_sc_hd__clkbuf_2)
-     7    0.03                           mprj/u_mac_wrap/net451 (net)
-                  0.17    0.00    6.27 ^ mprj/u_mac_wrap/fanout450/A (sky130_fd_sc_hd__buf_2)
-                  0.21    0.27    6.54 ^ mprj/u_mac_wrap/fanout450/X (sky130_fd_sc_hd__buf_2)
-    10    0.04                           mprj/u_mac_wrap/net450 (net)
-                  0.21    0.00    6.54 ^ mprj/u_mac_wrap/_04293_/C (sky130_fd_sc_hd__and4_1)
-                  0.18    0.33    6.88 ^ mprj/u_mac_wrap/_04293_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01642_ (net)
-                  0.18    0.00    6.88 ^ mprj/u_mac_wrap/_04295_/D (sky130_fd_sc_hd__and4_2)
-                  0.14    0.35    7.22 ^ mprj/u_mac_wrap/_04295_/X (sky130_fd_sc_hd__and4_2)
-     6    0.02                           mprj/u_mac_wrap/_01644_ (net)
-                  0.14    0.00    7.22 ^ mprj/u_mac_wrap/_04297_/D (sky130_fd_sc_hd__and4_1)
-                  0.20    0.34    7.56 ^ mprj/u_mac_wrap/_04297_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01646_ (net)
-                  0.20    0.00    7.56 ^ mprj/u_mac_wrap/_04298_/C (sky130_fd_sc_hd__nand3_1)
-                  0.15    0.19    7.75 v mprj/u_mac_wrap/_04298_/Y (sky130_fd_sc_hd__nand3_1)
-     3    0.01                           mprj/u_mac_wrap/_01647_ (net)
-                  0.15    0.00    7.75 v mprj/u_mac_wrap/_04304_/A1 (sky130_fd_sc_hd__a2111o_1)
-                  0.13    0.50    8.25 v mprj/u_mac_wrap/_04304_/X (sky130_fd_sc_hd__a2111o_1)
-     3    0.02                           mprj/u_mac_wrap/_01653_ (net)
-                  0.13    0.00    8.25 v mprj/u_mac_wrap/_04320_/B1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.35    8.60 v mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_mac_wrap/_01669_ (net)
-                  0.05    0.00    8.60 v mprj/u_mac_wrap/_04321_/D (sky130_fd_sc_hd__or4_1)
-                  0.11    0.46    9.06 v mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01670_ (net)
-                  0.11    0.00    9.06 v mprj/u_mac_wrap/_04330_/B (sky130_fd_sc_hd__nor4_2)
-                  0.41    0.45    9.51 ^ mprj/u_mac_wrap/_04330_/Y (sky130_fd_sc_hd__nor4_2)
-     4    0.01                           mprj/u_mac_wrap/_01679_ (net)
-                  0.41    0.00    9.51 ^ mprj/u_mac_wrap/_04361_/A_N (sky130_fd_sc_hd__nand3b_1)
-                  0.20    0.27    9.78 ^ mprj/u_mac_wrap/_04361_/Y (sky130_fd_sc_hd__nand3b_1)
-     3    0.02                           mprj/u_mac_wrap/_01710_ (net)
-                  0.20    0.00    9.78 ^ mprj/u_mac_wrap/_04609_/A_N (sky130_fd_sc_hd__and2b_1)
-                  0.08    0.27   10.05 v mprj/u_mac_wrap/_04609_/X (sky130_fd_sc_hd__and2b_1)
-     3    0.01                           mprj/u_mac_wrap/_01928_ (net)
-                  0.08    0.00   10.05 v mprj/u_mac_wrap/_06322_/B (sky130_fd_sc_hd__and2_1)
-                  0.09    0.23   10.28 v mprj/u_mac_wrap/_06322_/X (sky130_fd_sc_hd__and2_1)
-     5    0.02                           mprj/u_mac_wrap/_03024_ (net)
-                  0.09    0.00   10.28 v mprj/u_mac_wrap/_06327_/A3 (sky130_fd_sc_hd__a31o_1)
-                  0.04    0.23   10.51 v mprj/u_mac_wrap/_06327_/X (sky130_fd_sc_hd__a31o_1)
-     1    0.00                           mprj/u_mac_wrap/_03027_ (net)
-                  0.04    0.00   10.51 v mprj/u_mac_wrap/_06328_/B (sky130_fd_sc_hd__nor2_1)
-                  0.08    0.08   10.59 ^ mprj/u_mac_wrap/_06328_/Y (sky130_fd_sc_hd__nor2_1)
-     1    0.00                           mprj/u_mac_wrap/_00618_ (net)
-                  0.08    0.00   10.59 ^ mprj/u_mac_wrap/_09096_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 10.59   data arrival time
-
-                         40.00   40.00   clock mac_rx_clk (rise edge)
-                          0.00   40.00   clock source latency
-                  1.00    0.00   40.00 ^ mprj_io[12] (inout)
-     1    6.12                           mprj_io[12] (net)
-                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[12] (net)
-                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00   41.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10   41.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00   41.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21   41.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17   41.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00   41.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21   41.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00   41.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43   42.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03   42.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24   42.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00   42.61 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.15   42.76 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00   42.76 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15   42.91 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
-                  0.06    0.00   42.91 ^ mprj/u_mac_wrap/clkbuf_3_4_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.17    0.22   43.13 ^ mprj/u_mac_wrap/clkbuf_3_4_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    12    0.09                           mprj/u_mac_wrap/clknet_3_4_0_phy_rx_clk (net)
-                  0.17    0.00   43.13 ^ mprj/u_mac_wrap/clkbuf_leaf_18_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.17   43.30 ^ mprj/u_mac_wrap/clkbuf_leaf_18_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.02                           mprj/u_mac_wrap/clknet_leaf_18_phy_rx_clk (net)
-                  0.05    0.00   43.30 ^ mprj/u_mac_wrap/_09096_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   43.05   clock uncertainty
-                          2.34   45.39   clock reconvergence pessimism
-                         -0.07   45.32   library setup time
-                                 45.32   data required time
------------------------------------------------------------------------------
-                                 45.32   data required time
-                                -10.59   data arrival time
------------------------------------------------------------------------------
-                                 34.73   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_09078_
-            (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_09095_
-          (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Path Group: mac_rx_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_rx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1   11.12                           mprj_io[12] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[12] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.09 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.16    5.25 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
-                  0.06    0.00    5.25 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.27    5.52 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.12                           mprj/u_mac_wrap/clknet_3_5_0_phy_rx_clk (net)
-                  0.22    0.00    5.52 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.20    5.72 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.03                           mprj/u_mac_wrap/clknet_leaf_8_phy_rx_clk (net)
-                  0.06    0.00    5.72 ^ mprj/u_mac_wrap/_09078_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.05    0.34    6.06 ^ mprj/u_mac_wrap/_09078_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[1] (net)
-                  0.05    0.00    6.06 ^ mprj/u_mac_wrap/fanout451/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.17    0.20    6.27 ^ mprj/u_mac_wrap/fanout451/X (sky130_fd_sc_hd__clkbuf_2)
-     7    0.03                           mprj/u_mac_wrap/net451 (net)
-                  0.17    0.00    6.27 ^ mprj/u_mac_wrap/fanout450/A (sky130_fd_sc_hd__buf_2)
-                  0.21    0.27    6.54 ^ mprj/u_mac_wrap/fanout450/X (sky130_fd_sc_hd__buf_2)
-    10    0.04                           mprj/u_mac_wrap/net450 (net)
-                  0.21    0.00    6.54 ^ mprj/u_mac_wrap/_04293_/C (sky130_fd_sc_hd__and4_1)
-                  0.18    0.33    6.88 ^ mprj/u_mac_wrap/_04293_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01642_ (net)
-                  0.18    0.00    6.88 ^ mprj/u_mac_wrap/_04295_/D (sky130_fd_sc_hd__and4_2)
-                  0.14    0.35    7.22 ^ mprj/u_mac_wrap/_04295_/X (sky130_fd_sc_hd__and4_2)
-     6    0.02                           mprj/u_mac_wrap/_01644_ (net)
-                  0.14    0.00    7.22 ^ mprj/u_mac_wrap/_04297_/D (sky130_fd_sc_hd__and4_1)
-                  0.20    0.34    7.56 ^ mprj/u_mac_wrap/_04297_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01646_ (net)
-                  0.20    0.00    7.56 ^ mprj/u_mac_wrap/_04298_/C (sky130_fd_sc_hd__nand3_1)
-                  0.15    0.19    7.75 v mprj/u_mac_wrap/_04298_/Y (sky130_fd_sc_hd__nand3_1)
-     3    0.01                           mprj/u_mac_wrap/_01647_ (net)
-                  0.15    0.00    7.75 v mprj/u_mac_wrap/_04304_/A1 (sky130_fd_sc_hd__a2111o_1)
-                  0.13    0.50    8.25 v mprj/u_mac_wrap/_04304_/X (sky130_fd_sc_hd__a2111o_1)
-     3    0.02                           mprj/u_mac_wrap/_01653_ (net)
-                  0.13    0.00    8.25 v mprj/u_mac_wrap/_04320_/B1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.35    8.60 v mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_mac_wrap/_01669_ (net)
-                  0.05    0.00    8.60 v mprj/u_mac_wrap/_04321_/D (sky130_fd_sc_hd__or4_1)
-                  0.11    0.46    9.06 v mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01670_ (net)
-                  0.11    0.00    9.06 v mprj/u_mac_wrap/_04330_/B (sky130_fd_sc_hd__nor4_2)
-                  0.41    0.45    9.51 ^ mprj/u_mac_wrap/_04330_/Y (sky130_fd_sc_hd__nor4_2)
-     4    0.01                           mprj/u_mac_wrap/_01679_ (net)
-                  0.41    0.00    9.51 ^ mprj/u_mac_wrap/_04361_/A_N (sky130_fd_sc_hd__nand3b_1)
-                  0.20    0.27    9.78 ^ mprj/u_mac_wrap/_04361_/Y (sky130_fd_sc_hd__nand3b_1)
-     3    0.02                           mprj/u_mac_wrap/_01710_ (net)
-                  0.20    0.00    9.78 ^ mprj/u_mac_wrap/_04609_/A_N (sky130_fd_sc_hd__and2b_1)
-                  0.08    0.27   10.05 v mprj/u_mac_wrap/_04609_/X (sky130_fd_sc_hd__and2b_1)
-     3    0.01                           mprj/u_mac_wrap/_01928_ (net)
-                  0.08    0.00   10.05 v mprj/u_mac_wrap/_06322_/B (sky130_fd_sc_hd__and2_1)
-                  0.09    0.23   10.28 v mprj/u_mac_wrap/_06322_/X (sky130_fd_sc_hd__and2_1)
-     5    0.02                           mprj/u_mac_wrap/_03024_ (net)
-                  0.09    0.00   10.28 v mprj/u_mac_wrap/_06324_/A2 (sky130_fd_sc_hd__a21oi_1)
-                  0.11    0.16   10.43 ^ mprj/u_mac_wrap/_06324_/Y (sky130_fd_sc_hd__a21oi_1)
-     1    0.00                           mprj/u_mac_wrap/_03025_ (net)
-                  0.11    0.00   10.43 ^ mprj/u_mac_wrap/_06325_/B1 (sky130_fd_sc_hd__o21a_1)
-                  0.05    0.14   10.57 ^ mprj/u_mac_wrap/_06325_/X (sky130_fd_sc_hd__o21a_1)
-     1    0.00                           mprj/u_mac_wrap/_00617_ (net)
-                  0.05    0.00   10.57 ^ mprj/u_mac_wrap/_09095_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 10.57   data arrival time
-
-                         40.00   40.00   clock mac_rx_clk (rise edge)
-                          0.00   40.00   clock source latency
-                  1.00    0.00   40.00 ^ mprj_io[12] (inout)
-     1    6.12                           mprj_io[12] (net)
-                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[12] (net)
-                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00   41.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10   41.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00   41.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21   41.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17   41.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00   41.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21   41.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00   41.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43   42.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03   42.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24   42.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00   42.61 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.15   42.76 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00   42.76 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15   42.91 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
-                  0.06    0.00   42.91 ^ mprj/u_mac_wrap/clkbuf_3_4_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.17    0.22   43.13 ^ mprj/u_mac_wrap/clkbuf_3_4_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    12    0.09                           mprj/u_mac_wrap/clknet_3_4_0_phy_rx_clk (net)
-                  0.17    0.00   43.13 ^ mprj/u_mac_wrap/clkbuf_leaf_18_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.17   43.30 ^ mprj/u_mac_wrap/clkbuf_leaf_18_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.02                           mprj/u_mac_wrap/clknet_leaf_18_phy_rx_clk (net)
-                  0.05    0.00   43.30 ^ mprj/u_mac_wrap/_09095_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   43.05   clock uncertainty
-                          2.34   45.39   clock reconvergence pessimism
-                         -0.06   45.33   library setup time
-                                 45.33   data required time
------------------------------------------------------------------------------
-                                 45.33   data required time
-                                -10.57   data arrival time
------------------------------------------------------------------------------
-                                 34.76   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_09078_
+Startpoint: mprj/u_mac_wrap/_09129_
             (rising edge-triggered flip-flop clocked by mac_rx_clk)
 Endpoint: mprj/u_mac_wrap/_09044_
           (rising edge-triggered flip-flop clocked by mac_rx_clk)
@@ -137990,80 +153011,104 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.09 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.16    5.25 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
-                  0.06    0.00    5.25 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.27    5.52 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.12                           mprj/u_mac_wrap/clknet_3_5_0_phy_rx_clk (net)
-                  0.22    0.00    5.52 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.20    5.72 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.03                           mprj/u_mac_wrap/clknet_leaf_8_phy_rx_clk (net)
-                  0.06    0.00    5.72 ^ mprj/u_mac_wrap/_09078_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.05    0.34    6.06 ^ mprj/u_mac_wrap/_09078_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[1] (net)
-                  0.05    0.00    6.06 ^ mprj/u_mac_wrap/fanout451/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.17    0.20    6.27 ^ mprj/u_mac_wrap/fanout451/X (sky130_fd_sc_hd__clkbuf_2)
-     7    0.03                           mprj/u_mac_wrap/net451 (net)
-                  0.17    0.00    6.27 ^ mprj/u_mac_wrap/fanout450/A (sky130_fd_sc_hd__buf_2)
-                  0.21    0.27    6.54 ^ mprj/u_mac_wrap/fanout450/X (sky130_fd_sc_hd__buf_2)
-    10    0.04                           mprj/u_mac_wrap/net450 (net)
-                  0.21    0.00    6.54 ^ mprj/u_mac_wrap/_04293_/C (sky130_fd_sc_hd__and4_1)
-                  0.18    0.33    6.88 ^ mprj/u_mac_wrap/_04293_/X (sky130_fd_sc_hd__and4_1)
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15    7.14 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_1_1_1_phy_rx_clk (net)
+                  0.07    0.00    7.14 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.30 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_3_0_phy_rx_clk (net)
+                  0.06    0.00    7.30 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.17    0.24    7.53 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.10                           mprj/u_mac_wrap/clknet_3_6_0_phy_rx_clk (net)
+                  0.17    0.00    7.54 ^ mprj/u_mac_wrap/clkbuf_leaf_9_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    7.70 ^ mprj/u_mac_wrap/clkbuf_leaf_9_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     3    0.01                           mprj/u_mac_wrap/clknet_leaf_9_phy_rx_clk (net)
+                  0.04    0.00    7.70 ^ mprj/u_mac_wrap/_09129_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.27    0.50    8.21 ^ mprj/u_mac_wrap/_09129_/Q (sky130_fd_sc_hd__dfrtp_1)
+     8    0.03                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[2] (net)
+                  0.27    0.00    8.21 ^ mprj/u_mac_wrap/fanout447/A (sky130_fd_sc_hd__buf_2)
+                  0.20    0.30    8.50 ^ mprj/u_mac_wrap/fanout447/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_mac_wrap/net447 (net)
+                  0.20    0.00    8.50 ^ mprj/u_mac_wrap/_04316_/B (sky130_fd_sc_hd__and4_2)
+                  0.15    0.35    8.86 ^ mprj/u_mac_wrap/_04316_/X (sky130_fd_sc_hd__and4_2)
+     6    0.02                           mprj/u_mac_wrap/_01640_ (net)
+                  0.15    0.00    8.86 ^ mprj/u_mac_wrap/_04318_/D (sky130_fd_sc_hd__and4_1)
+                  0.19    0.33    9.19 ^ mprj/u_mac_wrap/_04318_/X (sky130_fd_sc_hd__and4_1)
      5    0.02                           mprj/u_mac_wrap/_01642_ (net)
-                  0.18    0.00    6.88 ^ mprj/u_mac_wrap/_04295_/D (sky130_fd_sc_hd__and4_2)
-                  0.14    0.35    7.22 ^ mprj/u_mac_wrap/_04295_/X (sky130_fd_sc_hd__and4_2)
-     6    0.02                           mprj/u_mac_wrap/_01644_ (net)
-                  0.14    0.00    7.22 ^ mprj/u_mac_wrap/_04297_/D (sky130_fd_sc_hd__and4_1)
-                  0.20    0.34    7.56 ^ mprj/u_mac_wrap/_04297_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01646_ (net)
-                  0.20    0.00    7.56 ^ mprj/u_mac_wrap/_04298_/C (sky130_fd_sc_hd__nand3_1)
-                  0.15    0.19    7.75 v mprj/u_mac_wrap/_04298_/Y (sky130_fd_sc_hd__nand3_1)
-     3    0.01                           mprj/u_mac_wrap/_01647_ (net)
-                  0.15    0.00    7.75 v mprj/u_mac_wrap/_04304_/A1 (sky130_fd_sc_hd__a2111o_1)
-                  0.13    0.50    8.25 v mprj/u_mac_wrap/_04304_/X (sky130_fd_sc_hd__a2111o_1)
-     3    0.02                           mprj/u_mac_wrap/_01653_ (net)
-                  0.13    0.00    8.25 v mprj/u_mac_wrap/_04320_/B1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.35    8.60 v mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_mac_wrap/_01669_ (net)
-                  0.05    0.00    8.60 v mprj/u_mac_wrap/_04321_/D (sky130_fd_sc_hd__or4_1)
-                  0.11    0.46    9.06 v mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01670_ (net)
-                  0.11    0.00    9.06 v mprj/u_mac_wrap/_04330_/B (sky130_fd_sc_hd__nor4_2)
-                  0.41    0.45    9.51 ^ mprj/u_mac_wrap/_04330_/Y (sky130_fd_sc_hd__nor4_2)
-     4    0.01                           mprj/u_mac_wrap/_01679_ (net)
-                  0.41    0.00    9.51 ^ mprj/u_mac_wrap/_04617_/A (sky130_fd_sc_hd__nand2_1)
-                  0.10    0.12    9.63 v mprj/u_mac_wrap/_04617_/Y (sky130_fd_sc_hd__nand2_1)
-     1    0.00                           mprj/u_mac_wrap/_01936_ (net)
-                  0.10    0.00    9.63 v mprj/u_mac_wrap/_06048_/B (sky130_fd_sc_hd__nor2_2)
-                  0.32    0.30    9.93 ^ mprj/u_mac_wrap/_06048_/Y (sky130_fd_sc_hd__nor2_2)
-     8    0.03                           mprj/u_mac_wrap/_02845_ (net)
-                  0.32    0.00    9.93 ^ mprj/u_mac_wrap/_06101_/B (sky130_fd_sc_hd__and2_1)
-                  0.17    0.28   10.20 ^ mprj/u_mac_wrap/_06101_/X (sky130_fd_sc_hd__and2_1)
-     5    0.02                           mprj/u_mac_wrap/_02855_ (net)
-                  0.17    0.00   10.20 ^ mprj/u_mac_wrap/_06106_/A3 (sky130_fd_sc_hd__a31o_1)
-                  0.05    0.17   10.38 ^ mprj/u_mac_wrap/_06106_/X (sky130_fd_sc_hd__a31o_1)
-     1    0.00                           mprj/u_mac_wrap/_02858_ (net)
-                  0.05    0.00   10.38 ^ mprj/u_mac_wrap/_06107_/B (sky130_fd_sc_hd__nor2_1)
-                  0.04    0.04   10.42 v mprj/u_mac_wrap/_06107_/Y (sky130_fd_sc_hd__nor2_1)
-     1    0.00                           mprj/u_mac_wrap/_00566_ (net)
-                  0.04    0.00   10.42 v mprj/u_mac_wrap/_09044_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 10.42   data arrival time
+                  0.19    0.00    9.19 ^ mprj/u_mac_wrap/_04320_/D (sky130_fd_sc_hd__and4_1)
+                  0.16    0.31    9.50 ^ mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__and4_1)
+     4    0.01                           mprj/u_mac_wrap/_01644_ (net)
+                  0.16    0.00    9.50 ^ mprj/u_mac_wrap/_04321_/C (sky130_fd_sc_hd__and3_1)
+                  0.19    0.29    9.79 ^ mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__and3_1)
+     4    0.02                           mprj/u_mac_wrap/_01645_ (net)
+                  0.19    0.00    9.79 ^ mprj/u_mac_wrap/_04327_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.14    0.31   10.10 ^ mprj/u_mac_wrap/_04327_/X (sky130_fd_sc_hd__o2111a_1)
+     2    0.01                           mprj/u_mac_wrap/_01651_ (net)
+                  0.14    0.00   10.10 ^ mprj/u_mac_wrap/_04341_/C1 (sky130_fd_sc_hd__o211ai_1)
+                  0.10    0.13   10.23 v mprj/u_mac_wrap/_04341_/Y (sky130_fd_sc_hd__o211ai_1)
+     2    0.01                           mprj/u_mac_wrap/_01665_ (net)
+                  0.10    0.00   10.23 v mprj/u_mac_wrap/_04347_/D1 (sky130_fd_sc_hd__a2111o_1)
+                  0.06    0.31   10.54 v mprj/u_mac_wrap/_04347_/X (sky130_fd_sc_hd__a2111o_1)
+     1    0.00                           mprj/u_mac_wrap/_01671_ (net)
+                  0.06    0.00   10.54 v mprj/u_mac_wrap/_04355_/A (sky130_fd_sc_hd__nor3_1)
+                  0.34    0.35   10.89 ^ mprj/u_mac_wrap/_04355_/Y (sky130_fd_sc_hd__nor3_1)
+     2    0.01                           mprj/u_mac_wrap/_01679_ (net)
+                  0.34    0.00   10.89 ^ mprj/u_mac_wrap/_04357_/B (sky130_fd_sc_hd__and2_1)
+                  0.15    0.27   11.16 ^ mprj/u_mac_wrap/_04357_/X (sky130_fd_sc_hd__and2_1)
+     4    0.01                           mprj/u_mac_wrap/_01681_ (net)
+                  0.15    0.00   11.16 ^ mprj/u_mac_wrap/_04388_/A_N (sky130_fd_sc_hd__nand3b_1)
+                  0.14    0.19   11.34 ^ mprj/u_mac_wrap/_04388_/Y (sky130_fd_sc_hd__nand3b_1)
+     3    0.01                           mprj/u_mac_wrap/_01712_ (net)
+                  0.14    0.00   11.34 ^ mprj/u_mac_wrap/_04634_/A_N (sky130_fd_sc_hd__and2b_1)
+                  0.10    0.27   11.62 v mprj/u_mac_wrap/_04634_/X (sky130_fd_sc_hd__and2b_1)
+     5    0.02                           mprj/u_mac_wrap/_01928_ (net)
+                  0.10    0.00   11.62 v mprj/u_mac_wrap/_04645_/A (sky130_fd_sc_hd__or2_1)
+                  0.09    0.31   11.93 v mprj/u_mac_wrap/_04645_/X (sky130_fd_sc_hd__or2_1)
+     3    0.01                           mprj/u_mac_wrap/_01939_ (net)
+                  0.10    0.00   11.93 v mprj/u_mac_wrap/_06105_/B (sky130_fd_sc_hd__or3b_2)
+                  0.13    0.55   12.48 v mprj/u_mac_wrap/_06105_/X (sky130_fd_sc_hd__or3b_2)
+     4    0.02                           mprj/u_mac_wrap/_02877_ (net)
+                  0.13    0.00   12.48 v mprj/u_mac_wrap/fanout237/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.25   12.73 v mprj/u_mac_wrap/fanout237/X (sky130_fd_sc_hd__buf_2)
+    14    0.05                           mprj/u_mac_wrap/net237 (net)
+                  0.11    0.00   12.73 v mprj/u_mac_wrap/fanout236/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.10    0.24   12.97 v mprj/u_mac_wrap/fanout236/X (sky130_fd_sc_hd__clkbuf_4)
+    10    0.05                           mprj/u_mac_wrap/net236 (net)
+                  0.10    0.00   12.97 v mprj/u_mac_wrap/_06111_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.33   13.30 v mprj/u_mac_wrap/_06111_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00526_ (net)
+                  0.05    0.00   13.30 v mprj/u_mac_wrap/_09044_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 13.30   data arrival time
 
                          40.00   40.00   clock mac_rx_clk (rise edge)
                           0.00   40.00   clock source latency
@@ -138082,45 +153127,63 @@
                   0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17   41.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00   41.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21   41.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00   41.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43   42.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03   42.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24   42.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12   41.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00   41.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81   42.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21   42.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42   43.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01   43.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15   43.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00   43.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32   43.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00   43.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28   44.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00   44.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21   44.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01   44.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16   44.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00   42.61 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.15   42.76 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00   42.76 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15   42.91 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
-                  0.06    0.00   42.91 ^ mprj/u_mac_wrap/clkbuf_3_4_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.17    0.22   43.13 ^ mprj/u_mac_wrap/clkbuf_3_4_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    12    0.09                           mprj/u_mac_wrap/clknet_3_4_0_phy_rx_clk (net)
-                  0.17    0.00   43.13 ^ mprj/u_mac_wrap/clkbuf_leaf_17_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.16   43.30 ^ mprj/u_mac_wrap/clkbuf_leaf_17_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     7    0.02                           mprj/u_mac_wrap/clknet_leaf_17_phy_rx_clk (net)
-                  0.05    0.00   43.30 ^ mprj/u_mac_wrap/_09044_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   43.05   clock uncertainty
-                          2.34   45.39   clock reconvergence pessimism
-                         -0.11   45.28   library setup time
-                                 45.28   data required time
+                  0.05    0.00   44.41 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   44.52 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
+                  0.03    0.00   44.52 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.14   44.66 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_1_1_1_phy_rx_clk (net)
+                  0.07    0.00   44.66 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   44.80 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_3_0_phy_rx_clk (net)
+                  0.06    0.00   44.81 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.17    0.22   45.02 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.10                           mprj/u_mac_wrap/clknet_3_6_0_phy_rx_clk (net)
+                  0.17    0.00   45.03 ^ mprj/u_mac_wrap/clkbuf_leaf_24_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   45.19 ^ mprj/u_mac_wrap/clkbuf_leaf_24_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.02                           mprj/u_mac_wrap/clknet_leaf_24_phy_rx_clk (net)
+                  0.04    0.00   45.19 ^ mprj/u_mac_wrap/_09044_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   44.94   clock uncertainty
+                          2.51   47.45   clock reconvergence pessimism
+                         -0.12   47.33   library setup time
+                                 47.33   data required time
 -----------------------------------------------------------------------------
-                                 45.28   data required time
-                                -10.42   data arrival time
+                                 47.33   data required time
+                                -13.30   data arrival time
 -----------------------------------------------------------------------------
-                                 34.86   slack (MET)
+                                 34.03   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_09078_
+Startpoint: mprj/u_mac_wrap/_09129_
             (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_09094_
+Endpoint: mprj/u_mac_wrap/_09063_
           (rising edge-triggered flip-flop clocked by mac_rx_clk)
 Path Group: mac_rx_clk
 Path Type: max
@@ -138144,77 +153207,104 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.09 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.16    5.25 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
-                  0.06    0.00    5.25 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.27    5.52 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.12                           mprj/u_mac_wrap/clknet_3_5_0_phy_rx_clk (net)
-                  0.22    0.00    5.52 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.20    5.72 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.03                           mprj/u_mac_wrap/clknet_leaf_8_phy_rx_clk (net)
-                  0.06    0.00    5.72 ^ mprj/u_mac_wrap/_09078_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.05    0.34    6.06 ^ mprj/u_mac_wrap/_09078_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[1] (net)
-                  0.05    0.00    6.06 ^ mprj/u_mac_wrap/fanout451/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.17    0.20    6.27 ^ mprj/u_mac_wrap/fanout451/X (sky130_fd_sc_hd__clkbuf_2)
-     7    0.03                           mprj/u_mac_wrap/net451 (net)
-                  0.17    0.00    6.27 ^ mprj/u_mac_wrap/fanout450/A (sky130_fd_sc_hd__buf_2)
-                  0.21    0.27    6.54 ^ mprj/u_mac_wrap/fanout450/X (sky130_fd_sc_hd__buf_2)
-    10    0.04                           mprj/u_mac_wrap/net450 (net)
-                  0.21    0.00    6.54 ^ mprj/u_mac_wrap/_04293_/C (sky130_fd_sc_hd__and4_1)
-                  0.18    0.33    6.88 ^ mprj/u_mac_wrap/_04293_/X (sky130_fd_sc_hd__and4_1)
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15    7.14 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_1_1_1_phy_rx_clk (net)
+                  0.07    0.00    7.14 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.30 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_3_0_phy_rx_clk (net)
+                  0.06    0.00    7.30 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.17    0.24    7.53 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.10                           mprj/u_mac_wrap/clknet_3_6_0_phy_rx_clk (net)
+                  0.17    0.00    7.54 ^ mprj/u_mac_wrap/clkbuf_leaf_9_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    7.70 ^ mprj/u_mac_wrap/clkbuf_leaf_9_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     3    0.01                           mprj/u_mac_wrap/clknet_leaf_9_phy_rx_clk (net)
+                  0.04    0.00    7.70 ^ mprj/u_mac_wrap/_09129_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.27    0.50    8.21 ^ mprj/u_mac_wrap/_09129_/Q (sky130_fd_sc_hd__dfrtp_1)
+     8    0.03                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[2] (net)
+                  0.27    0.00    8.21 ^ mprj/u_mac_wrap/fanout447/A (sky130_fd_sc_hd__buf_2)
+                  0.20    0.30    8.50 ^ mprj/u_mac_wrap/fanout447/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_mac_wrap/net447 (net)
+                  0.20    0.00    8.50 ^ mprj/u_mac_wrap/_04316_/B (sky130_fd_sc_hd__and4_2)
+                  0.15    0.35    8.86 ^ mprj/u_mac_wrap/_04316_/X (sky130_fd_sc_hd__and4_2)
+     6    0.02                           mprj/u_mac_wrap/_01640_ (net)
+                  0.15    0.00    8.86 ^ mprj/u_mac_wrap/_04318_/D (sky130_fd_sc_hd__and4_1)
+                  0.19    0.33    9.19 ^ mprj/u_mac_wrap/_04318_/X (sky130_fd_sc_hd__and4_1)
      5    0.02                           mprj/u_mac_wrap/_01642_ (net)
-                  0.18    0.00    6.88 ^ mprj/u_mac_wrap/_04295_/D (sky130_fd_sc_hd__and4_2)
-                  0.14    0.35    7.22 ^ mprj/u_mac_wrap/_04295_/X (sky130_fd_sc_hd__and4_2)
-     6    0.02                           mprj/u_mac_wrap/_01644_ (net)
-                  0.14    0.00    7.22 ^ mprj/u_mac_wrap/_04297_/D (sky130_fd_sc_hd__and4_1)
-                  0.20    0.34    7.56 ^ mprj/u_mac_wrap/_04297_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01646_ (net)
-                  0.20    0.00    7.56 ^ mprj/u_mac_wrap/_04298_/C (sky130_fd_sc_hd__nand3_1)
-                  0.15    0.19    7.75 v mprj/u_mac_wrap/_04298_/Y (sky130_fd_sc_hd__nand3_1)
-     3    0.01                           mprj/u_mac_wrap/_01647_ (net)
-                  0.15    0.00    7.75 v mprj/u_mac_wrap/_04304_/A1 (sky130_fd_sc_hd__a2111o_1)
-                  0.13    0.50    8.25 v mprj/u_mac_wrap/_04304_/X (sky130_fd_sc_hd__a2111o_1)
-     3    0.02                           mprj/u_mac_wrap/_01653_ (net)
-                  0.13    0.00    8.25 v mprj/u_mac_wrap/_04320_/B1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.35    8.60 v mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_mac_wrap/_01669_ (net)
-                  0.05    0.00    8.60 v mprj/u_mac_wrap/_04321_/D (sky130_fd_sc_hd__or4_1)
-                  0.11    0.46    9.06 v mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01670_ (net)
-                  0.11    0.00    9.06 v mprj/u_mac_wrap/_04330_/B (sky130_fd_sc_hd__nor4_2)
-                  0.41    0.45    9.51 ^ mprj/u_mac_wrap/_04330_/Y (sky130_fd_sc_hd__nor4_2)
-     4    0.01                           mprj/u_mac_wrap/_01679_ (net)
-                  0.41    0.00    9.51 ^ mprj/u_mac_wrap/_04361_/A_N (sky130_fd_sc_hd__nand3b_1)
-                  0.20    0.27    9.78 ^ mprj/u_mac_wrap/_04361_/Y (sky130_fd_sc_hd__nand3b_1)
-     3    0.02                           mprj/u_mac_wrap/_01710_ (net)
-                  0.20    0.00    9.78 ^ mprj/u_mac_wrap/_04609_/A_N (sky130_fd_sc_hd__and2b_1)
-                  0.08    0.27   10.05 v mprj/u_mac_wrap/_04609_/X (sky130_fd_sc_hd__and2b_1)
-     3    0.01                           mprj/u_mac_wrap/_01928_ (net)
-                  0.08    0.00   10.05 v mprj/u_mac_wrap/_06322_/B (sky130_fd_sc_hd__and2_1)
-                  0.09    0.23   10.28 v mprj/u_mac_wrap/_06322_/X (sky130_fd_sc_hd__and2_1)
-     5    0.02                           mprj/u_mac_wrap/_03024_ (net)
-                  0.09    0.00   10.28 v mprj/u_mac_wrap/_06323_/C (sky130_fd_sc_hd__nor3_1)
-                  0.14    0.14   10.42 ^ mprj/u_mac_wrap/_06323_/Y (sky130_fd_sc_hd__nor3_1)
-     1    0.00                           mprj/u_mac_wrap/_00616_ (net)
-                  0.14    0.00   10.42 ^ mprj/u_mac_wrap/_09094_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 10.42   data arrival time
+                  0.19    0.00    9.19 ^ mprj/u_mac_wrap/_04320_/D (sky130_fd_sc_hd__and4_1)
+                  0.16    0.31    9.50 ^ mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__and4_1)
+     4    0.01                           mprj/u_mac_wrap/_01644_ (net)
+                  0.16    0.00    9.50 ^ mprj/u_mac_wrap/_04321_/C (sky130_fd_sc_hd__and3_1)
+                  0.19    0.29    9.79 ^ mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__and3_1)
+     4    0.02                           mprj/u_mac_wrap/_01645_ (net)
+                  0.19    0.00    9.79 ^ mprj/u_mac_wrap/_04327_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.14    0.31   10.10 ^ mprj/u_mac_wrap/_04327_/X (sky130_fd_sc_hd__o2111a_1)
+     2    0.01                           mprj/u_mac_wrap/_01651_ (net)
+                  0.14    0.00   10.10 ^ mprj/u_mac_wrap/_04341_/C1 (sky130_fd_sc_hd__o211ai_1)
+                  0.10    0.13   10.23 v mprj/u_mac_wrap/_04341_/Y (sky130_fd_sc_hd__o211ai_1)
+     2    0.01                           mprj/u_mac_wrap/_01665_ (net)
+                  0.10    0.00   10.23 v mprj/u_mac_wrap/_04347_/D1 (sky130_fd_sc_hd__a2111o_1)
+                  0.06    0.31   10.54 v mprj/u_mac_wrap/_04347_/X (sky130_fd_sc_hd__a2111o_1)
+     1    0.00                           mprj/u_mac_wrap/_01671_ (net)
+                  0.06    0.00   10.54 v mprj/u_mac_wrap/_04355_/A (sky130_fd_sc_hd__nor3_1)
+                  0.34    0.35   10.89 ^ mprj/u_mac_wrap/_04355_/Y (sky130_fd_sc_hd__nor3_1)
+     2    0.01                           mprj/u_mac_wrap/_01679_ (net)
+                  0.34    0.00   10.89 ^ mprj/u_mac_wrap/_04357_/B (sky130_fd_sc_hd__and2_1)
+                  0.15    0.27   11.16 ^ mprj/u_mac_wrap/_04357_/X (sky130_fd_sc_hd__and2_1)
+     4    0.01                           mprj/u_mac_wrap/_01681_ (net)
+                  0.15    0.00   11.16 ^ mprj/u_mac_wrap/_04388_/A_N (sky130_fd_sc_hd__nand3b_1)
+                  0.14    0.19   11.34 ^ mprj/u_mac_wrap/_04388_/Y (sky130_fd_sc_hd__nand3b_1)
+     3    0.01                           mprj/u_mac_wrap/_01712_ (net)
+                  0.14    0.00   11.34 ^ mprj/u_mac_wrap/_04634_/A_N (sky130_fd_sc_hd__and2b_1)
+                  0.10    0.27   11.62 v mprj/u_mac_wrap/_04634_/X (sky130_fd_sc_hd__and2b_1)
+     5    0.02                           mprj/u_mac_wrap/_01928_ (net)
+                  0.10    0.00   11.62 v mprj/u_mac_wrap/_04645_/A (sky130_fd_sc_hd__or2_1)
+                  0.09    0.31   11.93 v mprj/u_mac_wrap/_04645_/X (sky130_fd_sc_hd__or2_1)
+     3    0.01                           mprj/u_mac_wrap/_01939_ (net)
+                  0.10    0.00   11.93 v mprj/u_mac_wrap/_06105_/B (sky130_fd_sc_hd__or3b_2)
+                  0.13    0.55   12.48 v mprj/u_mac_wrap/_06105_/X (sky130_fd_sc_hd__or3b_2)
+     4    0.02                           mprj/u_mac_wrap/_02877_ (net)
+                  0.13    0.00   12.48 v mprj/u_mac_wrap/fanout237/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.25   12.73 v mprj/u_mac_wrap/fanout237/X (sky130_fd_sc_hd__buf_2)
+    14    0.05                           mprj/u_mac_wrap/net237 (net)
+                  0.11    0.00   12.73 v mprj/u_mac_wrap/fanout236/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.10    0.24   12.97 v mprj/u_mac_wrap/fanout236/X (sky130_fd_sc_hd__clkbuf_4)
+    10    0.05                           mprj/u_mac_wrap/net236 (net)
+                  0.10    0.00   12.97 v mprj/u_mac_wrap/_06130_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.33   13.30 v mprj/u_mac_wrap/_06130_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00545_ (net)
+                  0.05    0.00   13.30 v mprj/u_mac_wrap/_09063_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 13.30   data arrival time
 
                          40.00   40.00   clock mac_rx_clk (rise edge)
                           0.00   40.00   clock source latency
@@ -138233,45 +153323,63 @@
                   0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17   41.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00   41.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21   41.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00   41.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43   42.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03   42.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24   42.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12   41.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00   41.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81   42.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21   42.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42   43.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01   43.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15   43.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00   43.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32   43.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00   43.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28   44.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00   44.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21   44.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01   44.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16   44.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00   42.61 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.15   42.76 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00   42.76 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15   42.91 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
-                  0.06    0.00   42.91 ^ mprj/u_mac_wrap/clkbuf_3_4_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.17    0.22   43.13 ^ mprj/u_mac_wrap/clkbuf_3_4_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    12    0.09                           mprj/u_mac_wrap/clknet_3_4_0_phy_rx_clk (net)
-                  0.17    0.00   43.13 ^ mprj/u_mac_wrap/clkbuf_leaf_17_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.16   43.30 ^ mprj/u_mac_wrap/clkbuf_leaf_17_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     7    0.02                           mprj/u_mac_wrap/clknet_leaf_17_phy_rx_clk (net)
-                  0.05    0.00   43.30 ^ mprj/u_mac_wrap/_09094_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   43.05   clock uncertainty
-                          2.34   45.39   clock reconvergence pessimism
-                         -0.08   45.30   library setup time
-                                 45.30   data required time
+                  0.05    0.00   44.41 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   44.52 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
+                  0.03    0.00   44.52 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.14   44.66 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_1_1_1_phy_rx_clk (net)
+                  0.07    0.00   44.66 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   44.80 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_3_0_phy_rx_clk (net)
+                  0.06    0.00   44.81 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.17    0.22   45.02 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.10                           mprj/u_mac_wrap/clknet_3_6_0_phy_rx_clk (net)
+                  0.17    0.00   45.03 ^ mprj/u_mac_wrap/clkbuf_leaf_24_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   45.19 ^ mprj/u_mac_wrap/clkbuf_leaf_24_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.02                           mprj/u_mac_wrap/clknet_leaf_24_phy_rx_clk (net)
+                  0.04    0.00   45.19 ^ mprj/u_mac_wrap/_09063_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   44.94   clock uncertainty
+                          2.51   47.45   clock reconvergence pessimism
+                         -0.12   47.33   library setup time
+                                 47.33   data required time
 -----------------------------------------------------------------------------
-                                 45.30   data required time
-                                -10.42   data arrival time
+                                 47.33   data required time
+                                -13.30   data arrival time
 -----------------------------------------------------------------------------
-                                 34.89   slack (MET)
+                                 34.03   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_09078_
+Startpoint: mprj/u_mac_wrap/_09129_
             (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_09043_
+Endpoint: mprj/u_mac_wrap/_09055_
           (rising edge-triggered flip-flop clocked by mac_rx_clk)
 Path Group: mac_rx_clk
 Path Type: max
@@ -138295,80 +153403,104 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.09 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.16    5.25 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
-                  0.06    0.00    5.25 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.27    5.52 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.12                           mprj/u_mac_wrap/clknet_3_5_0_phy_rx_clk (net)
-                  0.22    0.00    5.52 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.20    5.72 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.03                           mprj/u_mac_wrap/clknet_leaf_8_phy_rx_clk (net)
-                  0.06    0.00    5.72 ^ mprj/u_mac_wrap/_09078_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.05    0.34    6.06 ^ mprj/u_mac_wrap/_09078_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[1] (net)
-                  0.05    0.00    6.06 ^ mprj/u_mac_wrap/fanout451/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.17    0.20    6.27 ^ mprj/u_mac_wrap/fanout451/X (sky130_fd_sc_hd__clkbuf_2)
-     7    0.03                           mprj/u_mac_wrap/net451 (net)
-                  0.17    0.00    6.27 ^ mprj/u_mac_wrap/fanout450/A (sky130_fd_sc_hd__buf_2)
-                  0.21    0.27    6.54 ^ mprj/u_mac_wrap/fanout450/X (sky130_fd_sc_hd__buf_2)
-    10    0.04                           mprj/u_mac_wrap/net450 (net)
-                  0.21    0.00    6.54 ^ mprj/u_mac_wrap/_04293_/C (sky130_fd_sc_hd__and4_1)
-                  0.18    0.33    6.88 ^ mprj/u_mac_wrap/_04293_/X (sky130_fd_sc_hd__and4_1)
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15    7.14 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_1_1_1_phy_rx_clk (net)
+                  0.07    0.00    7.14 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.30 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_3_0_phy_rx_clk (net)
+                  0.06    0.00    7.30 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.17    0.24    7.53 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.10                           mprj/u_mac_wrap/clknet_3_6_0_phy_rx_clk (net)
+                  0.17    0.00    7.54 ^ mprj/u_mac_wrap/clkbuf_leaf_9_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    7.70 ^ mprj/u_mac_wrap/clkbuf_leaf_9_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     3    0.01                           mprj/u_mac_wrap/clknet_leaf_9_phy_rx_clk (net)
+                  0.04    0.00    7.70 ^ mprj/u_mac_wrap/_09129_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.27    0.50    8.21 ^ mprj/u_mac_wrap/_09129_/Q (sky130_fd_sc_hd__dfrtp_1)
+     8    0.03                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[2] (net)
+                  0.27    0.00    8.21 ^ mprj/u_mac_wrap/fanout447/A (sky130_fd_sc_hd__buf_2)
+                  0.20    0.30    8.50 ^ mprj/u_mac_wrap/fanout447/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_mac_wrap/net447 (net)
+                  0.20    0.00    8.50 ^ mprj/u_mac_wrap/_04316_/B (sky130_fd_sc_hd__and4_2)
+                  0.15    0.35    8.86 ^ mprj/u_mac_wrap/_04316_/X (sky130_fd_sc_hd__and4_2)
+     6    0.02                           mprj/u_mac_wrap/_01640_ (net)
+                  0.15    0.00    8.86 ^ mprj/u_mac_wrap/_04318_/D (sky130_fd_sc_hd__and4_1)
+                  0.19    0.33    9.19 ^ mprj/u_mac_wrap/_04318_/X (sky130_fd_sc_hd__and4_1)
      5    0.02                           mprj/u_mac_wrap/_01642_ (net)
-                  0.18    0.00    6.88 ^ mprj/u_mac_wrap/_04295_/D (sky130_fd_sc_hd__and4_2)
-                  0.14    0.35    7.22 ^ mprj/u_mac_wrap/_04295_/X (sky130_fd_sc_hd__and4_2)
-     6    0.02                           mprj/u_mac_wrap/_01644_ (net)
-                  0.14    0.00    7.22 ^ mprj/u_mac_wrap/_04297_/D (sky130_fd_sc_hd__and4_1)
-                  0.20    0.34    7.56 ^ mprj/u_mac_wrap/_04297_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01646_ (net)
-                  0.20    0.00    7.56 ^ mprj/u_mac_wrap/_04298_/C (sky130_fd_sc_hd__nand3_1)
-                  0.15    0.19    7.75 v mprj/u_mac_wrap/_04298_/Y (sky130_fd_sc_hd__nand3_1)
-     3    0.01                           mprj/u_mac_wrap/_01647_ (net)
-                  0.15    0.00    7.75 v mprj/u_mac_wrap/_04304_/A1 (sky130_fd_sc_hd__a2111o_1)
-                  0.13    0.50    8.25 v mprj/u_mac_wrap/_04304_/X (sky130_fd_sc_hd__a2111o_1)
-     3    0.02                           mprj/u_mac_wrap/_01653_ (net)
-                  0.13    0.00    8.25 v mprj/u_mac_wrap/_04320_/B1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.35    8.60 v mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_mac_wrap/_01669_ (net)
-                  0.05    0.00    8.60 v mprj/u_mac_wrap/_04321_/D (sky130_fd_sc_hd__or4_1)
-                  0.11    0.46    9.06 v mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01670_ (net)
-                  0.11    0.00    9.06 v mprj/u_mac_wrap/_04330_/B (sky130_fd_sc_hd__nor4_2)
-                  0.41    0.45    9.51 ^ mprj/u_mac_wrap/_04330_/Y (sky130_fd_sc_hd__nor4_2)
-     4    0.01                           mprj/u_mac_wrap/_01679_ (net)
-                  0.41    0.00    9.51 ^ mprj/u_mac_wrap/_04617_/A (sky130_fd_sc_hd__nand2_1)
-                  0.10    0.12    9.63 v mprj/u_mac_wrap/_04617_/Y (sky130_fd_sc_hd__nand2_1)
-     1    0.00                           mprj/u_mac_wrap/_01936_ (net)
-                  0.10    0.00    9.63 v mprj/u_mac_wrap/_06048_/B (sky130_fd_sc_hd__nor2_2)
-                  0.32    0.30    9.93 ^ mprj/u_mac_wrap/_06048_/Y (sky130_fd_sc_hd__nor2_2)
-     8    0.03                           mprj/u_mac_wrap/_02845_ (net)
-                  0.32    0.00    9.93 ^ mprj/u_mac_wrap/_06101_/B (sky130_fd_sc_hd__and2_1)
-                  0.17    0.28   10.20 ^ mprj/u_mac_wrap/_06101_/X (sky130_fd_sc_hd__and2_1)
-     5    0.02                           mprj/u_mac_wrap/_02855_ (net)
-                  0.17    0.00   10.20 ^ mprj/u_mac_wrap/_06103_/A2 (sky130_fd_sc_hd__o21ai_1)
-                  0.06    0.08   10.28 v mprj/u_mac_wrap/_06103_/Y (sky130_fd_sc_hd__o21ai_1)
-     1    0.00                           mprj/u_mac_wrap/_02856_ (net)
-                  0.06    0.00   10.28 v mprj/u_mac_wrap/_06104_/B1 (sky130_fd_sc_hd__a21oi_1)
-                  0.11    0.12   10.40 ^ mprj/u_mac_wrap/_06104_/Y (sky130_fd_sc_hd__a21oi_1)
-     1    0.00                           mprj/u_mac_wrap/_00565_ (net)
-                  0.11    0.00   10.40 ^ mprj/u_mac_wrap/_09043_/D (sky130_fd_sc_hd__dfrtp_2)
-                                 10.40   data arrival time
+                  0.19    0.00    9.19 ^ mprj/u_mac_wrap/_04320_/D (sky130_fd_sc_hd__and4_1)
+                  0.16    0.31    9.50 ^ mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__and4_1)
+     4    0.01                           mprj/u_mac_wrap/_01644_ (net)
+                  0.16    0.00    9.50 ^ mprj/u_mac_wrap/_04321_/C (sky130_fd_sc_hd__and3_1)
+                  0.19    0.29    9.79 ^ mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__and3_1)
+     4    0.02                           mprj/u_mac_wrap/_01645_ (net)
+                  0.19    0.00    9.79 ^ mprj/u_mac_wrap/_04327_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.14    0.31   10.10 ^ mprj/u_mac_wrap/_04327_/X (sky130_fd_sc_hd__o2111a_1)
+     2    0.01                           mprj/u_mac_wrap/_01651_ (net)
+                  0.14    0.00   10.10 ^ mprj/u_mac_wrap/_04341_/C1 (sky130_fd_sc_hd__o211ai_1)
+                  0.10    0.13   10.23 v mprj/u_mac_wrap/_04341_/Y (sky130_fd_sc_hd__o211ai_1)
+     2    0.01                           mprj/u_mac_wrap/_01665_ (net)
+                  0.10    0.00   10.23 v mprj/u_mac_wrap/_04347_/D1 (sky130_fd_sc_hd__a2111o_1)
+                  0.06    0.31   10.54 v mprj/u_mac_wrap/_04347_/X (sky130_fd_sc_hd__a2111o_1)
+     1    0.00                           mprj/u_mac_wrap/_01671_ (net)
+                  0.06    0.00   10.54 v mprj/u_mac_wrap/_04355_/A (sky130_fd_sc_hd__nor3_1)
+                  0.34    0.35   10.89 ^ mprj/u_mac_wrap/_04355_/Y (sky130_fd_sc_hd__nor3_1)
+     2    0.01                           mprj/u_mac_wrap/_01679_ (net)
+                  0.34    0.00   10.89 ^ mprj/u_mac_wrap/_04357_/B (sky130_fd_sc_hd__and2_1)
+                  0.15    0.27   11.16 ^ mprj/u_mac_wrap/_04357_/X (sky130_fd_sc_hd__and2_1)
+     4    0.01                           mprj/u_mac_wrap/_01681_ (net)
+                  0.15    0.00   11.16 ^ mprj/u_mac_wrap/_04388_/A_N (sky130_fd_sc_hd__nand3b_1)
+                  0.14    0.19   11.34 ^ mprj/u_mac_wrap/_04388_/Y (sky130_fd_sc_hd__nand3b_1)
+     3    0.01                           mprj/u_mac_wrap/_01712_ (net)
+                  0.14    0.00   11.34 ^ mprj/u_mac_wrap/_04634_/A_N (sky130_fd_sc_hd__and2b_1)
+                  0.10    0.27   11.62 v mprj/u_mac_wrap/_04634_/X (sky130_fd_sc_hd__and2b_1)
+     5    0.02                           mprj/u_mac_wrap/_01928_ (net)
+                  0.10    0.00   11.62 v mprj/u_mac_wrap/_04645_/A (sky130_fd_sc_hd__or2_1)
+                  0.09    0.31   11.93 v mprj/u_mac_wrap/_04645_/X (sky130_fd_sc_hd__or2_1)
+     3    0.01                           mprj/u_mac_wrap/_01939_ (net)
+                  0.10    0.00   11.93 v mprj/u_mac_wrap/_06105_/B (sky130_fd_sc_hd__or3b_2)
+                  0.13    0.55   12.48 v mprj/u_mac_wrap/_06105_/X (sky130_fd_sc_hd__or3b_2)
+     4    0.02                           mprj/u_mac_wrap/_02877_ (net)
+                  0.13    0.00   12.48 v mprj/u_mac_wrap/fanout237/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.25   12.73 v mprj/u_mac_wrap/fanout237/X (sky130_fd_sc_hd__buf_2)
+    14    0.05                           mprj/u_mac_wrap/net237 (net)
+                  0.11    0.00   12.73 v mprj/u_mac_wrap/fanout236/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.10    0.24   12.97 v mprj/u_mac_wrap/fanout236/X (sky130_fd_sc_hd__clkbuf_4)
+    10    0.05                           mprj/u_mac_wrap/net236 (net)
+                  0.10    0.00   12.97 v mprj/u_mac_wrap/_06122_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.33   13.30 v mprj/u_mac_wrap/_06122_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00537_ (net)
+                  0.05    0.00   13.30 v mprj/u_mac_wrap/_09055_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 13.30   data arrival time
 
                          40.00   40.00   clock mac_rx_clk (rise edge)
                           0.00   40.00   clock source latency
@@ -138387,45 +153519,63 @@
                   0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17   41.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00   41.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21   41.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00   41.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43   42.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03   42.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24   42.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12   41.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00   41.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81   42.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21   42.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42   43.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01   43.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15   43.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00   43.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32   43.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00   43.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28   44.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00   44.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21   44.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01   44.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16   44.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00   42.61 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.15   42.76 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00   42.76 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15   42.91 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
-                  0.06    0.00   42.91 ^ mprj/u_mac_wrap/clkbuf_3_4_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.17    0.22   43.13 ^ mprj/u_mac_wrap/clkbuf_3_4_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    12    0.09                           mprj/u_mac_wrap/clknet_3_4_0_phy_rx_clk (net)
-                  0.17    0.00   43.13 ^ mprj/u_mac_wrap/clkbuf_leaf_17_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.16   43.30 ^ mprj/u_mac_wrap/clkbuf_leaf_17_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     7    0.02                           mprj/u_mac_wrap/clknet_leaf_17_phy_rx_clk (net)
-                  0.05    0.00   43.30 ^ mprj/u_mac_wrap/_09043_/CLK (sky130_fd_sc_hd__dfrtp_2)
-                         -0.25   43.05   clock uncertainty
-                          2.34   45.39   clock reconvergence pessimism
-                         -0.08   45.31   library setup time
-                                 45.31   data required time
+                  0.05    0.00   44.41 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   44.52 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
+                  0.03    0.00   44.52 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.14   44.66 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_1_1_1_phy_rx_clk (net)
+                  0.07    0.00   44.66 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   44.80 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_3_0_phy_rx_clk (net)
+                  0.06    0.00   44.81 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.17    0.22   45.02 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.10                           mprj/u_mac_wrap/clknet_3_6_0_phy_rx_clk (net)
+                  0.17    0.00   45.03 ^ mprj/u_mac_wrap/clkbuf_leaf_24_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   45.19 ^ mprj/u_mac_wrap/clkbuf_leaf_24_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.02                           mprj/u_mac_wrap/clknet_leaf_24_phy_rx_clk (net)
+                  0.04    0.00   45.19 ^ mprj/u_mac_wrap/_09055_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   44.94   clock uncertainty
+                          2.51   47.45   clock reconvergence pessimism
+                         -0.12   47.33   library setup time
+                                 47.33   data required time
 -----------------------------------------------------------------------------
-                                 45.31   data required time
-                                -10.40   data arrival time
+                                 47.33   data required time
+                                -13.30   data arrival time
 -----------------------------------------------------------------------------
-                                 34.91   slack (MET)
+                                 34.03   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_09078_
+Startpoint: mprj/u_mac_wrap/_09129_
             (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_09041_
+Endpoint: mprj/u_mac_wrap/_09068_
           (rising edge-triggered flip-flop clocked by mac_rx_clk)
 Path Group: mac_rx_clk
 Path Type: max
@@ -138449,77 +153599,104 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.09 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.16    5.25 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
-                  0.06    0.00    5.25 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.27    5.52 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.12                           mprj/u_mac_wrap/clknet_3_5_0_phy_rx_clk (net)
-                  0.22    0.00    5.52 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.20    5.72 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.03                           mprj/u_mac_wrap/clknet_leaf_8_phy_rx_clk (net)
-                  0.06    0.00    5.72 ^ mprj/u_mac_wrap/_09078_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.05    0.34    6.06 ^ mprj/u_mac_wrap/_09078_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[1] (net)
-                  0.05    0.00    6.06 ^ mprj/u_mac_wrap/fanout451/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.17    0.20    6.27 ^ mprj/u_mac_wrap/fanout451/X (sky130_fd_sc_hd__clkbuf_2)
-     7    0.03                           mprj/u_mac_wrap/net451 (net)
-                  0.17    0.00    6.27 ^ mprj/u_mac_wrap/fanout450/A (sky130_fd_sc_hd__buf_2)
-                  0.21    0.27    6.54 ^ mprj/u_mac_wrap/fanout450/X (sky130_fd_sc_hd__buf_2)
-    10    0.04                           mprj/u_mac_wrap/net450 (net)
-                  0.21    0.00    6.54 ^ mprj/u_mac_wrap/_04293_/C (sky130_fd_sc_hd__and4_1)
-                  0.18    0.33    6.88 ^ mprj/u_mac_wrap/_04293_/X (sky130_fd_sc_hd__and4_1)
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15    7.14 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_1_1_1_phy_rx_clk (net)
+                  0.07    0.00    7.14 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.30 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_3_0_phy_rx_clk (net)
+                  0.06    0.00    7.30 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.17    0.24    7.53 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.10                           mprj/u_mac_wrap/clknet_3_6_0_phy_rx_clk (net)
+                  0.17    0.00    7.54 ^ mprj/u_mac_wrap/clkbuf_leaf_9_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    7.70 ^ mprj/u_mac_wrap/clkbuf_leaf_9_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     3    0.01                           mprj/u_mac_wrap/clknet_leaf_9_phy_rx_clk (net)
+                  0.04    0.00    7.70 ^ mprj/u_mac_wrap/_09129_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.27    0.50    8.21 ^ mprj/u_mac_wrap/_09129_/Q (sky130_fd_sc_hd__dfrtp_1)
+     8    0.03                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[2] (net)
+                  0.27    0.00    8.21 ^ mprj/u_mac_wrap/fanout447/A (sky130_fd_sc_hd__buf_2)
+                  0.20    0.30    8.50 ^ mprj/u_mac_wrap/fanout447/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_mac_wrap/net447 (net)
+                  0.20    0.00    8.50 ^ mprj/u_mac_wrap/_04316_/B (sky130_fd_sc_hd__and4_2)
+                  0.15    0.35    8.86 ^ mprj/u_mac_wrap/_04316_/X (sky130_fd_sc_hd__and4_2)
+     6    0.02                           mprj/u_mac_wrap/_01640_ (net)
+                  0.15    0.00    8.86 ^ mprj/u_mac_wrap/_04318_/D (sky130_fd_sc_hd__and4_1)
+                  0.19    0.33    9.19 ^ mprj/u_mac_wrap/_04318_/X (sky130_fd_sc_hd__and4_1)
      5    0.02                           mprj/u_mac_wrap/_01642_ (net)
-                  0.18    0.00    6.88 ^ mprj/u_mac_wrap/_04295_/D (sky130_fd_sc_hd__and4_2)
-                  0.14    0.35    7.22 ^ mprj/u_mac_wrap/_04295_/X (sky130_fd_sc_hd__and4_2)
-     6    0.02                           mprj/u_mac_wrap/_01644_ (net)
-                  0.14    0.00    7.22 ^ mprj/u_mac_wrap/_04297_/D (sky130_fd_sc_hd__and4_1)
-                  0.20    0.34    7.56 ^ mprj/u_mac_wrap/_04297_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01646_ (net)
-                  0.20    0.00    7.56 ^ mprj/u_mac_wrap/_04298_/C (sky130_fd_sc_hd__nand3_1)
-                  0.15    0.19    7.75 v mprj/u_mac_wrap/_04298_/Y (sky130_fd_sc_hd__nand3_1)
-     3    0.01                           mprj/u_mac_wrap/_01647_ (net)
-                  0.15    0.00    7.75 v mprj/u_mac_wrap/_04304_/A1 (sky130_fd_sc_hd__a2111o_1)
-                  0.13    0.50    8.25 v mprj/u_mac_wrap/_04304_/X (sky130_fd_sc_hd__a2111o_1)
-     3    0.02                           mprj/u_mac_wrap/_01653_ (net)
-                  0.13    0.00    8.25 v mprj/u_mac_wrap/_04320_/B1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.35    8.60 v mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_mac_wrap/_01669_ (net)
-                  0.05    0.00    8.60 v mprj/u_mac_wrap/_04321_/D (sky130_fd_sc_hd__or4_1)
-                  0.11    0.46    9.06 v mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01670_ (net)
-                  0.11    0.00    9.06 v mprj/u_mac_wrap/_04330_/B (sky130_fd_sc_hd__nor4_2)
-                  0.41    0.45    9.51 ^ mprj/u_mac_wrap/_04330_/Y (sky130_fd_sc_hd__nor4_2)
-     4    0.01                           mprj/u_mac_wrap/_01679_ (net)
-                  0.41    0.00    9.51 ^ mprj/u_mac_wrap/_04619_/A (sky130_fd_sc_hd__and3_1)
-                  0.11    0.28    9.79 ^ mprj/u_mac_wrap/_04619_/X (sky130_fd_sc_hd__and3_1)
-     3    0.01                           mprj/u_mac_wrap/_01938_ (net)
-                  0.11    0.00    9.79 ^ mprj/u_mac_wrap/_06093_/B (sky130_fd_sc_hd__and2_1)
-                  0.18    0.24   10.03 ^ mprj/u_mac_wrap/_06093_/X (sky130_fd_sc_hd__and2_1)
-     5    0.02                           mprj/u_mac_wrap/_02850_ (net)
-                  0.18    0.00   10.03 ^ mprj/u_mac_wrap/_06098_/A3 (sky130_fd_sc_hd__a31o_1)
-                  0.05    0.18   10.21 ^ mprj/u_mac_wrap/_06098_/X (sky130_fd_sc_hd__a31o_1)
-     1    0.00                           mprj/u_mac_wrap/_02853_ (net)
-                  0.05    0.00   10.21 ^ mprj/u_mac_wrap/_06099_/B (sky130_fd_sc_hd__nor2_1)
-                  0.04    0.04   10.25 v mprj/u_mac_wrap/_06099_/Y (sky130_fd_sc_hd__nor2_1)
-     1    0.00                           mprj/u_mac_wrap/_00563_ (net)
-                  0.04    0.00   10.25 v mprj/u_mac_wrap/_09041_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 10.25   data arrival time
+                  0.19    0.00    9.19 ^ mprj/u_mac_wrap/_04320_/D (sky130_fd_sc_hd__and4_1)
+                  0.16    0.31    9.50 ^ mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__and4_1)
+     4    0.01                           mprj/u_mac_wrap/_01644_ (net)
+                  0.16    0.00    9.50 ^ mprj/u_mac_wrap/_04321_/C (sky130_fd_sc_hd__and3_1)
+                  0.19    0.29    9.79 ^ mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__and3_1)
+     4    0.02                           mprj/u_mac_wrap/_01645_ (net)
+                  0.19    0.00    9.79 ^ mprj/u_mac_wrap/_04327_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.14    0.31   10.10 ^ mprj/u_mac_wrap/_04327_/X (sky130_fd_sc_hd__o2111a_1)
+     2    0.01                           mprj/u_mac_wrap/_01651_ (net)
+                  0.14    0.00   10.10 ^ mprj/u_mac_wrap/_04341_/C1 (sky130_fd_sc_hd__o211ai_1)
+                  0.10    0.13   10.23 v mprj/u_mac_wrap/_04341_/Y (sky130_fd_sc_hd__o211ai_1)
+     2    0.01                           mprj/u_mac_wrap/_01665_ (net)
+                  0.10    0.00   10.23 v mprj/u_mac_wrap/_04347_/D1 (sky130_fd_sc_hd__a2111o_1)
+                  0.06    0.31   10.54 v mprj/u_mac_wrap/_04347_/X (sky130_fd_sc_hd__a2111o_1)
+     1    0.00                           mprj/u_mac_wrap/_01671_ (net)
+                  0.06    0.00   10.54 v mprj/u_mac_wrap/_04355_/A (sky130_fd_sc_hd__nor3_1)
+                  0.34    0.35   10.89 ^ mprj/u_mac_wrap/_04355_/Y (sky130_fd_sc_hd__nor3_1)
+     2    0.01                           mprj/u_mac_wrap/_01679_ (net)
+                  0.34    0.00   10.89 ^ mprj/u_mac_wrap/_04357_/B (sky130_fd_sc_hd__and2_1)
+                  0.15    0.27   11.16 ^ mprj/u_mac_wrap/_04357_/X (sky130_fd_sc_hd__and2_1)
+     4    0.01                           mprj/u_mac_wrap/_01681_ (net)
+                  0.15    0.00   11.16 ^ mprj/u_mac_wrap/_04388_/A_N (sky130_fd_sc_hd__nand3b_1)
+                  0.14    0.19   11.34 ^ mprj/u_mac_wrap/_04388_/Y (sky130_fd_sc_hd__nand3b_1)
+     3    0.01                           mprj/u_mac_wrap/_01712_ (net)
+                  0.14    0.00   11.34 ^ mprj/u_mac_wrap/_04634_/A_N (sky130_fd_sc_hd__and2b_1)
+                  0.10    0.27   11.62 v mprj/u_mac_wrap/_04634_/X (sky130_fd_sc_hd__and2b_1)
+     5    0.02                           mprj/u_mac_wrap/_01928_ (net)
+                  0.10    0.00   11.62 v mprj/u_mac_wrap/_04645_/A (sky130_fd_sc_hd__or2_1)
+                  0.09    0.31   11.93 v mprj/u_mac_wrap/_04645_/X (sky130_fd_sc_hd__or2_1)
+     3    0.01                           mprj/u_mac_wrap/_01939_ (net)
+                  0.10    0.00   11.93 v mprj/u_mac_wrap/_06105_/B (sky130_fd_sc_hd__or3b_2)
+                  0.13    0.55   12.48 v mprj/u_mac_wrap/_06105_/X (sky130_fd_sc_hd__or3b_2)
+     4    0.02                           mprj/u_mac_wrap/_02877_ (net)
+                  0.13    0.00   12.48 v mprj/u_mac_wrap/fanout237/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.25   12.73 v mprj/u_mac_wrap/fanout237/X (sky130_fd_sc_hd__buf_2)
+    14    0.05                           mprj/u_mac_wrap/net237 (net)
+                  0.11    0.00   12.73 v mprj/u_mac_wrap/fanout236/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.10    0.24   12.97 v mprj/u_mac_wrap/fanout236/X (sky130_fd_sc_hd__clkbuf_4)
+    10    0.05                           mprj/u_mac_wrap/net236 (net)
+                  0.10    0.00   12.97 v mprj/u_mac_wrap/_06135_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.33   13.30 v mprj/u_mac_wrap/_06135_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00550_ (net)
+                  0.05    0.00   13.30 v mprj/u_mac_wrap/_09068_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 13.30   data arrival time
 
                          40.00   40.00   clock mac_rx_clk (rise edge)
                           0.00   40.00   clock source latency
@@ -138538,45 +153715,63 @@
                   0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17   41.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00   41.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21   41.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00   41.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43   42.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03   42.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24   42.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12   41.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00   41.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81   42.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21   42.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42   43.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01   43.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15   43.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00   43.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32   43.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00   43.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28   44.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00   44.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21   44.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01   44.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16   44.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00   42.61 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.15   42.76 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00   42.76 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15   42.91 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
-                  0.06    0.00   42.91 ^ mprj/u_mac_wrap/clkbuf_3_4_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.17    0.22   43.13 ^ mprj/u_mac_wrap/clkbuf_3_4_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    12    0.09                           mprj/u_mac_wrap/clknet_3_4_0_phy_rx_clk (net)
-                  0.17    0.00   43.13 ^ mprj/u_mac_wrap/clkbuf_leaf_17_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.16   43.30 ^ mprj/u_mac_wrap/clkbuf_leaf_17_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     7    0.02                           mprj/u_mac_wrap/clknet_leaf_17_phy_rx_clk (net)
-                  0.05    0.00   43.30 ^ mprj/u_mac_wrap/_09041_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   43.05   clock uncertainty
-                          2.34   45.39   clock reconvergence pessimism
-                         -0.11   45.28   library setup time
-                                 45.28   data required time
+                  0.05    0.00   44.41 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   44.52 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
+                  0.03    0.00   44.52 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.14   44.66 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_1_1_1_phy_rx_clk (net)
+                  0.07    0.00   44.66 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   44.80 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_3_0_phy_rx_clk (net)
+                  0.06    0.00   44.81 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.17    0.22   45.02 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.10                           mprj/u_mac_wrap/clknet_3_6_0_phy_rx_clk (net)
+                  0.17    0.00   45.03 ^ mprj/u_mac_wrap/clkbuf_leaf_24_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   45.19 ^ mprj/u_mac_wrap/clkbuf_leaf_24_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.02                           mprj/u_mac_wrap/clknet_leaf_24_phy_rx_clk (net)
+                  0.04    0.00   45.19 ^ mprj/u_mac_wrap/_09068_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   44.94   clock uncertainty
+                          2.51   47.45   clock reconvergence pessimism
+                         -0.12   47.33   library setup time
+                                 47.33   data required time
 -----------------------------------------------------------------------------
-                                 45.28   data required time
-                                -10.25   data arrival time
+                                 47.33   data required time
+                                -13.30   data arrival time
 -----------------------------------------------------------------------------
-                                 35.03   slack (MET)
+                                 34.03   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_09078_
+Startpoint: mprj/u_mac_wrap/_09129_
             (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_09051_
+Endpoint: mprj/u_mac_wrap/_09047_
           (rising edge-triggered flip-flop clocked by mac_rx_clk)
 Path Group: mac_rx_clk
 Path Type: max
@@ -138600,74 +153795,104 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.09 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.16    5.25 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
-                  0.06    0.00    5.25 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.27    5.52 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.12                           mprj/u_mac_wrap/clknet_3_5_0_phy_rx_clk (net)
-                  0.22    0.00    5.52 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.20    5.72 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.03                           mprj/u_mac_wrap/clknet_leaf_8_phy_rx_clk (net)
-                  0.06    0.00    5.72 ^ mprj/u_mac_wrap/_09078_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.05    0.34    6.06 ^ mprj/u_mac_wrap/_09078_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[1] (net)
-                  0.05    0.00    6.06 ^ mprj/u_mac_wrap/fanout451/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.17    0.20    6.27 ^ mprj/u_mac_wrap/fanout451/X (sky130_fd_sc_hd__clkbuf_2)
-     7    0.03                           mprj/u_mac_wrap/net451 (net)
-                  0.17    0.00    6.27 ^ mprj/u_mac_wrap/fanout450/A (sky130_fd_sc_hd__buf_2)
-                  0.21    0.27    6.54 ^ mprj/u_mac_wrap/fanout450/X (sky130_fd_sc_hd__buf_2)
-    10    0.04                           mprj/u_mac_wrap/net450 (net)
-                  0.21    0.00    6.54 ^ mprj/u_mac_wrap/_04293_/C (sky130_fd_sc_hd__and4_1)
-                  0.18    0.33    6.88 ^ mprj/u_mac_wrap/_04293_/X (sky130_fd_sc_hd__and4_1)
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15    7.14 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_1_1_1_phy_rx_clk (net)
+                  0.07    0.00    7.14 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.30 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_3_0_phy_rx_clk (net)
+                  0.06    0.00    7.30 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.17    0.24    7.53 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.10                           mprj/u_mac_wrap/clknet_3_6_0_phy_rx_clk (net)
+                  0.17    0.00    7.54 ^ mprj/u_mac_wrap/clkbuf_leaf_9_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    7.70 ^ mprj/u_mac_wrap/clkbuf_leaf_9_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     3    0.01                           mprj/u_mac_wrap/clknet_leaf_9_phy_rx_clk (net)
+                  0.04    0.00    7.70 ^ mprj/u_mac_wrap/_09129_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.27    0.50    8.21 ^ mprj/u_mac_wrap/_09129_/Q (sky130_fd_sc_hd__dfrtp_1)
+     8    0.03                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[2] (net)
+                  0.27    0.00    8.21 ^ mprj/u_mac_wrap/fanout447/A (sky130_fd_sc_hd__buf_2)
+                  0.20    0.30    8.50 ^ mprj/u_mac_wrap/fanout447/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_mac_wrap/net447 (net)
+                  0.20    0.00    8.50 ^ mprj/u_mac_wrap/_04316_/B (sky130_fd_sc_hd__and4_2)
+                  0.15    0.35    8.86 ^ mprj/u_mac_wrap/_04316_/X (sky130_fd_sc_hd__and4_2)
+     6    0.02                           mprj/u_mac_wrap/_01640_ (net)
+                  0.15    0.00    8.86 ^ mprj/u_mac_wrap/_04318_/D (sky130_fd_sc_hd__and4_1)
+                  0.19    0.33    9.19 ^ mprj/u_mac_wrap/_04318_/X (sky130_fd_sc_hd__and4_1)
      5    0.02                           mprj/u_mac_wrap/_01642_ (net)
-                  0.18    0.00    6.88 ^ mprj/u_mac_wrap/_04295_/D (sky130_fd_sc_hd__and4_2)
-                  0.14    0.35    7.22 ^ mprj/u_mac_wrap/_04295_/X (sky130_fd_sc_hd__and4_2)
-     6    0.02                           mprj/u_mac_wrap/_01644_ (net)
-                  0.14    0.00    7.22 ^ mprj/u_mac_wrap/_04297_/D (sky130_fd_sc_hd__and4_1)
-                  0.20    0.34    7.56 ^ mprj/u_mac_wrap/_04297_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01646_ (net)
-                  0.20    0.00    7.56 ^ mprj/u_mac_wrap/_04298_/C (sky130_fd_sc_hd__nand3_1)
-                  0.15    0.19    7.75 v mprj/u_mac_wrap/_04298_/Y (sky130_fd_sc_hd__nand3_1)
-     3    0.01                           mprj/u_mac_wrap/_01647_ (net)
-                  0.15    0.00    7.75 v mprj/u_mac_wrap/_04304_/A1 (sky130_fd_sc_hd__a2111o_1)
-                  0.13    0.50    8.25 v mprj/u_mac_wrap/_04304_/X (sky130_fd_sc_hd__a2111o_1)
-     3    0.02                           mprj/u_mac_wrap/_01653_ (net)
-                  0.13    0.00    8.25 v mprj/u_mac_wrap/_04320_/B1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.35    8.60 v mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_mac_wrap/_01669_ (net)
-                  0.05    0.00    8.60 v mprj/u_mac_wrap/_04321_/D (sky130_fd_sc_hd__or4_1)
-                  0.11    0.46    9.06 v mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01670_ (net)
-                  0.11    0.00    9.06 v mprj/u_mac_wrap/_04330_/B (sky130_fd_sc_hd__nor4_2)
-                  0.41    0.45    9.51 ^ mprj/u_mac_wrap/_04330_/Y (sky130_fd_sc_hd__nor4_2)
-     4    0.01                           mprj/u_mac_wrap/_01679_ (net)
-                  0.41    0.00    9.51 ^ mprj/u_mac_wrap/_04361_/A_N (sky130_fd_sc_hd__nand3b_1)
-                  0.20    0.27    9.78 ^ mprj/u_mac_wrap/_04361_/Y (sky130_fd_sc_hd__nand3b_1)
-     3    0.02                           mprj/u_mac_wrap/_01710_ (net)
-                  0.20    0.00    9.78 ^ mprj/u_mac_wrap/_04368_/B (sky130_fd_sc_hd__and3_1)
-                  0.16    0.28   10.06 ^ mprj/u_mac_wrap/_04368_/X (sky130_fd_sc_hd__and3_1)
-     3    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.gen_eop (net)
-                  0.16    0.00   10.06 ^ mprj/u_mac_wrap/_06227_/B1_N (sky130_fd_sc_hd__o21ba_1)
-                  0.03    0.17   10.23 v mprj/u_mac_wrap/_06227_/X (sky130_fd_sc_hd__o21ba_1)
-     1    0.00                           mprj/u_mac_wrap/_00573_ (net)
-                  0.03    0.00   10.23 v mprj/u_mac_wrap/_09051_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 10.23   data arrival time
+                  0.19    0.00    9.19 ^ mprj/u_mac_wrap/_04320_/D (sky130_fd_sc_hd__and4_1)
+                  0.16    0.31    9.50 ^ mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__and4_1)
+     4    0.01                           mprj/u_mac_wrap/_01644_ (net)
+                  0.16    0.00    9.50 ^ mprj/u_mac_wrap/_04321_/C (sky130_fd_sc_hd__and3_1)
+                  0.19    0.29    9.79 ^ mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__and3_1)
+     4    0.02                           mprj/u_mac_wrap/_01645_ (net)
+                  0.19    0.00    9.79 ^ mprj/u_mac_wrap/_04327_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.14    0.31   10.10 ^ mprj/u_mac_wrap/_04327_/X (sky130_fd_sc_hd__o2111a_1)
+     2    0.01                           mprj/u_mac_wrap/_01651_ (net)
+                  0.14    0.00   10.10 ^ mprj/u_mac_wrap/_04341_/C1 (sky130_fd_sc_hd__o211ai_1)
+                  0.10    0.13   10.23 v mprj/u_mac_wrap/_04341_/Y (sky130_fd_sc_hd__o211ai_1)
+     2    0.01                           mprj/u_mac_wrap/_01665_ (net)
+                  0.10    0.00   10.23 v mprj/u_mac_wrap/_04347_/D1 (sky130_fd_sc_hd__a2111o_1)
+                  0.06    0.31   10.54 v mprj/u_mac_wrap/_04347_/X (sky130_fd_sc_hd__a2111o_1)
+     1    0.00                           mprj/u_mac_wrap/_01671_ (net)
+                  0.06    0.00   10.54 v mprj/u_mac_wrap/_04355_/A (sky130_fd_sc_hd__nor3_1)
+                  0.34    0.35   10.89 ^ mprj/u_mac_wrap/_04355_/Y (sky130_fd_sc_hd__nor3_1)
+     2    0.01                           mprj/u_mac_wrap/_01679_ (net)
+                  0.34    0.00   10.89 ^ mprj/u_mac_wrap/_04357_/B (sky130_fd_sc_hd__and2_1)
+                  0.15    0.27   11.16 ^ mprj/u_mac_wrap/_04357_/X (sky130_fd_sc_hd__and2_1)
+     4    0.01                           mprj/u_mac_wrap/_01681_ (net)
+                  0.15    0.00   11.16 ^ mprj/u_mac_wrap/_04388_/A_N (sky130_fd_sc_hd__nand3b_1)
+                  0.14    0.19   11.34 ^ mprj/u_mac_wrap/_04388_/Y (sky130_fd_sc_hd__nand3b_1)
+     3    0.01                           mprj/u_mac_wrap/_01712_ (net)
+                  0.14    0.00   11.34 ^ mprj/u_mac_wrap/_04634_/A_N (sky130_fd_sc_hd__and2b_1)
+                  0.10    0.27   11.62 v mprj/u_mac_wrap/_04634_/X (sky130_fd_sc_hd__and2b_1)
+     5    0.02                           mprj/u_mac_wrap/_01928_ (net)
+                  0.10    0.00   11.62 v mprj/u_mac_wrap/_04645_/A (sky130_fd_sc_hd__or2_1)
+                  0.09    0.31   11.93 v mprj/u_mac_wrap/_04645_/X (sky130_fd_sc_hd__or2_1)
+     3    0.01                           mprj/u_mac_wrap/_01939_ (net)
+                  0.10    0.00   11.93 v mprj/u_mac_wrap/_06105_/B (sky130_fd_sc_hd__or3b_2)
+                  0.13    0.55   12.48 v mprj/u_mac_wrap/_06105_/X (sky130_fd_sc_hd__or3b_2)
+     4    0.02                           mprj/u_mac_wrap/_02877_ (net)
+                  0.13    0.00   12.48 v mprj/u_mac_wrap/fanout237/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.25   12.73 v mprj/u_mac_wrap/fanout237/X (sky130_fd_sc_hd__buf_2)
+    14    0.05                           mprj/u_mac_wrap/net237 (net)
+                  0.11    0.00   12.73 v mprj/u_mac_wrap/fanout236/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.10    0.24   12.97 v mprj/u_mac_wrap/fanout236/X (sky130_fd_sc_hd__clkbuf_4)
+    10    0.05                           mprj/u_mac_wrap/net236 (net)
+                  0.10    0.00   12.97 v mprj/u_mac_wrap/_06114_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.33   13.30 v mprj/u_mac_wrap/_06114_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00529_ (net)
+                  0.05    0.00   13.30 v mprj/u_mac_wrap/_09047_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 13.30   data arrival time
 
                          40.00   40.00   clock mac_rx_clk (rise edge)
                           0.00   40.00   clock source latency
@@ -138686,45 +153911,63 @@
                   0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17   41.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00   41.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21   41.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00   41.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43   42.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03   42.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24   42.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12   41.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00   41.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81   42.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21   42.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42   43.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01   43.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15   43.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00   43.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32   43.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00   43.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28   44.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00   44.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21   44.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01   44.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16   44.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00   42.61 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.15   42.76 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00   42.76 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15   42.91 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
-                  0.06    0.00   42.91 ^ mprj/u_mac_wrap/clkbuf_3_4_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.17    0.22   43.13 ^ mprj/u_mac_wrap/clkbuf_3_4_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    12    0.09                           mprj/u_mac_wrap/clknet_3_4_0_phy_rx_clk (net)
-                  0.17    0.00   43.13 ^ mprj/u_mac_wrap/clkbuf_leaf_11_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.17   43.30 ^ mprj/u_mac_wrap/clkbuf_leaf_11_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.03                           mprj/u_mac_wrap/clknet_leaf_11_phy_rx_clk (net)
-                  0.06    0.00   43.30 ^ mprj/u_mac_wrap/_09051_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   43.05   clock uncertainty
-                          2.34   45.39   clock reconvergence pessimism
-                         -0.11   45.29   library setup time
-                                 45.29   data required time
+                  0.05    0.00   44.41 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   44.52 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
+                  0.03    0.00   44.52 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.14   44.66 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_1_1_1_phy_rx_clk (net)
+                  0.07    0.00   44.66 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   44.80 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_3_0_phy_rx_clk (net)
+                  0.06    0.00   44.81 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.17    0.22   45.02 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.10                           mprj/u_mac_wrap/clknet_3_6_0_phy_rx_clk (net)
+                  0.17    0.00   45.03 ^ mprj/u_mac_wrap/clkbuf_leaf_24_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.16   45.19 ^ mprj/u_mac_wrap/clkbuf_leaf_24_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.02                           mprj/u_mac_wrap/clknet_leaf_24_phy_rx_clk (net)
+                  0.04    0.00   45.19 ^ mprj/u_mac_wrap/_09047_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   44.94   clock uncertainty
+                          2.51   47.45   clock reconvergence pessimism
+                         -0.12   47.33   library setup time
+                                 47.33   data required time
 -----------------------------------------------------------------------------
-                                 45.29   data required time
-                                -10.23   data arrival time
+                                 47.33   data required time
+                                -13.30   data arrival time
 -----------------------------------------------------------------------------
-                                 35.05   slack (MET)
+                                 34.03   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_09078_
+Startpoint: mprj/u_mac_wrap/_09129_
             (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_09042_
+Endpoint: mprj/u_mac_wrap/_09059_
           (rising edge-triggered flip-flop clocked by mac_rx_clk)
 Path Group: mac_rx_clk
 Path Type: max
@@ -138748,77 +153991,104 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.09 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.16    5.25 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
-                  0.06    0.00    5.25 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.27    5.52 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.12                           mprj/u_mac_wrap/clknet_3_5_0_phy_rx_clk (net)
-                  0.22    0.00    5.52 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.20    5.72 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.03                           mprj/u_mac_wrap/clknet_leaf_8_phy_rx_clk (net)
-                  0.06    0.00    5.72 ^ mprj/u_mac_wrap/_09078_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.05    0.34    6.06 ^ mprj/u_mac_wrap/_09078_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[1] (net)
-                  0.05    0.00    6.06 ^ mprj/u_mac_wrap/fanout451/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.17    0.20    6.27 ^ mprj/u_mac_wrap/fanout451/X (sky130_fd_sc_hd__clkbuf_2)
-     7    0.03                           mprj/u_mac_wrap/net451 (net)
-                  0.17    0.00    6.27 ^ mprj/u_mac_wrap/fanout450/A (sky130_fd_sc_hd__buf_2)
-                  0.21    0.27    6.54 ^ mprj/u_mac_wrap/fanout450/X (sky130_fd_sc_hd__buf_2)
-    10    0.04                           mprj/u_mac_wrap/net450 (net)
-                  0.21    0.00    6.54 ^ mprj/u_mac_wrap/_04293_/C (sky130_fd_sc_hd__and4_1)
-                  0.18    0.33    6.88 ^ mprj/u_mac_wrap/_04293_/X (sky130_fd_sc_hd__and4_1)
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15    7.14 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_1_1_1_phy_rx_clk (net)
+                  0.07    0.00    7.14 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.30 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_3_0_phy_rx_clk (net)
+                  0.06    0.00    7.30 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.17    0.24    7.53 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.10                           mprj/u_mac_wrap/clknet_3_6_0_phy_rx_clk (net)
+                  0.17    0.00    7.54 ^ mprj/u_mac_wrap/clkbuf_leaf_9_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    7.70 ^ mprj/u_mac_wrap/clkbuf_leaf_9_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     3    0.01                           mprj/u_mac_wrap/clknet_leaf_9_phy_rx_clk (net)
+                  0.04    0.00    7.70 ^ mprj/u_mac_wrap/_09129_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.27    0.50    8.21 ^ mprj/u_mac_wrap/_09129_/Q (sky130_fd_sc_hd__dfrtp_1)
+     8    0.03                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[2] (net)
+                  0.27    0.00    8.21 ^ mprj/u_mac_wrap/fanout447/A (sky130_fd_sc_hd__buf_2)
+                  0.20    0.30    8.50 ^ mprj/u_mac_wrap/fanout447/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_mac_wrap/net447 (net)
+                  0.20    0.00    8.50 ^ mprj/u_mac_wrap/_04316_/B (sky130_fd_sc_hd__and4_2)
+                  0.15    0.35    8.86 ^ mprj/u_mac_wrap/_04316_/X (sky130_fd_sc_hd__and4_2)
+     6    0.02                           mprj/u_mac_wrap/_01640_ (net)
+                  0.15    0.00    8.86 ^ mprj/u_mac_wrap/_04318_/D (sky130_fd_sc_hd__and4_1)
+                  0.19    0.33    9.19 ^ mprj/u_mac_wrap/_04318_/X (sky130_fd_sc_hd__and4_1)
      5    0.02                           mprj/u_mac_wrap/_01642_ (net)
-                  0.18    0.00    6.88 ^ mprj/u_mac_wrap/_04295_/D (sky130_fd_sc_hd__and4_2)
-                  0.14    0.35    7.22 ^ mprj/u_mac_wrap/_04295_/X (sky130_fd_sc_hd__and4_2)
-     6    0.02                           mprj/u_mac_wrap/_01644_ (net)
-                  0.14    0.00    7.22 ^ mprj/u_mac_wrap/_04297_/D (sky130_fd_sc_hd__and4_1)
-                  0.20    0.34    7.56 ^ mprj/u_mac_wrap/_04297_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01646_ (net)
-                  0.20    0.00    7.56 ^ mprj/u_mac_wrap/_04298_/C (sky130_fd_sc_hd__nand3_1)
-                  0.15    0.19    7.75 v mprj/u_mac_wrap/_04298_/Y (sky130_fd_sc_hd__nand3_1)
-     3    0.01                           mprj/u_mac_wrap/_01647_ (net)
-                  0.15    0.00    7.75 v mprj/u_mac_wrap/_04304_/A1 (sky130_fd_sc_hd__a2111o_1)
-                  0.13    0.50    8.25 v mprj/u_mac_wrap/_04304_/X (sky130_fd_sc_hd__a2111o_1)
-     3    0.02                           mprj/u_mac_wrap/_01653_ (net)
-                  0.13    0.00    8.25 v mprj/u_mac_wrap/_04320_/B1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.35    8.60 v mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_mac_wrap/_01669_ (net)
-                  0.05    0.00    8.60 v mprj/u_mac_wrap/_04321_/D (sky130_fd_sc_hd__or4_1)
-                  0.11    0.46    9.06 v mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01670_ (net)
-                  0.11    0.00    9.06 v mprj/u_mac_wrap/_04330_/B (sky130_fd_sc_hd__nor4_2)
-                  0.41    0.45    9.51 ^ mprj/u_mac_wrap/_04330_/Y (sky130_fd_sc_hd__nor4_2)
-     4    0.01                           mprj/u_mac_wrap/_01679_ (net)
-                  0.41    0.00    9.51 ^ mprj/u_mac_wrap/_04617_/A (sky130_fd_sc_hd__nand2_1)
-                  0.10    0.12    9.63 v mprj/u_mac_wrap/_04617_/Y (sky130_fd_sc_hd__nand2_1)
-     1    0.00                           mprj/u_mac_wrap/_01936_ (net)
-                  0.10    0.00    9.63 v mprj/u_mac_wrap/_06048_/B (sky130_fd_sc_hd__nor2_2)
-                  0.32    0.30    9.93 ^ mprj/u_mac_wrap/_06048_/Y (sky130_fd_sc_hd__nor2_2)
-     8    0.03                           mprj/u_mac_wrap/_02845_ (net)
-                  0.32    0.00    9.93 ^ mprj/u_mac_wrap/_06101_/B (sky130_fd_sc_hd__and2_1)
-                  0.17    0.28   10.20 ^ mprj/u_mac_wrap/_06101_/X (sky130_fd_sc_hd__and2_1)
-     5    0.02                           mprj/u_mac_wrap/_02855_ (net)
-                  0.17    0.00   10.20 ^ mprj/u_mac_wrap/_06102_/C (sky130_fd_sc_hd__nor3_1)
-                  0.06    0.07   10.27 v mprj/u_mac_wrap/_06102_/Y (sky130_fd_sc_hd__nor3_1)
-     1    0.00                           mprj/u_mac_wrap/_00564_ (net)
-                  0.06    0.00   10.27 v mprj/u_mac_wrap/_09042_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 10.27   data arrival time
+                  0.19    0.00    9.19 ^ mprj/u_mac_wrap/_04320_/D (sky130_fd_sc_hd__and4_1)
+                  0.16    0.31    9.50 ^ mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__and4_1)
+     4    0.01                           mprj/u_mac_wrap/_01644_ (net)
+                  0.16    0.00    9.50 ^ mprj/u_mac_wrap/_04321_/C (sky130_fd_sc_hd__and3_1)
+                  0.19    0.29    9.79 ^ mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__and3_1)
+     4    0.02                           mprj/u_mac_wrap/_01645_ (net)
+                  0.19    0.00    9.79 ^ mprj/u_mac_wrap/_04327_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.14    0.31   10.10 ^ mprj/u_mac_wrap/_04327_/X (sky130_fd_sc_hd__o2111a_1)
+     2    0.01                           mprj/u_mac_wrap/_01651_ (net)
+                  0.14    0.00   10.10 ^ mprj/u_mac_wrap/_04341_/C1 (sky130_fd_sc_hd__o211ai_1)
+                  0.10    0.13   10.23 v mprj/u_mac_wrap/_04341_/Y (sky130_fd_sc_hd__o211ai_1)
+     2    0.01                           mprj/u_mac_wrap/_01665_ (net)
+                  0.10    0.00   10.23 v mprj/u_mac_wrap/_04347_/D1 (sky130_fd_sc_hd__a2111o_1)
+                  0.06    0.31   10.54 v mprj/u_mac_wrap/_04347_/X (sky130_fd_sc_hd__a2111o_1)
+     1    0.00                           mprj/u_mac_wrap/_01671_ (net)
+                  0.06    0.00   10.54 v mprj/u_mac_wrap/_04355_/A (sky130_fd_sc_hd__nor3_1)
+                  0.34    0.35   10.89 ^ mprj/u_mac_wrap/_04355_/Y (sky130_fd_sc_hd__nor3_1)
+     2    0.01                           mprj/u_mac_wrap/_01679_ (net)
+                  0.34    0.00   10.89 ^ mprj/u_mac_wrap/_04357_/B (sky130_fd_sc_hd__and2_1)
+                  0.15    0.27   11.16 ^ mprj/u_mac_wrap/_04357_/X (sky130_fd_sc_hd__and2_1)
+     4    0.01                           mprj/u_mac_wrap/_01681_ (net)
+                  0.15    0.00   11.16 ^ mprj/u_mac_wrap/_04388_/A_N (sky130_fd_sc_hd__nand3b_1)
+                  0.14    0.19   11.34 ^ mprj/u_mac_wrap/_04388_/Y (sky130_fd_sc_hd__nand3b_1)
+     3    0.01                           mprj/u_mac_wrap/_01712_ (net)
+                  0.14    0.00   11.34 ^ mprj/u_mac_wrap/_04634_/A_N (sky130_fd_sc_hd__and2b_1)
+                  0.10    0.27   11.62 v mprj/u_mac_wrap/_04634_/X (sky130_fd_sc_hd__and2b_1)
+     5    0.02                           mprj/u_mac_wrap/_01928_ (net)
+                  0.10    0.00   11.62 v mprj/u_mac_wrap/_04645_/A (sky130_fd_sc_hd__or2_1)
+                  0.09    0.31   11.93 v mprj/u_mac_wrap/_04645_/X (sky130_fd_sc_hd__or2_1)
+     3    0.01                           mprj/u_mac_wrap/_01939_ (net)
+                  0.10    0.00   11.93 v mprj/u_mac_wrap/_06105_/B (sky130_fd_sc_hd__or3b_2)
+                  0.13    0.55   12.48 v mprj/u_mac_wrap/_06105_/X (sky130_fd_sc_hd__or3b_2)
+     4    0.02                           mprj/u_mac_wrap/_02877_ (net)
+                  0.13    0.00   12.48 v mprj/u_mac_wrap/fanout237/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.25   12.73 v mprj/u_mac_wrap/fanout237/X (sky130_fd_sc_hd__buf_2)
+    14    0.05                           mprj/u_mac_wrap/net237 (net)
+                  0.11    0.00   12.73 v mprj/u_mac_wrap/fanout236/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.10    0.24   12.97 v mprj/u_mac_wrap/fanout236/X (sky130_fd_sc_hd__clkbuf_4)
+    10    0.05                           mprj/u_mac_wrap/net236 (net)
+                  0.10    0.00   12.97 v mprj/u_mac_wrap/_06126_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.33   13.30 v mprj/u_mac_wrap/_06126_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00541_ (net)
+                  0.05    0.00   13.30 v mprj/u_mac_wrap/_09059_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 13.30   data arrival time
 
                          40.00   40.00   clock mac_rx_clk (rise edge)
                           0.00   40.00   clock source latency
@@ -138837,45 +154107,63 @@
                   0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17   41.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00   41.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21   41.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00   41.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43   42.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03   42.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24   42.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12   41.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00   41.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81   42.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21   42.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42   43.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01   43.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15   43.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00   43.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32   43.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00   43.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28   44.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00   44.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21   44.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01   44.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16   44.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00   42.61 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.15   42.76 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00   42.76 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15   42.91 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
-                  0.06    0.00   42.91 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.25   43.16 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.12                           mprj/u_mac_wrap/clknet_3_5_0_phy_rx_clk (net)
-                  0.22    0.00   43.16 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.19   43.35 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.03                           mprj/u_mac_wrap/clknet_leaf_8_phy_rx_clk (net)
-                  0.06    0.00   43.35 ^ mprj/u_mac_wrap/_09042_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   43.10   clock uncertainty
-                          2.38   45.47   clock reconvergence pessimism
-                         -0.12   45.36   library setup time
-                                 45.36   data required time
+                  0.05    0.00   44.41 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   44.52 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00   44.52 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15   44.67 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00   44.67 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15   44.82 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
+                  0.06    0.00   44.82 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.26    0.28   45.10 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
+                  0.26    0.01   45.10 ^ mprj/u_mac_wrap/clkbuf_leaf_26_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.19   45.30 ^ mprj/u_mac_wrap/clkbuf_leaf_26_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_mac_wrap/clknet_leaf_26_phy_rx_clk (net)
+                  0.05    0.00   45.30 ^ mprj/u_mac_wrap/_09059_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   45.05   clock uncertainty
+                          2.46   47.51   clock reconvergence pessimism
+                         -0.12   47.39   library setup time
+                                 47.39   data required time
 -----------------------------------------------------------------------------
-                                 45.36   data required time
-                                -10.27   data arrival time
+                                 47.39   data required time
+                                -13.30   data arrival time
 -----------------------------------------------------------------------------
-                                 35.08   slack (MET)
+                                 34.09   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_09078_
+Startpoint: mprj/u_mac_wrap/_09129_
             (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_09040_
+Endpoint: mprj/u_mac_wrap/_09066_
           (rising edge-triggered flip-flop clocked by mac_rx_clk)
 Path Group: mac_rx_clk
 Path Type: max
@@ -138899,77 +154187,104 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.09 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.16    5.25 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
-                  0.06    0.00    5.25 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.27    5.52 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.12                           mprj/u_mac_wrap/clknet_3_5_0_phy_rx_clk (net)
-                  0.22    0.00    5.52 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.20    5.72 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.03                           mprj/u_mac_wrap/clknet_leaf_8_phy_rx_clk (net)
-                  0.06    0.00    5.72 ^ mprj/u_mac_wrap/_09078_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.05    0.34    6.06 ^ mprj/u_mac_wrap/_09078_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[1] (net)
-                  0.05    0.00    6.06 ^ mprj/u_mac_wrap/fanout451/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.17    0.20    6.27 ^ mprj/u_mac_wrap/fanout451/X (sky130_fd_sc_hd__clkbuf_2)
-     7    0.03                           mprj/u_mac_wrap/net451 (net)
-                  0.17    0.00    6.27 ^ mprj/u_mac_wrap/fanout450/A (sky130_fd_sc_hd__buf_2)
-                  0.21    0.27    6.54 ^ mprj/u_mac_wrap/fanout450/X (sky130_fd_sc_hd__buf_2)
-    10    0.04                           mprj/u_mac_wrap/net450 (net)
-                  0.21    0.00    6.54 ^ mprj/u_mac_wrap/_04293_/C (sky130_fd_sc_hd__and4_1)
-                  0.18    0.33    6.88 ^ mprj/u_mac_wrap/_04293_/X (sky130_fd_sc_hd__and4_1)
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15    7.14 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_1_1_1_phy_rx_clk (net)
+                  0.07    0.00    7.14 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.30 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_3_0_phy_rx_clk (net)
+                  0.06    0.00    7.30 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.17    0.24    7.53 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.10                           mprj/u_mac_wrap/clknet_3_6_0_phy_rx_clk (net)
+                  0.17    0.00    7.54 ^ mprj/u_mac_wrap/clkbuf_leaf_9_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    7.70 ^ mprj/u_mac_wrap/clkbuf_leaf_9_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     3    0.01                           mprj/u_mac_wrap/clknet_leaf_9_phy_rx_clk (net)
+                  0.04    0.00    7.70 ^ mprj/u_mac_wrap/_09129_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.27    0.50    8.21 ^ mprj/u_mac_wrap/_09129_/Q (sky130_fd_sc_hd__dfrtp_1)
+     8    0.03                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[2] (net)
+                  0.27    0.00    8.21 ^ mprj/u_mac_wrap/fanout447/A (sky130_fd_sc_hd__buf_2)
+                  0.20    0.30    8.50 ^ mprj/u_mac_wrap/fanout447/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_mac_wrap/net447 (net)
+                  0.20    0.00    8.50 ^ mprj/u_mac_wrap/_04316_/B (sky130_fd_sc_hd__and4_2)
+                  0.15    0.35    8.86 ^ mprj/u_mac_wrap/_04316_/X (sky130_fd_sc_hd__and4_2)
+     6    0.02                           mprj/u_mac_wrap/_01640_ (net)
+                  0.15    0.00    8.86 ^ mprj/u_mac_wrap/_04318_/D (sky130_fd_sc_hd__and4_1)
+                  0.19    0.33    9.19 ^ mprj/u_mac_wrap/_04318_/X (sky130_fd_sc_hd__and4_1)
      5    0.02                           mprj/u_mac_wrap/_01642_ (net)
-                  0.18    0.00    6.88 ^ mprj/u_mac_wrap/_04295_/D (sky130_fd_sc_hd__and4_2)
-                  0.14    0.35    7.22 ^ mprj/u_mac_wrap/_04295_/X (sky130_fd_sc_hd__and4_2)
-     6    0.02                           mprj/u_mac_wrap/_01644_ (net)
-                  0.14    0.00    7.22 ^ mprj/u_mac_wrap/_04297_/D (sky130_fd_sc_hd__and4_1)
-                  0.20    0.34    7.56 ^ mprj/u_mac_wrap/_04297_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01646_ (net)
-                  0.20    0.00    7.56 ^ mprj/u_mac_wrap/_04298_/C (sky130_fd_sc_hd__nand3_1)
-                  0.15    0.19    7.75 v mprj/u_mac_wrap/_04298_/Y (sky130_fd_sc_hd__nand3_1)
-     3    0.01                           mprj/u_mac_wrap/_01647_ (net)
-                  0.15    0.00    7.75 v mprj/u_mac_wrap/_04304_/A1 (sky130_fd_sc_hd__a2111o_1)
-                  0.13    0.50    8.25 v mprj/u_mac_wrap/_04304_/X (sky130_fd_sc_hd__a2111o_1)
-     3    0.02                           mprj/u_mac_wrap/_01653_ (net)
-                  0.13    0.00    8.25 v mprj/u_mac_wrap/_04320_/B1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.35    8.60 v mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_mac_wrap/_01669_ (net)
-                  0.05    0.00    8.60 v mprj/u_mac_wrap/_04321_/D (sky130_fd_sc_hd__or4_1)
-                  0.11    0.46    9.06 v mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01670_ (net)
-                  0.11    0.00    9.06 v mprj/u_mac_wrap/_04330_/B (sky130_fd_sc_hd__nor4_2)
-                  0.41    0.45    9.51 ^ mprj/u_mac_wrap/_04330_/Y (sky130_fd_sc_hd__nor4_2)
-     4    0.01                           mprj/u_mac_wrap/_01679_ (net)
-                  0.41    0.00    9.51 ^ mprj/u_mac_wrap/_04619_/A (sky130_fd_sc_hd__and3_1)
-                  0.11    0.28    9.79 ^ mprj/u_mac_wrap/_04619_/X (sky130_fd_sc_hd__and3_1)
-     3    0.01                           mprj/u_mac_wrap/_01938_ (net)
-                  0.11    0.00    9.79 ^ mprj/u_mac_wrap/_06093_/B (sky130_fd_sc_hd__and2_1)
-                  0.18    0.24   10.03 ^ mprj/u_mac_wrap/_06093_/X (sky130_fd_sc_hd__and2_1)
-     5    0.02                           mprj/u_mac_wrap/_02850_ (net)
-                  0.18    0.00   10.03 ^ mprj/u_mac_wrap/_06095_/A2 (sky130_fd_sc_hd__o21ai_1)
-                  0.06    0.08   10.11 v mprj/u_mac_wrap/_06095_/Y (sky130_fd_sc_hd__o21ai_1)
-     1    0.00                           mprj/u_mac_wrap/_02851_ (net)
-                  0.06    0.00   10.11 v mprj/u_mac_wrap/_06096_/B1 (sky130_fd_sc_hd__a21oi_1)
-                  0.10    0.11   10.23 ^ mprj/u_mac_wrap/_06096_/Y (sky130_fd_sc_hd__a21oi_1)
-     1    0.00                           mprj/u_mac_wrap/_00562_ (net)
-                  0.10    0.00   10.23 ^ mprj/u_mac_wrap/_09040_/D (sky130_fd_sc_hd__dfrtp_2)
-                                 10.23   data arrival time
+                  0.19    0.00    9.19 ^ mprj/u_mac_wrap/_04320_/D (sky130_fd_sc_hd__and4_1)
+                  0.16    0.31    9.50 ^ mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__and4_1)
+     4    0.01                           mprj/u_mac_wrap/_01644_ (net)
+                  0.16    0.00    9.50 ^ mprj/u_mac_wrap/_04321_/C (sky130_fd_sc_hd__and3_1)
+                  0.19    0.29    9.79 ^ mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__and3_1)
+     4    0.02                           mprj/u_mac_wrap/_01645_ (net)
+                  0.19    0.00    9.79 ^ mprj/u_mac_wrap/_04327_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.14    0.31   10.10 ^ mprj/u_mac_wrap/_04327_/X (sky130_fd_sc_hd__o2111a_1)
+     2    0.01                           mprj/u_mac_wrap/_01651_ (net)
+                  0.14    0.00   10.10 ^ mprj/u_mac_wrap/_04341_/C1 (sky130_fd_sc_hd__o211ai_1)
+                  0.10    0.13   10.23 v mprj/u_mac_wrap/_04341_/Y (sky130_fd_sc_hd__o211ai_1)
+     2    0.01                           mprj/u_mac_wrap/_01665_ (net)
+                  0.10    0.00   10.23 v mprj/u_mac_wrap/_04347_/D1 (sky130_fd_sc_hd__a2111o_1)
+                  0.06    0.31   10.54 v mprj/u_mac_wrap/_04347_/X (sky130_fd_sc_hd__a2111o_1)
+     1    0.00                           mprj/u_mac_wrap/_01671_ (net)
+                  0.06    0.00   10.54 v mprj/u_mac_wrap/_04355_/A (sky130_fd_sc_hd__nor3_1)
+                  0.34    0.35   10.89 ^ mprj/u_mac_wrap/_04355_/Y (sky130_fd_sc_hd__nor3_1)
+     2    0.01                           mprj/u_mac_wrap/_01679_ (net)
+                  0.34    0.00   10.89 ^ mprj/u_mac_wrap/_04357_/B (sky130_fd_sc_hd__and2_1)
+                  0.15    0.27   11.16 ^ mprj/u_mac_wrap/_04357_/X (sky130_fd_sc_hd__and2_1)
+     4    0.01                           mprj/u_mac_wrap/_01681_ (net)
+                  0.15    0.00   11.16 ^ mprj/u_mac_wrap/_04388_/A_N (sky130_fd_sc_hd__nand3b_1)
+                  0.14    0.19   11.34 ^ mprj/u_mac_wrap/_04388_/Y (sky130_fd_sc_hd__nand3b_1)
+     3    0.01                           mprj/u_mac_wrap/_01712_ (net)
+                  0.14    0.00   11.34 ^ mprj/u_mac_wrap/_04634_/A_N (sky130_fd_sc_hd__and2b_1)
+                  0.10    0.27   11.62 v mprj/u_mac_wrap/_04634_/X (sky130_fd_sc_hd__and2b_1)
+     5    0.02                           mprj/u_mac_wrap/_01928_ (net)
+                  0.10    0.00   11.62 v mprj/u_mac_wrap/_04645_/A (sky130_fd_sc_hd__or2_1)
+                  0.09    0.31   11.93 v mprj/u_mac_wrap/_04645_/X (sky130_fd_sc_hd__or2_1)
+     3    0.01                           mprj/u_mac_wrap/_01939_ (net)
+                  0.10    0.00   11.93 v mprj/u_mac_wrap/_06105_/B (sky130_fd_sc_hd__or3b_2)
+                  0.13    0.55   12.48 v mprj/u_mac_wrap/_06105_/X (sky130_fd_sc_hd__or3b_2)
+     4    0.02                           mprj/u_mac_wrap/_02877_ (net)
+                  0.13    0.00   12.48 v mprj/u_mac_wrap/fanout237/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.25   12.73 v mprj/u_mac_wrap/fanout237/X (sky130_fd_sc_hd__buf_2)
+    14    0.05                           mprj/u_mac_wrap/net237 (net)
+                  0.11    0.00   12.73 v mprj/u_mac_wrap/fanout236/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.10    0.24   12.97 v mprj/u_mac_wrap/fanout236/X (sky130_fd_sc_hd__clkbuf_4)
+    10    0.05                           mprj/u_mac_wrap/net236 (net)
+                  0.10    0.00   12.97 v mprj/u_mac_wrap/_06133_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.33   13.30 v mprj/u_mac_wrap/_06133_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00548_ (net)
+                  0.05    0.00   13.30 v mprj/u_mac_wrap/_09066_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 13.30   data arrival time
 
                          40.00   40.00   clock mac_rx_clk (rise edge)
                           0.00   40.00   clock source latency
@@ -138988,45 +154303,63 @@
                   0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17   41.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00   41.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21   41.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00   41.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43   42.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03   42.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24   42.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12   41.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00   41.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81   42.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21   42.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42   43.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01   43.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15   43.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00   43.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32   43.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00   43.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28   44.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00   44.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21   44.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01   44.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16   44.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00   42.61 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.15   42.76 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00   42.77 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14   42.91 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_3_0_phy_rx_clk (net)
-                  0.06    0.00   42.91 ^ mprj/u_mac_wrap/clkbuf_3_7_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.25   43.16 ^ mprj/u_mac_wrap/clkbuf_3_7_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.13                           mprj/u_mac_wrap/clknet_3_7_0_phy_rx_clk (net)
-                  0.22    0.00   43.16 ^ mprj/u_mac_wrap/clkbuf_leaf_15_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.17   43.33 ^ mprj/u_mac_wrap/clkbuf_leaf_15_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.01                           mprj/u_mac_wrap/clknet_leaf_15_phy_rx_clk (net)
-                  0.04    0.00   43.33 ^ mprj/u_mac_wrap/_09040_/CLK (sky130_fd_sc_hd__dfrtp_2)
-                         -0.25   43.08   clock uncertainty
-                          2.33   45.41   clock reconvergence pessimism
-                         -0.08   45.34   library setup time
-                                 45.34   data required time
+                  0.05    0.00   44.41 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   44.52 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00   44.52 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15   44.67 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00   44.67 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15   44.82 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
+                  0.06    0.00   44.82 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.26    0.28   45.10 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
+                  0.26    0.01   45.10 ^ mprj/u_mac_wrap/clkbuf_leaf_26_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.19   45.30 ^ mprj/u_mac_wrap/clkbuf_leaf_26_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_mac_wrap/clknet_leaf_26_phy_rx_clk (net)
+                  0.05    0.00   45.30 ^ mprj/u_mac_wrap/_09066_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   45.05   clock uncertainty
+                          2.46   47.51   clock reconvergence pessimism
+                         -0.12   47.39   library setup time
+                                 47.39   data required time
 -----------------------------------------------------------------------------
-                                 45.34   data required time
-                                -10.23   data arrival time
+                                 47.39   data required time
+                                -13.30   data arrival time
 -----------------------------------------------------------------------------
-                                 35.11   slack (MET)
+                                 34.09   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_09078_
+Startpoint: mprj/u_mac_wrap/_09129_
             (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_09039_
+Endpoint: mprj/u_mac_wrap/_09067_
           (rising edge-triggered flip-flop clocked by mac_rx_clk)
 Path Group: mac_rx_clk
 Path Type: max
@@ -139050,74 +154383,104 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.09 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.16    5.25 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
-                  0.06    0.00    5.25 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.27    5.52 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.12                           mprj/u_mac_wrap/clknet_3_5_0_phy_rx_clk (net)
-                  0.22    0.00    5.52 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.20    5.72 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.03                           mprj/u_mac_wrap/clknet_leaf_8_phy_rx_clk (net)
-                  0.06    0.00    5.72 ^ mprj/u_mac_wrap/_09078_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.05    0.34    6.06 ^ mprj/u_mac_wrap/_09078_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[1] (net)
-                  0.05    0.00    6.06 ^ mprj/u_mac_wrap/fanout451/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.17    0.20    6.27 ^ mprj/u_mac_wrap/fanout451/X (sky130_fd_sc_hd__clkbuf_2)
-     7    0.03                           mprj/u_mac_wrap/net451 (net)
-                  0.17    0.00    6.27 ^ mprj/u_mac_wrap/fanout450/A (sky130_fd_sc_hd__buf_2)
-                  0.21    0.27    6.54 ^ mprj/u_mac_wrap/fanout450/X (sky130_fd_sc_hd__buf_2)
-    10    0.04                           mprj/u_mac_wrap/net450 (net)
-                  0.21    0.00    6.54 ^ mprj/u_mac_wrap/_04293_/C (sky130_fd_sc_hd__and4_1)
-                  0.18    0.33    6.88 ^ mprj/u_mac_wrap/_04293_/X (sky130_fd_sc_hd__and4_1)
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15    7.14 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_1_1_1_phy_rx_clk (net)
+                  0.07    0.00    7.14 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.30 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_3_0_phy_rx_clk (net)
+                  0.06    0.00    7.30 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.17    0.24    7.53 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.10                           mprj/u_mac_wrap/clknet_3_6_0_phy_rx_clk (net)
+                  0.17    0.00    7.54 ^ mprj/u_mac_wrap/clkbuf_leaf_9_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    7.70 ^ mprj/u_mac_wrap/clkbuf_leaf_9_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     3    0.01                           mprj/u_mac_wrap/clknet_leaf_9_phy_rx_clk (net)
+                  0.04    0.00    7.70 ^ mprj/u_mac_wrap/_09129_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.27    0.50    8.21 ^ mprj/u_mac_wrap/_09129_/Q (sky130_fd_sc_hd__dfrtp_1)
+     8    0.03                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[2] (net)
+                  0.27    0.00    8.21 ^ mprj/u_mac_wrap/fanout447/A (sky130_fd_sc_hd__buf_2)
+                  0.20    0.30    8.50 ^ mprj/u_mac_wrap/fanout447/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_mac_wrap/net447 (net)
+                  0.20    0.00    8.50 ^ mprj/u_mac_wrap/_04316_/B (sky130_fd_sc_hd__and4_2)
+                  0.15    0.35    8.86 ^ mprj/u_mac_wrap/_04316_/X (sky130_fd_sc_hd__and4_2)
+     6    0.02                           mprj/u_mac_wrap/_01640_ (net)
+                  0.15    0.00    8.86 ^ mprj/u_mac_wrap/_04318_/D (sky130_fd_sc_hd__and4_1)
+                  0.19    0.33    9.19 ^ mprj/u_mac_wrap/_04318_/X (sky130_fd_sc_hd__and4_1)
      5    0.02                           mprj/u_mac_wrap/_01642_ (net)
-                  0.18    0.00    6.88 ^ mprj/u_mac_wrap/_04295_/D (sky130_fd_sc_hd__and4_2)
-                  0.14    0.35    7.22 ^ mprj/u_mac_wrap/_04295_/X (sky130_fd_sc_hd__and4_2)
-     6    0.02                           mprj/u_mac_wrap/_01644_ (net)
-                  0.14    0.00    7.22 ^ mprj/u_mac_wrap/_04297_/D (sky130_fd_sc_hd__and4_1)
-                  0.20    0.34    7.56 ^ mprj/u_mac_wrap/_04297_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01646_ (net)
-                  0.20    0.00    7.56 ^ mprj/u_mac_wrap/_04298_/C (sky130_fd_sc_hd__nand3_1)
-                  0.15    0.19    7.75 v mprj/u_mac_wrap/_04298_/Y (sky130_fd_sc_hd__nand3_1)
-     3    0.01                           mprj/u_mac_wrap/_01647_ (net)
-                  0.15    0.00    7.75 v mprj/u_mac_wrap/_04304_/A1 (sky130_fd_sc_hd__a2111o_1)
-                  0.13    0.50    8.25 v mprj/u_mac_wrap/_04304_/X (sky130_fd_sc_hd__a2111o_1)
-     3    0.02                           mprj/u_mac_wrap/_01653_ (net)
-                  0.13    0.00    8.25 v mprj/u_mac_wrap/_04320_/B1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.35    8.60 v mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_mac_wrap/_01669_ (net)
-                  0.05    0.00    8.60 v mprj/u_mac_wrap/_04321_/D (sky130_fd_sc_hd__or4_1)
-                  0.11    0.46    9.06 v mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01670_ (net)
-                  0.11    0.00    9.06 v mprj/u_mac_wrap/_04330_/B (sky130_fd_sc_hd__nor4_2)
-                  0.41    0.45    9.51 ^ mprj/u_mac_wrap/_04330_/Y (sky130_fd_sc_hd__nor4_2)
-     4    0.01                           mprj/u_mac_wrap/_01679_ (net)
-                  0.41    0.00    9.51 ^ mprj/u_mac_wrap/_04619_/A (sky130_fd_sc_hd__and3_1)
-                  0.11    0.28    9.79 ^ mprj/u_mac_wrap/_04619_/X (sky130_fd_sc_hd__and3_1)
-     3    0.01                           mprj/u_mac_wrap/_01938_ (net)
-                  0.11    0.00    9.79 ^ mprj/u_mac_wrap/_06093_/B (sky130_fd_sc_hd__and2_1)
-                  0.18    0.24   10.03 ^ mprj/u_mac_wrap/_06093_/X (sky130_fd_sc_hd__and2_1)
-     5    0.02                           mprj/u_mac_wrap/_02850_ (net)
-                  0.18    0.00   10.03 ^ mprj/u_mac_wrap/_06094_/A2 (sky130_fd_sc_hd__o21ai_1)
-                  0.07    0.08   10.11 v mprj/u_mac_wrap/_06094_/Y (sky130_fd_sc_hd__o21ai_1)
-     1    0.00                           mprj/u_mac_wrap/_00561_ (net)
-                  0.07    0.00   10.11 v mprj/u_mac_wrap/_09039_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 10.11   data arrival time
+                  0.19    0.00    9.19 ^ mprj/u_mac_wrap/_04320_/D (sky130_fd_sc_hd__and4_1)
+                  0.16    0.31    9.50 ^ mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__and4_1)
+     4    0.01                           mprj/u_mac_wrap/_01644_ (net)
+                  0.16    0.00    9.50 ^ mprj/u_mac_wrap/_04321_/C (sky130_fd_sc_hd__and3_1)
+                  0.19    0.29    9.79 ^ mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__and3_1)
+     4    0.02                           mprj/u_mac_wrap/_01645_ (net)
+                  0.19    0.00    9.79 ^ mprj/u_mac_wrap/_04327_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.14    0.31   10.10 ^ mprj/u_mac_wrap/_04327_/X (sky130_fd_sc_hd__o2111a_1)
+     2    0.01                           mprj/u_mac_wrap/_01651_ (net)
+                  0.14    0.00   10.10 ^ mprj/u_mac_wrap/_04341_/C1 (sky130_fd_sc_hd__o211ai_1)
+                  0.10    0.13   10.23 v mprj/u_mac_wrap/_04341_/Y (sky130_fd_sc_hd__o211ai_1)
+     2    0.01                           mprj/u_mac_wrap/_01665_ (net)
+                  0.10    0.00   10.23 v mprj/u_mac_wrap/_04347_/D1 (sky130_fd_sc_hd__a2111o_1)
+                  0.06    0.31   10.54 v mprj/u_mac_wrap/_04347_/X (sky130_fd_sc_hd__a2111o_1)
+     1    0.00                           mprj/u_mac_wrap/_01671_ (net)
+                  0.06    0.00   10.54 v mprj/u_mac_wrap/_04355_/A (sky130_fd_sc_hd__nor3_1)
+                  0.34    0.35   10.89 ^ mprj/u_mac_wrap/_04355_/Y (sky130_fd_sc_hd__nor3_1)
+     2    0.01                           mprj/u_mac_wrap/_01679_ (net)
+                  0.34    0.00   10.89 ^ mprj/u_mac_wrap/_04357_/B (sky130_fd_sc_hd__and2_1)
+                  0.15    0.27   11.16 ^ mprj/u_mac_wrap/_04357_/X (sky130_fd_sc_hd__and2_1)
+     4    0.01                           mprj/u_mac_wrap/_01681_ (net)
+                  0.15    0.00   11.16 ^ mprj/u_mac_wrap/_04388_/A_N (sky130_fd_sc_hd__nand3b_1)
+                  0.14    0.19   11.34 ^ mprj/u_mac_wrap/_04388_/Y (sky130_fd_sc_hd__nand3b_1)
+     3    0.01                           mprj/u_mac_wrap/_01712_ (net)
+                  0.14    0.00   11.34 ^ mprj/u_mac_wrap/_04634_/A_N (sky130_fd_sc_hd__and2b_1)
+                  0.10    0.27   11.62 v mprj/u_mac_wrap/_04634_/X (sky130_fd_sc_hd__and2b_1)
+     5    0.02                           mprj/u_mac_wrap/_01928_ (net)
+                  0.10    0.00   11.62 v mprj/u_mac_wrap/_04645_/A (sky130_fd_sc_hd__or2_1)
+                  0.09    0.31   11.93 v mprj/u_mac_wrap/_04645_/X (sky130_fd_sc_hd__or2_1)
+     3    0.01                           mprj/u_mac_wrap/_01939_ (net)
+                  0.10    0.00   11.93 v mprj/u_mac_wrap/_06105_/B (sky130_fd_sc_hd__or3b_2)
+                  0.13    0.55   12.48 v mprj/u_mac_wrap/_06105_/X (sky130_fd_sc_hd__or3b_2)
+     4    0.02                           mprj/u_mac_wrap/_02877_ (net)
+                  0.13    0.00   12.48 v mprj/u_mac_wrap/fanout237/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.25   12.73 v mprj/u_mac_wrap/fanout237/X (sky130_fd_sc_hd__buf_2)
+    14    0.05                           mprj/u_mac_wrap/net237 (net)
+                  0.11    0.00   12.73 v mprj/u_mac_wrap/fanout236/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.10    0.24   12.97 v mprj/u_mac_wrap/fanout236/X (sky130_fd_sc_hd__clkbuf_4)
+    10    0.05                           mprj/u_mac_wrap/net236 (net)
+                  0.10    0.00   12.97 v mprj/u_mac_wrap/_06134_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.33   13.30 v mprj/u_mac_wrap/_06134_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00549_ (net)
+                  0.05    0.00   13.30 v mprj/u_mac_wrap/_09067_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 13.30   data arrival time
 
                          40.00   40.00   clock mac_rx_clk (rise edge)
                           0.00   40.00   clock source latency
@@ -139136,45 +154499,63 @@
                   0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17   41.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00   41.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21   41.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00   41.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43   42.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03   42.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24   42.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12   41.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00   41.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81   42.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21   42.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42   43.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01   43.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15   43.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00   43.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32   43.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00   43.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28   44.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00   44.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21   44.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01   44.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16   44.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00   42.61 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.15   42.76 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00   42.77 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14   42.91 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_3_0_phy_rx_clk (net)
-                  0.06    0.00   42.91 ^ mprj/u_mac_wrap/clkbuf_3_7_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.25   43.16 ^ mprj/u_mac_wrap/clkbuf_3_7_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.13                           mprj/u_mac_wrap/clknet_3_7_0_phy_rx_clk (net)
-                  0.22    0.00   43.16 ^ mprj/u_mac_wrap/clkbuf_leaf_15_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.17   43.33 ^ mprj/u_mac_wrap/clkbuf_leaf_15_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.01                           mprj/u_mac_wrap/clknet_leaf_15_phy_rx_clk (net)
-                  0.04    0.00   43.33 ^ mprj/u_mac_wrap/_09039_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   43.08   clock uncertainty
-                          2.33   45.41   clock reconvergence pessimism
-                         -0.12   45.29   library setup time
-                                 45.29   data required time
+                  0.05    0.00   44.41 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   44.52 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00   44.52 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15   44.67 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00   44.67 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15   44.82 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
+                  0.06    0.00   44.82 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.26    0.28   45.10 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
+                  0.26    0.01   45.10 ^ mprj/u_mac_wrap/clkbuf_leaf_26_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.19   45.30 ^ mprj/u_mac_wrap/clkbuf_leaf_26_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_mac_wrap/clknet_leaf_26_phy_rx_clk (net)
+                  0.05    0.00   45.30 ^ mprj/u_mac_wrap/_09067_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   45.05   clock uncertainty
+                          2.46   47.51   clock reconvergence pessimism
+                         -0.12   47.39   library setup time
+                                 47.39   data required time
 -----------------------------------------------------------------------------
-                                 45.29   data required time
-                                -10.11   data arrival time
+                                 47.39   data required time
+                                -13.30   data arrival time
 -----------------------------------------------------------------------------
-                                 35.18   slack (MET)
+                                 34.09   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_09078_
+Startpoint: mprj/u_mac_wrap/_09129_
             (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_09030_
+Endpoint: mprj/u_mac_wrap/_09058_
           (rising edge-triggered flip-flop clocked by mac_rx_clk)
 Path Group: mac_rx_clk
 Path Type: max
@@ -139198,71 +154579,104 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.09 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.16    5.25 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
-                  0.06    0.00    5.25 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.27    5.52 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.12                           mprj/u_mac_wrap/clknet_3_5_0_phy_rx_clk (net)
-                  0.22    0.00    5.52 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.20    5.72 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.03                           mprj/u_mac_wrap/clknet_leaf_8_phy_rx_clk (net)
-                  0.06    0.00    5.72 ^ mprj/u_mac_wrap/_09078_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.05    0.34    6.06 ^ mprj/u_mac_wrap/_09078_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[1] (net)
-                  0.05    0.00    6.06 ^ mprj/u_mac_wrap/fanout451/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.17    0.20    6.27 ^ mprj/u_mac_wrap/fanout451/X (sky130_fd_sc_hd__clkbuf_2)
-     7    0.03                           mprj/u_mac_wrap/net451 (net)
-                  0.17    0.00    6.27 ^ mprj/u_mac_wrap/fanout450/A (sky130_fd_sc_hd__buf_2)
-                  0.21    0.27    6.54 ^ mprj/u_mac_wrap/fanout450/X (sky130_fd_sc_hd__buf_2)
-    10    0.04                           mprj/u_mac_wrap/net450 (net)
-                  0.21    0.00    6.54 ^ mprj/u_mac_wrap/_04293_/C (sky130_fd_sc_hd__and4_1)
-                  0.18    0.33    6.88 ^ mprj/u_mac_wrap/_04293_/X (sky130_fd_sc_hd__and4_1)
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15    7.14 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_1_1_1_phy_rx_clk (net)
+                  0.07    0.00    7.14 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.30 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_3_0_phy_rx_clk (net)
+                  0.06    0.00    7.30 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.17    0.24    7.53 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.10                           mprj/u_mac_wrap/clknet_3_6_0_phy_rx_clk (net)
+                  0.17    0.00    7.54 ^ mprj/u_mac_wrap/clkbuf_leaf_9_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    7.70 ^ mprj/u_mac_wrap/clkbuf_leaf_9_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     3    0.01                           mprj/u_mac_wrap/clknet_leaf_9_phy_rx_clk (net)
+                  0.04    0.00    7.70 ^ mprj/u_mac_wrap/_09129_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.27    0.50    8.21 ^ mprj/u_mac_wrap/_09129_/Q (sky130_fd_sc_hd__dfrtp_1)
+     8    0.03                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[2] (net)
+                  0.27    0.00    8.21 ^ mprj/u_mac_wrap/fanout447/A (sky130_fd_sc_hd__buf_2)
+                  0.20    0.30    8.50 ^ mprj/u_mac_wrap/fanout447/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_mac_wrap/net447 (net)
+                  0.20    0.00    8.50 ^ mprj/u_mac_wrap/_04316_/B (sky130_fd_sc_hd__and4_2)
+                  0.15    0.35    8.86 ^ mprj/u_mac_wrap/_04316_/X (sky130_fd_sc_hd__and4_2)
+     6    0.02                           mprj/u_mac_wrap/_01640_ (net)
+                  0.15    0.00    8.86 ^ mprj/u_mac_wrap/_04318_/D (sky130_fd_sc_hd__and4_1)
+                  0.19    0.33    9.19 ^ mprj/u_mac_wrap/_04318_/X (sky130_fd_sc_hd__and4_1)
      5    0.02                           mprj/u_mac_wrap/_01642_ (net)
-                  0.18    0.00    6.88 ^ mprj/u_mac_wrap/_04295_/D (sky130_fd_sc_hd__and4_2)
-                  0.14    0.35    7.22 ^ mprj/u_mac_wrap/_04295_/X (sky130_fd_sc_hd__and4_2)
-     6    0.02                           mprj/u_mac_wrap/_01644_ (net)
-                  0.14    0.00    7.22 ^ mprj/u_mac_wrap/_04297_/D (sky130_fd_sc_hd__and4_1)
-                  0.20    0.34    7.56 ^ mprj/u_mac_wrap/_04297_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01646_ (net)
-                  0.20    0.00    7.56 ^ mprj/u_mac_wrap/_04298_/C (sky130_fd_sc_hd__nand3_1)
-                  0.15    0.19    7.75 v mprj/u_mac_wrap/_04298_/Y (sky130_fd_sc_hd__nand3_1)
-     3    0.01                           mprj/u_mac_wrap/_01647_ (net)
-                  0.15    0.00    7.75 v mprj/u_mac_wrap/_04304_/A1 (sky130_fd_sc_hd__a2111o_1)
-                  0.13    0.50    8.25 v mprj/u_mac_wrap/_04304_/X (sky130_fd_sc_hd__a2111o_1)
-     3    0.02                           mprj/u_mac_wrap/_01653_ (net)
-                  0.13    0.00    8.25 v mprj/u_mac_wrap/_04320_/B1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.35    8.60 v mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_mac_wrap/_01669_ (net)
-                  0.05    0.00    8.60 v mprj/u_mac_wrap/_04321_/D (sky130_fd_sc_hd__or4_1)
-                  0.11    0.46    9.06 v mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01670_ (net)
-                  0.11    0.00    9.06 v mprj/u_mac_wrap/_04330_/B (sky130_fd_sc_hd__nor4_2)
-                  0.41    0.45    9.51 ^ mprj/u_mac_wrap/_04330_/Y (sky130_fd_sc_hd__nor4_2)
-     4    0.01                           mprj/u_mac_wrap/_01679_ (net)
-                  0.41    0.00    9.51 ^ mprj/u_mac_wrap/_04361_/A_N (sky130_fd_sc_hd__nand3b_1)
-                  0.20    0.27    9.78 ^ mprj/u_mac_wrap/_04361_/Y (sky130_fd_sc_hd__nand3b_1)
-     3    0.02                           mprj/u_mac_wrap/_01710_ (net)
-                  0.20    0.00    9.78 ^ mprj/u_mac_wrap/_04368_/B (sky130_fd_sc_hd__and3_1)
-                  0.16    0.28   10.06 ^ mprj/u_mac_wrap/_04368_/X (sky130_fd_sc_hd__and3_1)
-     3    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.gen_eop (net)
-                  0.16    0.00   10.06 ^ mprj/u_mac_wrap/_09030_/D (sky130_fd_sc_hd__dfrtp_4)
-                                 10.06   data arrival time
+                  0.19    0.00    9.19 ^ mprj/u_mac_wrap/_04320_/D (sky130_fd_sc_hd__and4_1)
+                  0.16    0.31    9.50 ^ mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__and4_1)
+     4    0.01                           mprj/u_mac_wrap/_01644_ (net)
+                  0.16    0.00    9.50 ^ mprj/u_mac_wrap/_04321_/C (sky130_fd_sc_hd__and3_1)
+                  0.19    0.29    9.79 ^ mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__and3_1)
+     4    0.02                           mprj/u_mac_wrap/_01645_ (net)
+                  0.19    0.00    9.79 ^ mprj/u_mac_wrap/_04327_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.14    0.31   10.10 ^ mprj/u_mac_wrap/_04327_/X (sky130_fd_sc_hd__o2111a_1)
+     2    0.01                           mprj/u_mac_wrap/_01651_ (net)
+                  0.14    0.00   10.10 ^ mprj/u_mac_wrap/_04341_/C1 (sky130_fd_sc_hd__o211ai_1)
+                  0.10    0.13   10.23 v mprj/u_mac_wrap/_04341_/Y (sky130_fd_sc_hd__o211ai_1)
+     2    0.01                           mprj/u_mac_wrap/_01665_ (net)
+                  0.10    0.00   10.23 v mprj/u_mac_wrap/_04347_/D1 (sky130_fd_sc_hd__a2111o_1)
+                  0.06    0.31   10.54 v mprj/u_mac_wrap/_04347_/X (sky130_fd_sc_hd__a2111o_1)
+     1    0.00                           mprj/u_mac_wrap/_01671_ (net)
+                  0.06    0.00   10.54 v mprj/u_mac_wrap/_04355_/A (sky130_fd_sc_hd__nor3_1)
+                  0.34    0.35   10.89 ^ mprj/u_mac_wrap/_04355_/Y (sky130_fd_sc_hd__nor3_1)
+     2    0.01                           mprj/u_mac_wrap/_01679_ (net)
+                  0.34    0.00   10.89 ^ mprj/u_mac_wrap/_04357_/B (sky130_fd_sc_hd__and2_1)
+                  0.15    0.27   11.16 ^ mprj/u_mac_wrap/_04357_/X (sky130_fd_sc_hd__and2_1)
+     4    0.01                           mprj/u_mac_wrap/_01681_ (net)
+                  0.15    0.00   11.16 ^ mprj/u_mac_wrap/_04388_/A_N (sky130_fd_sc_hd__nand3b_1)
+                  0.14    0.19   11.34 ^ mprj/u_mac_wrap/_04388_/Y (sky130_fd_sc_hd__nand3b_1)
+     3    0.01                           mprj/u_mac_wrap/_01712_ (net)
+                  0.14    0.00   11.34 ^ mprj/u_mac_wrap/_04634_/A_N (sky130_fd_sc_hd__and2b_1)
+                  0.10    0.27   11.62 v mprj/u_mac_wrap/_04634_/X (sky130_fd_sc_hd__and2b_1)
+     5    0.02                           mprj/u_mac_wrap/_01928_ (net)
+                  0.10    0.00   11.62 v mprj/u_mac_wrap/_04645_/A (sky130_fd_sc_hd__or2_1)
+                  0.09    0.31   11.93 v mprj/u_mac_wrap/_04645_/X (sky130_fd_sc_hd__or2_1)
+     3    0.01                           mprj/u_mac_wrap/_01939_ (net)
+                  0.10    0.00   11.93 v mprj/u_mac_wrap/_06105_/B (sky130_fd_sc_hd__or3b_2)
+                  0.13    0.55   12.48 v mprj/u_mac_wrap/_06105_/X (sky130_fd_sc_hd__or3b_2)
+     4    0.02                           mprj/u_mac_wrap/_02877_ (net)
+                  0.13    0.00   12.48 v mprj/u_mac_wrap/fanout237/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.25   12.73 v mprj/u_mac_wrap/fanout237/X (sky130_fd_sc_hd__buf_2)
+    14    0.05                           mprj/u_mac_wrap/net237 (net)
+                  0.11    0.00   12.73 v mprj/u_mac_wrap/fanout236/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.10    0.24   12.97 v mprj/u_mac_wrap/fanout236/X (sky130_fd_sc_hd__clkbuf_4)
+    10    0.05                           mprj/u_mac_wrap/net236 (net)
+                  0.10    0.00   12.97 v mprj/u_mac_wrap/_06125_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.33   13.30 v mprj/u_mac_wrap/_06125_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00540_ (net)
+                  0.05    0.00   13.30 v mprj/u_mac_wrap/_09058_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 13.30   data arrival time
 
                          40.00   40.00   clock mac_rx_clk (rise edge)
                           0.00   40.00   clock source latency
@@ -139281,45 +154695,63 @@
                   0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17   41.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00   41.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21   41.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00   41.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43   42.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03   42.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24   42.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12   41.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00   41.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81   42.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21   42.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42   43.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01   43.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15   43.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00   43.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32   43.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00   43.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28   44.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00   44.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21   44.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01   44.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16   44.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00   42.61 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16   42.77 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
-                  0.08    0.00   42.77 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15   42.92 ^ mprj/u_mac_wrap/clkbuf_2_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_mac_wrap/clknet_2_0_0_phy_rx_clk (net)
-                  0.06    0.00   42.92 ^ mprj/u_mac_wrap/clkbuf_3_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.18    0.23   43.15 ^ mprj/u_mac_wrap/clkbuf_3_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.10                           mprj/u_mac_wrap/clknet_3_1_0_phy_rx_clk (net)
-                  0.18    0.00   43.15 ^ mprj/u_mac_wrap/clkbuf_leaf_36_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.17   43.32 ^ mprj/u_mac_wrap/clkbuf_leaf_36_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.03                           mprj/u_mac_wrap/clknet_leaf_36_phy_rx_clk (net)
-                  0.05    0.00   43.32 ^ mprj/u_mac_wrap/_09030_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                         -0.25   43.07   clock uncertainty
-                          2.32   45.39   clock reconvergence pessimism
-                         -0.09   45.30   library setup time
-                                 45.30   data required time
+                  0.05    0.00   44.41 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   44.52 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00   44.52 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15   44.67 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00   44.67 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15   44.82 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
+                  0.06    0.00   44.82 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.26    0.28   45.10 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
+                  0.26    0.01   45.10 ^ mprj/u_mac_wrap/clkbuf_leaf_25_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20   45.30 ^ mprj/u_mac_wrap/clkbuf_leaf_25_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_mac_wrap/clknet_leaf_25_phy_rx_clk (net)
+                  0.06    0.00   45.30 ^ mprj/u_mac_wrap/_09058_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   45.05   clock uncertainty
+                          2.46   47.51   clock reconvergence pessimism
+                         -0.12   47.40   library setup time
+                                 47.40   data required time
 -----------------------------------------------------------------------------
-                                 45.30   data required time
-                                -10.06   data arrival time
+                                 47.40   data required time
+                                -13.30   data arrival time
 -----------------------------------------------------------------------------
-                                 35.24   slack (MET)
+                                 34.10   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_09078_
+Startpoint: mprj/u_mac_wrap/_09129_
             (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_10135_
+Endpoint: mprj/u_mac_wrap/_09049_
           (rising edge-triggered flip-flop clocked by mac_rx_clk)
 Path Group: mac_rx_clk
 Path Type: max
@@ -139343,71 +154775,104 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.09 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.16    5.25 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
-                  0.06    0.00    5.25 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.27    5.52 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.12                           mprj/u_mac_wrap/clknet_3_5_0_phy_rx_clk (net)
-                  0.22    0.00    5.52 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.20    5.72 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.03                           mprj/u_mac_wrap/clknet_leaf_8_phy_rx_clk (net)
-                  0.06    0.00    5.72 ^ mprj/u_mac_wrap/_09078_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.05    0.34    6.06 ^ mprj/u_mac_wrap/_09078_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[1] (net)
-                  0.05    0.00    6.06 ^ mprj/u_mac_wrap/fanout451/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.17    0.20    6.27 ^ mprj/u_mac_wrap/fanout451/X (sky130_fd_sc_hd__clkbuf_2)
-     7    0.03                           mprj/u_mac_wrap/net451 (net)
-                  0.17    0.00    6.27 ^ mprj/u_mac_wrap/fanout450/A (sky130_fd_sc_hd__buf_2)
-                  0.21    0.27    6.54 ^ mprj/u_mac_wrap/fanout450/X (sky130_fd_sc_hd__buf_2)
-    10    0.04                           mprj/u_mac_wrap/net450 (net)
-                  0.21    0.00    6.54 ^ mprj/u_mac_wrap/_04293_/C (sky130_fd_sc_hd__and4_1)
-                  0.18    0.33    6.88 ^ mprj/u_mac_wrap/_04293_/X (sky130_fd_sc_hd__and4_1)
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15    7.14 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_1_1_1_phy_rx_clk (net)
+                  0.07    0.00    7.14 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.30 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_3_0_phy_rx_clk (net)
+                  0.06    0.00    7.30 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.17    0.24    7.53 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.10                           mprj/u_mac_wrap/clknet_3_6_0_phy_rx_clk (net)
+                  0.17    0.00    7.54 ^ mprj/u_mac_wrap/clkbuf_leaf_9_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    7.70 ^ mprj/u_mac_wrap/clkbuf_leaf_9_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     3    0.01                           mprj/u_mac_wrap/clknet_leaf_9_phy_rx_clk (net)
+                  0.04    0.00    7.70 ^ mprj/u_mac_wrap/_09129_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.27    0.50    8.21 ^ mprj/u_mac_wrap/_09129_/Q (sky130_fd_sc_hd__dfrtp_1)
+     8    0.03                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[2] (net)
+                  0.27    0.00    8.21 ^ mprj/u_mac_wrap/fanout447/A (sky130_fd_sc_hd__buf_2)
+                  0.20    0.30    8.50 ^ mprj/u_mac_wrap/fanout447/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_mac_wrap/net447 (net)
+                  0.20    0.00    8.50 ^ mprj/u_mac_wrap/_04316_/B (sky130_fd_sc_hd__and4_2)
+                  0.15    0.35    8.86 ^ mprj/u_mac_wrap/_04316_/X (sky130_fd_sc_hd__and4_2)
+     6    0.02                           mprj/u_mac_wrap/_01640_ (net)
+                  0.15    0.00    8.86 ^ mprj/u_mac_wrap/_04318_/D (sky130_fd_sc_hd__and4_1)
+                  0.19    0.33    9.19 ^ mprj/u_mac_wrap/_04318_/X (sky130_fd_sc_hd__and4_1)
      5    0.02                           mprj/u_mac_wrap/_01642_ (net)
-                  0.18    0.00    6.88 ^ mprj/u_mac_wrap/_04295_/D (sky130_fd_sc_hd__and4_2)
-                  0.14    0.35    7.22 ^ mprj/u_mac_wrap/_04295_/X (sky130_fd_sc_hd__and4_2)
-     6    0.02                           mprj/u_mac_wrap/_01644_ (net)
-                  0.14    0.00    7.22 ^ mprj/u_mac_wrap/_04297_/D (sky130_fd_sc_hd__and4_1)
-                  0.20    0.34    7.56 ^ mprj/u_mac_wrap/_04297_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01646_ (net)
-                  0.20    0.00    7.56 ^ mprj/u_mac_wrap/_04298_/C (sky130_fd_sc_hd__nand3_1)
-                  0.15    0.19    7.75 v mprj/u_mac_wrap/_04298_/Y (sky130_fd_sc_hd__nand3_1)
-     3    0.01                           mprj/u_mac_wrap/_01647_ (net)
-                  0.15    0.00    7.75 v mprj/u_mac_wrap/_04304_/A1 (sky130_fd_sc_hd__a2111o_1)
-                  0.13    0.50    8.25 v mprj/u_mac_wrap/_04304_/X (sky130_fd_sc_hd__a2111o_1)
-     3    0.02                           mprj/u_mac_wrap/_01653_ (net)
-                  0.13    0.00    8.25 v mprj/u_mac_wrap/_04320_/B1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.35    8.60 v mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_mac_wrap/_01669_ (net)
-                  0.05    0.00    8.60 v mprj/u_mac_wrap/_04321_/D (sky130_fd_sc_hd__or4_1)
-                  0.11    0.46    9.06 v mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01670_ (net)
-                  0.11    0.00    9.06 v mprj/u_mac_wrap/_04330_/B (sky130_fd_sc_hd__nor4_2)
-                  0.41    0.45    9.51 ^ mprj/u_mac_wrap/_04330_/Y (sky130_fd_sc_hd__nor4_2)
-     4    0.01                           mprj/u_mac_wrap/_01679_ (net)
-                  0.41    0.00    9.51 ^ mprj/u_mac_wrap/_04345_/B1 (sky130_fd_sc_hd__o21ai_1)
-                  0.17    0.23    9.74 v mprj/u_mac_wrap/_04345_/Y (sky130_fd_sc_hd__o21ai_1)
-     2    0.01                           mprj/u_mac_wrap/_01694_ (net)
-                  0.17    0.00    9.74 v mprj/u_mac_wrap/_08451_/A (sky130_fd_sc_hd__and3_1)
-                  0.04    0.21    9.94 v mprj/u_mac_wrap/_08451_/X (sky130_fd_sc_hd__and3_1)
-     1    0.00                           mprj/u_mac_wrap/_01550_ (net)
-                  0.04    0.00    9.95 v mprj/u_mac_wrap/_10135_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  9.95   data arrival time
+                  0.19    0.00    9.19 ^ mprj/u_mac_wrap/_04320_/D (sky130_fd_sc_hd__and4_1)
+                  0.16    0.31    9.50 ^ mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__and4_1)
+     4    0.01                           mprj/u_mac_wrap/_01644_ (net)
+                  0.16    0.00    9.50 ^ mprj/u_mac_wrap/_04321_/C (sky130_fd_sc_hd__and3_1)
+                  0.19    0.29    9.79 ^ mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__and3_1)
+     4    0.02                           mprj/u_mac_wrap/_01645_ (net)
+                  0.19    0.00    9.79 ^ mprj/u_mac_wrap/_04327_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.14    0.31   10.10 ^ mprj/u_mac_wrap/_04327_/X (sky130_fd_sc_hd__o2111a_1)
+     2    0.01                           mprj/u_mac_wrap/_01651_ (net)
+                  0.14    0.00   10.10 ^ mprj/u_mac_wrap/_04341_/C1 (sky130_fd_sc_hd__o211ai_1)
+                  0.10    0.13   10.23 v mprj/u_mac_wrap/_04341_/Y (sky130_fd_sc_hd__o211ai_1)
+     2    0.01                           mprj/u_mac_wrap/_01665_ (net)
+                  0.10    0.00   10.23 v mprj/u_mac_wrap/_04347_/D1 (sky130_fd_sc_hd__a2111o_1)
+                  0.06    0.31   10.54 v mprj/u_mac_wrap/_04347_/X (sky130_fd_sc_hd__a2111o_1)
+     1    0.00                           mprj/u_mac_wrap/_01671_ (net)
+                  0.06    0.00   10.54 v mprj/u_mac_wrap/_04355_/A (sky130_fd_sc_hd__nor3_1)
+                  0.34    0.35   10.89 ^ mprj/u_mac_wrap/_04355_/Y (sky130_fd_sc_hd__nor3_1)
+     2    0.01                           mprj/u_mac_wrap/_01679_ (net)
+                  0.34    0.00   10.89 ^ mprj/u_mac_wrap/_04357_/B (sky130_fd_sc_hd__and2_1)
+                  0.15    0.27   11.16 ^ mprj/u_mac_wrap/_04357_/X (sky130_fd_sc_hd__and2_1)
+     4    0.01                           mprj/u_mac_wrap/_01681_ (net)
+                  0.15    0.00   11.16 ^ mprj/u_mac_wrap/_04388_/A_N (sky130_fd_sc_hd__nand3b_1)
+                  0.14    0.19   11.34 ^ mprj/u_mac_wrap/_04388_/Y (sky130_fd_sc_hd__nand3b_1)
+     3    0.01                           mprj/u_mac_wrap/_01712_ (net)
+                  0.14    0.00   11.34 ^ mprj/u_mac_wrap/_04634_/A_N (sky130_fd_sc_hd__and2b_1)
+                  0.10    0.27   11.62 v mprj/u_mac_wrap/_04634_/X (sky130_fd_sc_hd__and2b_1)
+     5    0.02                           mprj/u_mac_wrap/_01928_ (net)
+                  0.10    0.00   11.62 v mprj/u_mac_wrap/_04645_/A (sky130_fd_sc_hd__or2_1)
+                  0.09    0.31   11.93 v mprj/u_mac_wrap/_04645_/X (sky130_fd_sc_hd__or2_1)
+     3    0.01                           mprj/u_mac_wrap/_01939_ (net)
+                  0.10    0.00   11.93 v mprj/u_mac_wrap/_06105_/B (sky130_fd_sc_hd__or3b_2)
+                  0.13    0.55   12.48 v mprj/u_mac_wrap/_06105_/X (sky130_fd_sc_hd__or3b_2)
+     4    0.02                           mprj/u_mac_wrap/_02877_ (net)
+                  0.13    0.00   12.48 v mprj/u_mac_wrap/fanout235/A (sky130_fd_sc_hd__buf_2)
+                  0.08    0.22   12.70 v mprj/u_mac_wrap/fanout235/X (sky130_fd_sc_hd__buf_2)
+     7    0.03                           mprj/u_mac_wrap/net235 (net)
+                  0.08    0.00   12.70 v mprj/u_mac_wrap/fanout234/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.10    0.23   12.93 v mprj/u_mac_wrap/fanout234/X (sky130_fd_sc_hd__clkbuf_4)
+    10    0.05                           mprj/u_mac_wrap/net234 (net)
+                  0.10    0.00   12.93 v mprj/u_mac_wrap/_06116_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.33   13.26 v mprj/u_mac_wrap/_06116_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00531_ (net)
+                  0.05    0.00   13.26 v mprj/u_mac_wrap/_09049_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 13.26   data arrival time
 
                          40.00   40.00   clock mac_rx_clk (rise edge)
                           0.00   40.00   clock source latency
@@ -139426,339 +154891,61 @@
                   0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17   41.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00   41.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21   41.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00   41.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43   42.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03   42.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24   42.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12   41.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00   41.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81   42.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21   42.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42   43.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01   43.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15   43.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00   43.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32   43.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00   43.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28   44.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00   44.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21   44.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01   44.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16   44.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00   42.61 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.15   42.76 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00   42.76 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15   42.91 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
-                  0.06    0.00   42.91 ^ mprj/u_mac_wrap/clkbuf_3_4_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.17    0.22   43.13 ^ mprj/u_mac_wrap/clkbuf_3_4_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    12    0.09                           mprj/u_mac_wrap/clknet_3_4_0_phy_rx_clk (net)
-                  0.17    0.00   43.13 ^ mprj/u_mac_wrap/clkbuf_leaf_11_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.17   43.30 ^ mprj/u_mac_wrap/clkbuf_leaf_11_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.03                           mprj/u_mac_wrap/clknet_leaf_11_phy_rx_clk (net)
-                  0.06    0.00   43.30 ^ mprj/u_mac_wrap/_10135_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   43.05   clock uncertainty
-                          2.34   45.39   clock reconvergence pessimism
-                         -0.11   45.28   library setup time
-                                 45.28   data required time
+                  0.05    0.00   44.41 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   44.52 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00   44.52 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15   44.67 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00   44.67 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15   44.82 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
+                  0.06    0.00   44.82 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.26    0.28   45.10 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
+                  0.26    0.01   45.10 ^ mprj/u_mac_wrap/clkbuf_leaf_26_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.19   45.30 ^ mprj/u_mac_wrap/clkbuf_leaf_26_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_mac_wrap/clknet_leaf_26_phy_rx_clk (net)
+                  0.05    0.00   45.30 ^ mprj/u_mac_wrap/_09049_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   45.05   clock uncertainty
+                          2.46   47.51   clock reconvergence pessimism
+                         -0.12   47.39   library setup time
+                                 47.39   data required time
 -----------------------------------------------------------------------------
-                                 45.28   data required time
-                                 -9.95   data arrival time
+                                 47.39   data required time
+                                -13.26   data arrival time
 -----------------------------------------------------------------------------
-                                 35.34   slack (MET)
+                                 34.13   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_09078_
-            (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_09046_
-          (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Path Group: mac_rx_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_rx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1   11.12                           mprj_io[12] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[12] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.09 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.16    5.25 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
-                  0.06    0.00    5.25 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.27    5.52 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.12                           mprj/u_mac_wrap/clknet_3_5_0_phy_rx_clk (net)
-                  0.22    0.00    5.52 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.20    5.72 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.03                           mprj/u_mac_wrap/clknet_leaf_8_phy_rx_clk (net)
-                  0.06    0.00    5.72 ^ mprj/u_mac_wrap/_09078_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.05    0.34    6.06 ^ mprj/u_mac_wrap/_09078_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[1] (net)
-                  0.05    0.00    6.06 ^ mprj/u_mac_wrap/fanout451/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.17    0.20    6.27 ^ mprj/u_mac_wrap/fanout451/X (sky130_fd_sc_hd__clkbuf_2)
-     7    0.03                           mprj/u_mac_wrap/net451 (net)
-                  0.17    0.00    6.27 ^ mprj/u_mac_wrap/fanout450/A (sky130_fd_sc_hd__buf_2)
-                  0.21    0.27    6.54 ^ mprj/u_mac_wrap/fanout450/X (sky130_fd_sc_hd__buf_2)
-    10    0.04                           mprj/u_mac_wrap/net450 (net)
-                  0.21    0.00    6.54 ^ mprj/u_mac_wrap/_04293_/C (sky130_fd_sc_hd__and4_1)
-                  0.18    0.33    6.88 ^ mprj/u_mac_wrap/_04293_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01642_ (net)
-                  0.18    0.00    6.88 ^ mprj/u_mac_wrap/_04295_/D (sky130_fd_sc_hd__and4_2)
-                  0.14    0.35    7.22 ^ mprj/u_mac_wrap/_04295_/X (sky130_fd_sc_hd__and4_2)
-     6    0.02                           mprj/u_mac_wrap/_01644_ (net)
-                  0.14    0.00    7.22 ^ mprj/u_mac_wrap/_04297_/D (sky130_fd_sc_hd__and4_1)
-                  0.20    0.34    7.56 ^ mprj/u_mac_wrap/_04297_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01646_ (net)
-                  0.20    0.00    7.56 ^ mprj/u_mac_wrap/_04298_/C (sky130_fd_sc_hd__nand3_1)
-                  0.15    0.19    7.75 v mprj/u_mac_wrap/_04298_/Y (sky130_fd_sc_hd__nand3_1)
-     3    0.01                           mprj/u_mac_wrap/_01647_ (net)
-                  0.15    0.00    7.75 v mprj/u_mac_wrap/_04304_/A1 (sky130_fd_sc_hd__a2111o_1)
-                  0.13    0.50    8.25 v mprj/u_mac_wrap/_04304_/X (sky130_fd_sc_hd__a2111o_1)
-     3    0.02                           mprj/u_mac_wrap/_01653_ (net)
-                  0.13    0.00    8.25 v mprj/u_mac_wrap/_04328_/A (sky130_fd_sc_hd__nor2_2)
-                  0.33    0.34    8.59 ^ mprj/u_mac_wrap/_04328_/Y (sky130_fd_sc_hd__nor2_2)
-     6    0.03                           mprj/u_mac_wrap/_01677_ (net)
-                  0.33    0.00    8.59 ^ mprj/u_mac_wrap/_04329_/A (sky130_fd_sc_hd__clkinv_2)
-                  0.06    0.08    8.67 v mprj/u_mac_wrap/_04329_/Y (sky130_fd_sc_hd__clkinv_2)
-     1    0.00                           mprj/u_mac_wrap/_01678_ (net)
-                  0.06    0.00    8.67 v mprj/u_mac_wrap/_04647_/C (sky130_fd_sc_hd__or4_2)
-                  0.16    0.74    9.41 v mprj/u_mac_wrap/_04647_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_mac_wrap/_01966_ (net)
-                  0.16    0.00    9.41 v mprj/u_mac_wrap/_06122_/D (sky130_fd_sc_hd__and4bb_1)
-                  0.06    0.29    9.70 v mprj/u_mac_wrap/_06122_/X (sky130_fd_sc_hd__and4bb_1)
-     1    0.01                           mprj/u_mac_wrap/_02872_ (net)
-                  0.06    0.00    9.70 v mprj/u_mac_wrap/_06123_/A2 (sky130_fd_sc_hd__o21a_1)
-                  0.03    0.17    9.87 v mprj/u_mac_wrap/_06123_/X (sky130_fd_sc_hd__o21a_1)
-     1    0.00                           mprj/u_mac_wrap/_00568_ (net)
-                  0.03    0.00    9.87 v mprj/u_mac_wrap/_09046_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  9.87   data arrival time
-
-                         40.00   40.00   clock mac_rx_clk (rise edge)
-                          0.00   40.00   clock source latency
-                  1.00    0.00   40.00 ^ mprj_io[12] (inout)
-     1    6.12                           mprj_io[12] (net)
-                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[12] (net)
-                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00   41.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10   41.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00   41.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21   41.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17   41.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00   41.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21   41.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00   41.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43   42.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03   42.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24   42.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00   42.61 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.15   42.76 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00   42.76 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15   42.91 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
-                  0.06    0.00   42.91 ^ mprj/u_mac_wrap/clkbuf_3_4_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.17    0.22   43.13 ^ mprj/u_mac_wrap/clkbuf_3_4_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    12    0.09                           mprj/u_mac_wrap/clknet_3_4_0_phy_rx_clk (net)
-                  0.17    0.00   43.13 ^ mprj/u_mac_wrap/clkbuf_leaf_9_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.17   43.30 ^ mprj/u_mac_wrap/clkbuf_leaf_9_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    10    0.03                           mprj/u_mac_wrap/clknet_leaf_9_phy_rx_clk (net)
-                  0.05    0.00   43.30 ^ mprj/u_mac_wrap/_09046_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   43.05   clock uncertainty
-                          2.34   45.39   clock reconvergence pessimism
-                         -0.11   45.29   library setup time
-                                 45.29   data required time
------------------------------------------------------------------------------
-                                 45.29   data required time
-                                 -9.87   data arrival time
------------------------------------------------------------------------------
-                                 35.42   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_09078_
-            (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_09076_
-          (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Path Group: mac_rx_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_rx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
-     1   11.12                           mprj_io[12] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[12] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.09 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.16    5.25 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
-                  0.06    0.00    5.25 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.27    5.52 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.12                           mprj/u_mac_wrap/clknet_3_5_0_phy_rx_clk (net)
-                  0.22    0.00    5.52 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.20    5.72 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.03                           mprj/u_mac_wrap/clknet_leaf_8_phy_rx_clk (net)
-                  0.06    0.00    5.72 ^ mprj/u_mac_wrap/_09078_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.05    0.34    6.06 ^ mprj/u_mac_wrap/_09078_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[1] (net)
-                  0.05    0.00    6.06 ^ mprj/u_mac_wrap/fanout451/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.17    0.20    6.27 ^ mprj/u_mac_wrap/fanout451/X (sky130_fd_sc_hd__clkbuf_2)
-     7    0.03                           mprj/u_mac_wrap/net451 (net)
-                  0.17    0.00    6.27 ^ mprj/u_mac_wrap/fanout450/A (sky130_fd_sc_hd__buf_2)
-                  0.21    0.27    6.54 ^ mprj/u_mac_wrap/fanout450/X (sky130_fd_sc_hd__buf_2)
-    10    0.04                           mprj/u_mac_wrap/net450 (net)
-                  0.21    0.00    6.54 ^ mprj/u_mac_wrap/_04293_/C (sky130_fd_sc_hd__and4_1)
-                  0.18    0.33    6.88 ^ mprj/u_mac_wrap/_04293_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01642_ (net)
-                  0.18    0.00    6.88 ^ mprj/u_mac_wrap/_04295_/D (sky130_fd_sc_hd__and4_2)
-                  0.14    0.35    7.22 ^ mprj/u_mac_wrap/_04295_/X (sky130_fd_sc_hd__and4_2)
-     6    0.02                           mprj/u_mac_wrap/_01644_ (net)
-                  0.14    0.00    7.22 ^ mprj/u_mac_wrap/_04297_/D (sky130_fd_sc_hd__and4_1)
-                  0.20    0.34    7.56 ^ mprj/u_mac_wrap/_04297_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01646_ (net)
-                  0.20    0.00    7.56 ^ mprj/u_mac_wrap/_04298_/C (sky130_fd_sc_hd__nand3_1)
-                  0.15    0.19    7.75 v mprj/u_mac_wrap/_04298_/Y (sky130_fd_sc_hd__nand3_1)
-     3    0.01                           mprj/u_mac_wrap/_01647_ (net)
-                  0.15    0.00    7.75 v mprj/u_mac_wrap/_04304_/A1 (sky130_fd_sc_hd__a2111o_1)
-                  0.13    0.50    8.25 v mprj/u_mac_wrap/_04304_/X (sky130_fd_sc_hd__a2111o_1)
-     3    0.02                           mprj/u_mac_wrap/_01653_ (net)
-                  0.13    0.00    8.25 v mprj/u_mac_wrap/_04328_/A (sky130_fd_sc_hd__nor2_2)
-                  0.33    0.34    8.59 ^ mprj/u_mac_wrap/_04328_/Y (sky130_fd_sc_hd__nor2_2)
-     6    0.03                           mprj/u_mac_wrap/_01677_ (net)
-                  0.33    0.00    8.59 ^ mprj/u_mac_wrap/_04329_/A (sky130_fd_sc_hd__clkinv_2)
-                  0.06    0.08    8.67 v mprj/u_mac_wrap/_04329_/Y (sky130_fd_sc_hd__clkinv_2)
-     1    0.00                           mprj/u_mac_wrap/_01678_ (net)
-                  0.06    0.00    8.67 v mprj/u_mac_wrap/_04647_/C (sky130_fd_sc_hd__or4_2)
-                  0.16    0.74    9.41 v mprj/u_mac_wrap/_04647_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_mac_wrap/_01966_ (net)
-                  0.16    0.00    9.41 v mprj/u_mac_wrap/_04648_/A (sky130_fd_sc_hd__inv_2)
-                  0.06    0.10    9.51 ^ mprj/u_mac_wrap/_04648_/Y (sky130_fd_sc_hd__inv_2)
-     2    0.01                           mprj/u_mac_wrap/_01967_ (net)
-                  0.06    0.00    9.51 ^ mprj/u_mac_wrap/_04650_/A2 (sky130_fd_sc_hd__o31a_1)
-                  0.05    0.13    9.64 ^ mprj/u_mac_wrap/_04650_/X (sky130_fd_sc_hd__o31a_1)
-     1    0.00                           mprj/u_mac_wrap/_01969_ (net)
-                  0.05    0.00    9.64 ^ mprj/u_mac_wrap/_04651_/C (sky130_fd_sc_hd__nor3_1)
-                  0.07    0.05    9.69 v mprj/u_mac_wrap/_04651_/Y (sky130_fd_sc_hd__nor3_1)
-     2    0.01                           mprj/u_mac_wrap/_01970_ (net)
-                  0.07    0.00    9.69 v mprj/u_mac_wrap/_06294_/B1 (sky130_fd_sc_hd__a21o_1)
-                  0.03    0.17    9.86 v mprj/u_mac_wrap/_06294_/X (sky130_fd_sc_hd__a21o_1)
-     1    0.00                           mprj/u_mac_wrap/_00598_ (net)
-                  0.03    0.00    9.86 v mprj/u_mac_wrap/_09076_/D (sky130_fd_sc_hd__dfstp_1)
-                                  9.86   data arrival time
-
-                         40.00   40.00   clock mac_rx_clk (rise edge)
-                          0.00   40.00   clock source latency
-                  1.00    0.00   40.00 ^ mprj_io[12] (inout)
-     1    6.12                           mprj_io[12] (net)
-                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[12] (net)
-                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[12] (net)
-                  0.07    0.00   41.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10   41.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1[4]/net3 (net)
-                  0.03    0.00   41.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21   41.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1[4]/net22 (net)
-                  0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[12] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17   41.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00   41.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21   41.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00   41.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43   42.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03   42.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24   42.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00   42.61 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.15   42.76 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00   42.77 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14   42.91 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_3_0_phy_rx_clk (net)
-                  0.06    0.00   42.91 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.17    0.22   43.12 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.09                           mprj/u_mac_wrap/clknet_3_6_0_phy_rx_clk (net)
-                  0.17    0.00   43.12 ^ mprj/u_mac_wrap/clkbuf_leaf_12_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.16   43.28 ^ mprj/u_mac_wrap/clkbuf_leaf_12_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     5    0.02                           mprj/u_mac_wrap/clknet_leaf_12_phy_rx_clk (net)
-                  0.04    0.00   43.28 ^ mprj/u_mac_wrap/_09076_/CLK (sky130_fd_sc_hd__dfstp_1)
-                         -0.25   43.03   clock uncertainty
-                          2.33   45.36   clock reconvergence pessimism
-                         -0.07   45.30   library setup time
-                                 45.30   data required time
------------------------------------------------------------------------------
-                                 45.30   data required time
-                                 -9.86   data arrival time
------------------------------------------------------------------------------
-                                 35.44   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_09079_
+Startpoint: mprj/u_mac_wrap/_09129_
             (rising edge-triggered flip-flop clocked by mac_rx_clk)
 Endpoint: mprj/u_mac_wrap/_09050_
           (rising edge-triggered flip-flop clocked by mac_rx_clk)
@@ -139784,77 +154971,104 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.09 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15    5.25 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_3_0_phy_rx_clk (net)
-                  0.06    0.00    5.25 ^ mprj/u_mac_wrap/clkbuf_3_7_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.27    5.52 ^ mprj/u_mac_wrap/clkbuf_3_7_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.13                           mprj/u_mac_wrap/clknet_3_7_0_phy_rx_clk (net)
-                  0.22    0.00    5.52 ^ mprj/u_mac_wrap/clkbuf_leaf_15_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.19    5.71 ^ mprj/u_mac_wrap/clkbuf_leaf_15_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.01                           mprj/u_mac_wrap/clknet_leaf_15_phy_rx_clk (net)
-                  0.04    0.00    5.71 ^ mprj/u_mac_wrap/_09079_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.09    0.42    6.13 v mprj/u_mac_wrap/_09079_/Q (sky130_fd_sc_hd__dfrtp_1)
-     3    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[2] (net)
-                  0.09    0.00    6.13 v mprj/u_mac_wrap/fanout449/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.13    0.25    6.38 v mprj/u_mac_wrap/fanout449/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.06                           mprj/u_mac_wrap/net449 (net)
-                  0.13    0.00    6.38 v mprj/u_mac_wrap/_04612_/A (sky130_fd_sc_hd__or2_2)
-                  0.10    0.40    6.79 v mprj/u_mac_wrap/_04612_/X (sky130_fd_sc_hd__or2_2)
-     5    0.02                           mprj/u_mac_wrap/_01931_ (net)
-                  0.10    0.00    6.79 v mprj/u_mac_wrap/_04643_/B (sky130_fd_sc_hd__or2_1)
-                  0.10    0.30    7.09 v mprj/u_mac_wrap/_04643_/X (sky130_fd_sc_hd__or2_1)
-     4    0.02                           mprj/u_mac_wrap/_01962_ (net)
-                  0.10    0.00    7.09 v mprj/u_mac_wrap/_06170_/A2 (sky130_fd_sc_hd__a21oi_2)
-                  0.26    0.29    7.37 ^ mprj/u_mac_wrap/_06170_/Y (sky130_fd_sc_hd__a21oi_2)
-     5    0.02                           mprj/u_mac_wrap/_02916_ (net)
-                  0.26    0.00    7.37 ^ mprj/u_mac_wrap/_06172_/B (sky130_fd_sc_hd__nand2_1)
-                  0.15    0.20    7.57 v mprj/u_mac_wrap/_06172_/Y (sky130_fd_sc_hd__nand2_1)
-     7    0.02                           mprj/u_mac_wrap/_02918_ (net)
-                  0.15    0.00    7.57 v mprj/u_mac_wrap/_06211_/B (sky130_fd_sc_hd__and2_1)
-                  0.03    0.20    7.77 v mprj/u_mac_wrap/_06211_/X (sky130_fd_sc_hd__and2_1)
-     1    0.00                           mprj/u_mac_wrap/_02957_ (net)
-                  0.03    0.00    7.77 v mprj/u_mac_wrap/_06212_/B (sky130_fd_sc_hd__or2_1)
-                  0.06    0.23    8.00 v mprj/u_mac_wrap/_06212_/X (sky130_fd_sc_hd__or2_1)
-     1    0.01                           mprj/u_mac_wrap/_02958_ (net)
-                  0.06    0.00    8.00 v mprj/u_mac_wrap/_06213_/B (sky130_fd_sc_hd__xnor2_1)
-                  0.13    0.15    8.14 ^ mprj/u_mac_wrap/_06213_/Y (sky130_fd_sc_hd__xnor2_1)
-     1    0.00                           mprj/u_mac_wrap/_02959_ (net)
-                  0.13    0.00    8.14 ^ mprj/u_mac_wrap/_06216_/B1 (sky130_fd_sc_hd__o211a_1)
-                  0.04    0.16    8.30 ^ mprj/u_mac_wrap/_06216_/X (sky130_fd_sc_hd__o211a_1)
-     1    0.00                           mprj/u_mac_wrap/_02962_ (net)
-                  0.04    0.00    8.30 ^ mprj/u_mac_wrap/_06219_/C (sky130_fd_sc_hd__and4b_1)
-                  0.07    0.20    8.50 ^ mprj/u_mac_wrap/_06219_/X (sky130_fd_sc_hd__and4b_1)
-     1    0.00                           mprj/u_mac_wrap/_02965_ (net)
-                  0.07    0.00    8.50 ^ mprj/u_mac_wrap/_06220_/D_N (sky130_fd_sc_hd__or4b_1)
-                  0.09    0.46    8.96 v mprj/u_mac_wrap/_06220_/X (sky130_fd_sc_hd__or4b_1)
-     1    0.00                           mprj/u_mac_wrap/_02966_ (net)
-                  0.09    0.00    8.96 v mprj/u_mac_wrap/_06224_/C1 (sky130_fd_sc_hd__a2111o_1)
-                  0.06    0.36    9.32 v mprj/u_mac_wrap/_06224_/X (sky130_fd_sc_hd__a2111o_1)
-     1    0.00                           mprj/u_mac_wrap/_02970_ (net)
-                  0.06    0.00    9.32 v mprj/u_mac_wrap/_06225_/A3 (sky130_fd_sc_hd__a31o_1)
-                  0.03    0.22    9.54 v mprj/u_mac_wrap/_06225_/X (sky130_fd_sc_hd__a31o_1)
-     1    0.00                           mprj/u_mac_wrap/_02971_ (net)
-                  0.03    0.00    9.54 v mprj/u_mac_wrap/_06226_/B (sky130_fd_sc_hd__and2_1)
-                  0.04    0.15    9.69 v mprj/u_mac_wrap/_06226_/X (sky130_fd_sc_hd__and2_1)
-     1    0.00                           mprj/u_mac_wrap/_00572_ (net)
-                  0.04    0.00    9.69 v mprj/u_mac_wrap/_09050_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  9.69   data arrival time
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15    7.14 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_1_1_1_phy_rx_clk (net)
+                  0.07    0.00    7.14 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.30 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_3_0_phy_rx_clk (net)
+                  0.06    0.00    7.30 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.17    0.24    7.53 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.10                           mprj/u_mac_wrap/clknet_3_6_0_phy_rx_clk (net)
+                  0.17    0.00    7.54 ^ mprj/u_mac_wrap/clkbuf_leaf_9_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    7.70 ^ mprj/u_mac_wrap/clkbuf_leaf_9_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     3    0.01                           mprj/u_mac_wrap/clknet_leaf_9_phy_rx_clk (net)
+                  0.04    0.00    7.70 ^ mprj/u_mac_wrap/_09129_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.27    0.50    8.21 ^ mprj/u_mac_wrap/_09129_/Q (sky130_fd_sc_hd__dfrtp_1)
+     8    0.03                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[2] (net)
+                  0.27    0.00    8.21 ^ mprj/u_mac_wrap/fanout447/A (sky130_fd_sc_hd__buf_2)
+                  0.20    0.30    8.50 ^ mprj/u_mac_wrap/fanout447/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_mac_wrap/net447 (net)
+                  0.20    0.00    8.50 ^ mprj/u_mac_wrap/_04316_/B (sky130_fd_sc_hd__and4_2)
+                  0.15    0.35    8.86 ^ mprj/u_mac_wrap/_04316_/X (sky130_fd_sc_hd__and4_2)
+     6    0.02                           mprj/u_mac_wrap/_01640_ (net)
+                  0.15    0.00    8.86 ^ mprj/u_mac_wrap/_04318_/D (sky130_fd_sc_hd__and4_1)
+                  0.19    0.33    9.19 ^ mprj/u_mac_wrap/_04318_/X (sky130_fd_sc_hd__and4_1)
+     5    0.02                           mprj/u_mac_wrap/_01642_ (net)
+                  0.19    0.00    9.19 ^ mprj/u_mac_wrap/_04320_/D (sky130_fd_sc_hd__and4_1)
+                  0.16    0.31    9.50 ^ mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__and4_1)
+     4    0.01                           mprj/u_mac_wrap/_01644_ (net)
+                  0.16    0.00    9.50 ^ mprj/u_mac_wrap/_04321_/C (sky130_fd_sc_hd__and3_1)
+                  0.19    0.29    9.79 ^ mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__and3_1)
+     4    0.02                           mprj/u_mac_wrap/_01645_ (net)
+                  0.19    0.00    9.79 ^ mprj/u_mac_wrap/_04327_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.14    0.31   10.10 ^ mprj/u_mac_wrap/_04327_/X (sky130_fd_sc_hd__o2111a_1)
+     2    0.01                           mprj/u_mac_wrap/_01651_ (net)
+                  0.14    0.00   10.10 ^ mprj/u_mac_wrap/_04341_/C1 (sky130_fd_sc_hd__o211ai_1)
+                  0.10    0.13   10.23 v mprj/u_mac_wrap/_04341_/Y (sky130_fd_sc_hd__o211ai_1)
+     2    0.01                           mprj/u_mac_wrap/_01665_ (net)
+                  0.10    0.00   10.23 v mprj/u_mac_wrap/_04347_/D1 (sky130_fd_sc_hd__a2111o_1)
+                  0.06    0.31   10.54 v mprj/u_mac_wrap/_04347_/X (sky130_fd_sc_hd__a2111o_1)
+     1    0.00                           mprj/u_mac_wrap/_01671_ (net)
+                  0.06    0.00   10.54 v mprj/u_mac_wrap/_04355_/A (sky130_fd_sc_hd__nor3_1)
+                  0.34    0.35   10.89 ^ mprj/u_mac_wrap/_04355_/Y (sky130_fd_sc_hd__nor3_1)
+     2    0.01                           mprj/u_mac_wrap/_01679_ (net)
+                  0.34    0.00   10.89 ^ mprj/u_mac_wrap/_04357_/B (sky130_fd_sc_hd__and2_1)
+                  0.15    0.27   11.16 ^ mprj/u_mac_wrap/_04357_/X (sky130_fd_sc_hd__and2_1)
+     4    0.01                           mprj/u_mac_wrap/_01681_ (net)
+                  0.15    0.00   11.16 ^ mprj/u_mac_wrap/_04388_/A_N (sky130_fd_sc_hd__nand3b_1)
+                  0.14    0.19   11.34 ^ mprj/u_mac_wrap/_04388_/Y (sky130_fd_sc_hd__nand3b_1)
+     3    0.01                           mprj/u_mac_wrap/_01712_ (net)
+                  0.14    0.00   11.34 ^ mprj/u_mac_wrap/_04634_/A_N (sky130_fd_sc_hd__and2b_1)
+                  0.10    0.27   11.62 v mprj/u_mac_wrap/_04634_/X (sky130_fd_sc_hd__and2b_1)
+     5    0.02                           mprj/u_mac_wrap/_01928_ (net)
+                  0.10    0.00   11.62 v mprj/u_mac_wrap/_04645_/A (sky130_fd_sc_hd__or2_1)
+                  0.09    0.31   11.93 v mprj/u_mac_wrap/_04645_/X (sky130_fd_sc_hd__or2_1)
+     3    0.01                           mprj/u_mac_wrap/_01939_ (net)
+                  0.10    0.00   11.93 v mprj/u_mac_wrap/_06105_/B (sky130_fd_sc_hd__or3b_2)
+                  0.13    0.55   12.48 v mprj/u_mac_wrap/_06105_/X (sky130_fd_sc_hd__or3b_2)
+     4    0.02                           mprj/u_mac_wrap/_02877_ (net)
+                  0.13    0.00   12.48 v mprj/u_mac_wrap/fanout235/A (sky130_fd_sc_hd__buf_2)
+                  0.08    0.22   12.70 v mprj/u_mac_wrap/fanout235/X (sky130_fd_sc_hd__buf_2)
+     7    0.03                           mprj/u_mac_wrap/net235 (net)
+                  0.08    0.00   12.70 v mprj/u_mac_wrap/fanout234/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.10    0.23   12.93 v mprj/u_mac_wrap/fanout234/X (sky130_fd_sc_hd__clkbuf_4)
+    10    0.05                           mprj/u_mac_wrap/net234 (net)
+                  0.10    0.00   12.93 v mprj/u_mac_wrap/_06117_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.33   13.26 v mprj/u_mac_wrap/_06117_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00532_ (net)
+                  0.05    0.00   13.26 v mprj/u_mac_wrap/_09050_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 13.26   data arrival time
 
                          40.00   40.00   clock mac_rx_clk (rise edge)
                           0.00   40.00   clock source latency
@@ -139873,45 +155087,63 @@
                   0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17   41.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00   41.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21   41.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00   41.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43   42.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03   42.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24   42.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12   41.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00   41.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81   42.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21   42.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42   43.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01   43.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15   43.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00   43.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32   43.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00   43.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28   44.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00   44.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21   44.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01   44.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16   44.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00   42.61 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.15   42.76 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00   42.77 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14   42.91 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_mac_wrap/clknet_2_3_0_phy_rx_clk (net)
-                  0.06    0.00   42.91 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.17    0.22   43.12 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.09                           mprj/u_mac_wrap/clknet_3_6_0_phy_rx_clk (net)
-                  0.17    0.00   43.12 ^ mprj/u_mac_wrap/clkbuf_leaf_13_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.16   43.28 ^ mprj/u_mac_wrap/clkbuf_leaf_13_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     5    0.02                           mprj/u_mac_wrap/clknet_leaf_13_phy_rx_clk (net)
-                  0.04    0.00   43.28 ^ mprj/u_mac_wrap/_09050_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   43.03   clock uncertainty
-                          2.34   45.37   clock reconvergence pessimism
-                         -0.11   45.26   library setup time
-                                 45.26   data required time
+                  0.05    0.00   44.41 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   44.52 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00   44.52 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15   44.67 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00   44.67 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15   44.82 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
+                  0.06    0.00   44.82 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.26    0.28   45.10 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
+                  0.26    0.01   45.10 ^ mprj/u_mac_wrap/clkbuf_leaf_25_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20   45.30 ^ mprj/u_mac_wrap/clkbuf_leaf_25_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_mac_wrap/clknet_leaf_25_phy_rx_clk (net)
+                  0.06    0.00   45.30 ^ mprj/u_mac_wrap/_09050_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   45.05   clock uncertainty
+                          2.46   47.51   clock reconvergence pessimism
+                         -0.12   47.40   library setup time
+                                 47.40   data required time
 -----------------------------------------------------------------------------
-                                 45.26   data required time
-                                 -9.69   data arrival time
+                                 47.40   data required time
+                                -13.26   data arrival time
 -----------------------------------------------------------------------------
-                                 35.57   slack (MET)
+                                 34.13   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_09078_
+Startpoint: mprj/u_mac_wrap/_09129_
             (rising edge-triggered flip-flop clocked by mac_rx_clk)
-Endpoint: mprj/u_mac_wrap/_09093_
+Endpoint: mprj/u_mac_wrap/_09046_
           (rising edge-triggered flip-flop clocked by mac_rx_clk)
 Path Group: mac_rx_clk
 Path Type: max
@@ -139935,71 +155167,104 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.19    3.95 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00    3.95 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.23    4.18 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00    4.18 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.46    4.64 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03    4.67 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.26    4.93 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00    4.93 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.09 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00    5.10 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.16    5.25 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
-                  0.06    0.00    5.25 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.27    5.52 ^ mprj/u_mac_wrap/clkbuf_3_5_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.12                           mprj/u_mac_wrap/clknet_3_5_0_phy_rx_clk (net)
-                  0.22    0.00    5.52 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.20    5.72 ^ mprj/u_mac_wrap/clkbuf_leaf_8_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.03                           mprj/u_mac_wrap/clknet_leaf_8_phy_rx_clk (net)
-                  0.06    0.00    5.72 ^ mprj/u_mac_wrap/_09078_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.05    0.34    6.06 ^ mprj/u_mac_wrap/_09078_/Q (sky130_fd_sc_hd__dfrtp_1)
-     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[1] (net)
-                  0.05    0.00    6.06 ^ mprj/u_mac_wrap/fanout451/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.17    0.20    6.27 ^ mprj/u_mac_wrap/fanout451/X (sky130_fd_sc_hd__clkbuf_2)
-     7    0.03                           mprj/u_mac_wrap/net451 (net)
-                  0.17    0.00    6.27 ^ mprj/u_mac_wrap/fanout450/A (sky130_fd_sc_hd__buf_2)
-                  0.21    0.27    6.54 ^ mprj/u_mac_wrap/fanout450/X (sky130_fd_sc_hd__buf_2)
-    10    0.04                           mprj/u_mac_wrap/net450 (net)
-                  0.21    0.00    6.54 ^ mprj/u_mac_wrap/_04293_/C (sky130_fd_sc_hd__and4_1)
-                  0.18    0.33    6.88 ^ mprj/u_mac_wrap/_04293_/X (sky130_fd_sc_hd__and4_1)
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15    7.14 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_1_1_1_phy_rx_clk (net)
+                  0.07    0.00    7.14 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.30 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_3_0_phy_rx_clk (net)
+                  0.06    0.00    7.30 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.17    0.24    7.53 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.10                           mprj/u_mac_wrap/clknet_3_6_0_phy_rx_clk (net)
+                  0.17    0.00    7.54 ^ mprj/u_mac_wrap/clkbuf_leaf_9_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    7.70 ^ mprj/u_mac_wrap/clkbuf_leaf_9_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     3    0.01                           mprj/u_mac_wrap/clknet_leaf_9_phy_rx_clk (net)
+                  0.04    0.00    7.70 ^ mprj/u_mac_wrap/_09129_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.27    0.50    8.21 ^ mprj/u_mac_wrap/_09129_/Q (sky130_fd_sc_hd__dfrtp_1)
+     8    0.03                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[2] (net)
+                  0.27    0.00    8.21 ^ mprj/u_mac_wrap/fanout447/A (sky130_fd_sc_hd__buf_2)
+                  0.20    0.30    8.50 ^ mprj/u_mac_wrap/fanout447/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_mac_wrap/net447 (net)
+                  0.20    0.00    8.50 ^ mprj/u_mac_wrap/_04316_/B (sky130_fd_sc_hd__and4_2)
+                  0.15    0.35    8.86 ^ mprj/u_mac_wrap/_04316_/X (sky130_fd_sc_hd__and4_2)
+     6    0.02                           mprj/u_mac_wrap/_01640_ (net)
+                  0.15    0.00    8.86 ^ mprj/u_mac_wrap/_04318_/D (sky130_fd_sc_hd__and4_1)
+                  0.19    0.33    9.19 ^ mprj/u_mac_wrap/_04318_/X (sky130_fd_sc_hd__and4_1)
      5    0.02                           mprj/u_mac_wrap/_01642_ (net)
-                  0.18    0.00    6.88 ^ mprj/u_mac_wrap/_04295_/D (sky130_fd_sc_hd__and4_2)
-                  0.14    0.35    7.22 ^ mprj/u_mac_wrap/_04295_/X (sky130_fd_sc_hd__and4_2)
-     6    0.02                           mprj/u_mac_wrap/_01644_ (net)
-                  0.14    0.00    7.22 ^ mprj/u_mac_wrap/_04297_/D (sky130_fd_sc_hd__and4_1)
-                  0.20    0.34    7.56 ^ mprj/u_mac_wrap/_04297_/X (sky130_fd_sc_hd__and4_1)
-     5    0.02                           mprj/u_mac_wrap/_01646_ (net)
-                  0.20    0.00    7.56 ^ mprj/u_mac_wrap/_04298_/C (sky130_fd_sc_hd__nand3_1)
-                  0.15    0.19    7.75 v mprj/u_mac_wrap/_04298_/Y (sky130_fd_sc_hd__nand3_1)
-     3    0.01                           mprj/u_mac_wrap/_01647_ (net)
-                  0.15    0.00    7.75 v mprj/u_mac_wrap/_04304_/A1 (sky130_fd_sc_hd__a2111o_1)
-                  0.13    0.50    8.25 v mprj/u_mac_wrap/_04304_/X (sky130_fd_sc_hd__a2111o_1)
-     3    0.02                           mprj/u_mac_wrap/_01653_ (net)
-                  0.13    0.00    8.25 v mprj/u_mac_wrap/_04328_/A (sky130_fd_sc_hd__nor2_2)
-                  0.33    0.34    8.59 ^ mprj/u_mac_wrap/_04328_/Y (sky130_fd_sc_hd__nor2_2)
-     6    0.03                           mprj/u_mac_wrap/_01677_ (net)
-                  0.33    0.00    8.59 ^ mprj/u_mac_wrap/_04329_/A (sky130_fd_sc_hd__clkinv_2)
-                  0.06    0.08    8.67 v mprj/u_mac_wrap/_04329_/Y (sky130_fd_sc_hd__clkinv_2)
-     1    0.00                           mprj/u_mac_wrap/_01678_ (net)
-                  0.06    0.00    8.67 v mprj/u_mac_wrap/_04647_/C (sky130_fd_sc_hd__or4_2)
-                  0.16    0.74    9.41 v mprj/u_mac_wrap/_04647_/X (sky130_fd_sc_hd__or4_2)
-     4    0.02                           mprj/u_mac_wrap/_01966_ (net)
-                  0.16    0.00    9.41 v mprj/u_mac_wrap/_06317_/A1 (sky130_fd_sc_hd__a21oi_1)
-                  0.13    0.19    9.60 ^ mprj/u_mac_wrap/_06317_/Y (sky130_fd_sc_hd__a21oi_1)
-     1    0.00                           mprj/u_mac_wrap/_03020_ (net)
-                  0.13    0.00    9.60 ^ mprj/u_mac_wrap/_06320_/A2 (sky130_fd_sc_hd__o31a_1)
-                  0.05    0.15    9.75 ^ mprj/u_mac_wrap/_06320_/X (sky130_fd_sc_hd__o31a_1)
-     1    0.00                           mprj/u_mac_wrap/_00615_ (net)
-                  0.05    0.00    9.75 ^ mprj/u_mac_wrap/_09093_/D (sky130_fd_sc_hd__dfstp_1)
-                                  9.75   data arrival time
+                  0.19    0.00    9.19 ^ mprj/u_mac_wrap/_04320_/D (sky130_fd_sc_hd__and4_1)
+                  0.16    0.31    9.50 ^ mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__and4_1)
+     4    0.01                           mprj/u_mac_wrap/_01644_ (net)
+                  0.16    0.00    9.50 ^ mprj/u_mac_wrap/_04321_/C (sky130_fd_sc_hd__and3_1)
+                  0.19    0.29    9.79 ^ mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__and3_1)
+     4    0.02                           mprj/u_mac_wrap/_01645_ (net)
+                  0.19    0.00    9.79 ^ mprj/u_mac_wrap/_04327_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.14    0.31   10.10 ^ mprj/u_mac_wrap/_04327_/X (sky130_fd_sc_hd__o2111a_1)
+     2    0.01                           mprj/u_mac_wrap/_01651_ (net)
+                  0.14    0.00   10.10 ^ mprj/u_mac_wrap/_04341_/C1 (sky130_fd_sc_hd__o211ai_1)
+                  0.10    0.13   10.23 v mprj/u_mac_wrap/_04341_/Y (sky130_fd_sc_hd__o211ai_1)
+     2    0.01                           mprj/u_mac_wrap/_01665_ (net)
+                  0.10    0.00   10.23 v mprj/u_mac_wrap/_04347_/D1 (sky130_fd_sc_hd__a2111o_1)
+                  0.06    0.31   10.54 v mprj/u_mac_wrap/_04347_/X (sky130_fd_sc_hd__a2111o_1)
+     1    0.00                           mprj/u_mac_wrap/_01671_ (net)
+                  0.06    0.00   10.54 v mprj/u_mac_wrap/_04355_/A (sky130_fd_sc_hd__nor3_1)
+                  0.34    0.35   10.89 ^ mprj/u_mac_wrap/_04355_/Y (sky130_fd_sc_hd__nor3_1)
+     2    0.01                           mprj/u_mac_wrap/_01679_ (net)
+                  0.34    0.00   10.89 ^ mprj/u_mac_wrap/_04357_/B (sky130_fd_sc_hd__and2_1)
+                  0.15    0.27   11.16 ^ mprj/u_mac_wrap/_04357_/X (sky130_fd_sc_hd__and2_1)
+     4    0.01                           mprj/u_mac_wrap/_01681_ (net)
+                  0.15    0.00   11.16 ^ mprj/u_mac_wrap/_04388_/A_N (sky130_fd_sc_hd__nand3b_1)
+                  0.14    0.19   11.34 ^ mprj/u_mac_wrap/_04388_/Y (sky130_fd_sc_hd__nand3b_1)
+     3    0.01                           mprj/u_mac_wrap/_01712_ (net)
+                  0.14    0.00   11.34 ^ mprj/u_mac_wrap/_04634_/A_N (sky130_fd_sc_hd__and2b_1)
+                  0.10    0.27   11.62 v mprj/u_mac_wrap/_04634_/X (sky130_fd_sc_hd__and2b_1)
+     5    0.02                           mprj/u_mac_wrap/_01928_ (net)
+                  0.10    0.00   11.62 v mprj/u_mac_wrap/_04645_/A (sky130_fd_sc_hd__or2_1)
+                  0.09    0.31   11.93 v mprj/u_mac_wrap/_04645_/X (sky130_fd_sc_hd__or2_1)
+     3    0.01                           mprj/u_mac_wrap/_01939_ (net)
+                  0.10    0.00   11.93 v mprj/u_mac_wrap/_06105_/B (sky130_fd_sc_hd__or3b_2)
+                  0.13    0.55   12.48 v mprj/u_mac_wrap/_06105_/X (sky130_fd_sc_hd__or3b_2)
+     4    0.02                           mprj/u_mac_wrap/_02877_ (net)
+                  0.13    0.00   12.48 v mprj/u_mac_wrap/fanout235/A (sky130_fd_sc_hd__buf_2)
+                  0.08    0.22   12.70 v mprj/u_mac_wrap/fanout235/X (sky130_fd_sc_hd__buf_2)
+     7    0.03                           mprj/u_mac_wrap/net235 (net)
+                  0.08    0.00   12.70 v mprj/u_mac_wrap/fanout234/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.10    0.23   12.93 v mprj/u_mac_wrap/fanout234/X (sky130_fd_sc_hd__clkbuf_4)
+    10    0.05                           mprj/u_mac_wrap/net234 (net)
+                  0.10    0.00   12.93 v mprj/u_mac_wrap/_06113_/S (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.34   13.27 v mprj/u_mac_wrap/_06113_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00528_ (net)
+                  0.06    0.00   13.27 v mprj/u_mac_wrap/_09046_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 13.27   data arrival time
 
                          40.00   40.00   clock mac_rx_clk (rise edge)
                           0.00   40.00   clock source latency
@@ -140018,45 +155283,3751 @@
                   0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[12] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input5/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.16    0.17   41.70 ^ mprj/u_pinmux/input5/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.03                           mprj/u_pinmux/net5 (net)
-                  0.16    0.00   41.70 ^ mprj/u_pinmux/_922_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.21    0.21   41.91 ^ mprj/u_pinmux/_922_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_pinmux/net80 (net)
-                  0.21    0.00   41.92 ^ mprj/u_pinmux/output80/A (sky130_fd_sc_hd__buf_2)
-                  0.48    0.43   42.35 ^ mprj/u_pinmux/output80/X (sky130_fd_sc_hd__buf_2)
-     2    0.10                           mprj/mac_rx_clk (net)
-                  0.48    0.03   42.37 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.24   42.61 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12   41.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00   41.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81   42.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21   42.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42   43.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01   43.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15   43.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00   43.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32   43.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00   43.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28   44.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00   44.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21   44.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01   44.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16   44.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
-                  0.06    0.00   42.61 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.15   42.76 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
-                  0.08    0.00   42.76 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.15   42.91 ^ mprj/u_mac_wrap/clkbuf_2_2_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_mac_wrap/clknet_2_2_0_phy_rx_clk (net)
-                  0.06    0.00   42.91 ^ mprj/u_mac_wrap/clkbuf_3_4_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.17    0.22   43.13 ^ mprj/u_mac_wrap/clkbuf_3_4_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    12    0.09                           mprj/u_mac_wrap/clknet_3_4_0_phy_rx_clk (net)
-                  0.17    0.00   43.13 ^ mprj/u_mac_wrap/clkbuf_leaf_11_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.17   43.30 ^ mprj/u_mac_wrap/clkbuf_leaf_11_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    11    0.03                           mprj/u_mac_wrap/clknet_leaf_11_phy_rx_clk (net)
-                  0.06    0.00   43.30 ^ mprj/u_mac_wrap/_09093_/CLK (sky130_fd_sc_hd__dfstp_1)
-                         -0.25   43.05   clock uncertainty
-                          2.34   45.39   clock reconvergence pessimism
-                         -0.06   45.34   library setup time
-                                 45.34   data required time
+                  0.05    0.00   44.41 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   44.52 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00   44.52 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15   44.67 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00   44.67 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15   44.82 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
+                  0.06    0.00   44.82 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.26    0.28   45.10 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
+                  0.26    0.00   45.10 ^ mprj/u_mac_wrap/clkbuf_leaf_40_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.21   45.30 ^ mprj/u_mac_wrap/clkbuf_leaf_40_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    14    0.04                           mprj/u_mac_wrap/clknet_leaf_40_phy_rx_clk (net)
+                  0.07    0.00   45.30 ^ mprj/u_mac_wrap/_09046_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   45.05   clock uncertainty
+                          2.46   47.51   clock reconvergence pessimism
+                         -0.11   47.40   library setup time
+                                 47.40   data required time
 -----------------------------------------------------------------------------
-                                 45.34   data required time
-                                 -9.75   data arrival time
+                                 47.40   data required time
+                                -13.27   data arrival time
 -----------------------------------------------------------------------------
-                                 35.59   slack (MET)
+                                 34.13   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_08892_
+Startpoint: mprj/u_mac_wrap/_09129_
+            (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Endpoint: mprj/u_mac_wrap/_09051_
+          (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Path Group: mac_rx_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
+     1   11.12                           mprj_io[12] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[12] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15    7.14 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_1_1_1_phy_rx_clk (net)
+                  0.07    0.00    7.14 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.30 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_3_0_phy_rx_clk (net)
+                  0.06    0.00    7.30 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.17    0.24    7.53 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.10                           mprj/u_mac_wrap/clknet_3_6_0_phy_rx_clk (net)
+                  0.17    0.00    7.54 ^ mprj/u_mac_wrap/clkbuf_leaf_9_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    7.70 ^ mprj/u_mac_wrap/clkbuf_leaf_9_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     3    0.01                           mprj/u_mac_wrap/clknet_leaf_9_phy_rx_clk (net)
+                  0.04    0.00    7.70 ^ mprj/u_mac_wrap/_09129_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.27    0.50    8.21 ^ mprj/u_mac_wrap/_09129_/Q (sky130_fd_sc_hd__dfrtp_1)
+     8    0.03                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[2] (net)
+                  0.27    0.00    8.21 ^ mprj/u_mac_wrap/fanout447/A (sky130_fd_sc_hd__buf_2)
+                  0.20    0.30    8.50 ^ mprj/u_mac_wrap/fanout447/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_mac_wrap/net447 (net)
+                  0.20    0.00    8.50 ^ mprj/u_mac_wrap/_04316_/B (sky130_fd_sc_hd__and4_2)
+                  0.15    0.35    8.86 ^ mprj/u_mac_wrap/_04316_/X (sky130_fd_sc_hd__and4_2)
+     6    0.02                           mprj/u_mac_wrap/_01640_ (net)
+                  0.15    0.00    8.86 ^ mprj/u_mac_wrap/_04318_/D (sky130_fd_sc_hd__and4_1)
+                  0.19    0.33    9.19 ^ mprj/u_mac_wrap/_04318_/X (sky130_fd_sc_hd__and4_1)
+     5    0.02                           mprj/u_mac_wrap/_01642_ (net)
+                  0.19    0.00    9.19 ^ mprj/u_mac_wrap/_04320_/D (sky130_fd_sc_hd__and4_1)
+                  0.16    0.31    9.50 ^ mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__and4_1)
+     4    0.01                           mprj/u_mac_wrap/_01644_ (net)
+                  0.16    0.00    9.50 ^ mprj/u_mac_wrap/_04321_/C (sky130_fd_sc_hd__and3_1)
+                  0.19    0.29    9.79 ^ mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__and3_1)
+     4    0.02                           mprj/u_mac_wrap/_01645_ (net)
+                  0.19    0.00    9.79 ^ mprj/u_mac_wrap/_04327_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.14    0.31   10.10 ^ mprj/u_mac_wrap/_04327_/X (sky130_fd_sc_hd__o2111a_1)
+     2    0.01                           mprj/u_mac_wrap/_01651_ (net)
+                  0.14    0.00   10.10 ^ mprj/u_mac_wrap/_04341_/C1 (sky130_fd_sc_hd__o211ai_1)
+                  0.10    0.13   10.23 v mprj/u_mac_wrap/_04341_/Y (sky130_fd_sc_hd__o211ai_1)
+     2    0.01                           mprj/u_mac_wrap/_01665_ (net)
+                  0.10    0.00   10.23 v mprj/u_mac_wrap/_04347_/D1 (sky130_fd_sc_hd__a2111o_1)
+                  0.06    0.31   10.54 v mprj/u_mac_wrap/_04347_/X (sky130_fd_sc_hd__a2111o_1)
+     1    0.00                           mprj/u_mac_wrap/_01671_ (net)
+                  0.06    0.00   10.54 v mprj/u_mac_wrap/_04355_/A (sky130_fd_sc_hd__nor3_1)
+                  0.34    0.35   10.89 ^ mprj/u_mac_wrap/_04355_/Y (sky130_fd_sc_hd__nor3_1)
+     2    0.01                           mprj/u_mac_wrap/_01679_ (net)
+                  0.34    0.00   10.89 ^ mprj/u_mac_wrap/_04357_/B (sky130_fd_sc_hd__and2_1)
+                  0.15    0.27   11.16 ^ mprj/u_mac_wrap/_04357_/X (sky130_fd_sc_hd__and2_1)
+     4    0.01                           mprj/u_mac_wrap/_01681_ (net)
+                  0.15    0.00   11.16 ^ mprj/u_mac_wrap/_04388_/A_N (sky130_fd_sc_hd__nand3b_1)
+                  0.14    0.19   11.34 ^ mprj/u_mac_wrap/_04388_/Y (sky130_fd_sc_hd__nand3b_1)
+     3    0.01                           mprj/u_mac_wrap/_01712_ (net)
+                  0.14    0.00   11.34 ^ mprj/u_mac_wrap/_04634_/A_N (sky130_fd_sc_hd__and2b_1)
+                  0.10    0.27   11.62 v mprj/u_mac_wrap/_04634_/X (sky130_fd_sc_hd__and2b_1)
+     5    0.02                           mprj/u_mac_wrap/_01928_ (net)
+                  0.10    0.00   11.62 v mprj/u_mac_wrap/_04645_/A (sky130_fd_sc_hd__or2_1)
+                  0.09    0.31   11.93 v mprj/u_mac_wrap/_04645_/X (sky130_fd_sc_hd__or2_1)
+     3    0.01                           mprj/u_mac_wrap/_01939_ (net)
+                  0.10    0.00   11.93 v mprj/u_mac_wrap/_06105_/B (sky130_fd_sc_hd__or3b_2)
+                  0.13    0.55   12.48 v mprj/u_mac_wrap/_06105_/X (sky130_fd_sc_hd__or3b_2)
+     4    0.02                           mprj/u_mac_wrap/_02877_ (net)
+                  0.13    0.00   12.48 v mprj/u_mac_wrap/fanout235/A (sky130_fd_sc_hd__buf_2)
+                  0.08    0.22   12.70 v mprj/u_mac_wrap/fanout235/X (sky130_fd_sc_hd__buf_2)
+     7    0.03                           mprj/u_mac_wrap/net235 (net)
+                  0.08    0.00   12.70 v mprj/u_mac_wrap/fanout234/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.10    0.23   12.93 v mprj/u_mac_wrap/fanout234/X (sky130_fd_sc_hd__clkbuf_4)
+    10    0.05                           mprj/u_mac_wrap/net234 (net)
+                  0.10    0.00   12.93 v mprj/u_mac_wrap/_06118_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.33   13.26 v mprj/u_mac_wrap/_06118_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00533_ (net)
+                  0.05    0.00   13.26 v mprj/u_mac_wrap/_09051_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 13.26   data arrival time
+
+                         40.00   40.00   clock mac_rx_clk (rise edge)
+                          0.00   40.00   clock source latency
+                  1.00    0.00   40.00 ^ mprj_io[12] (inout)
+     1    6.12                           mprj_io[12] (net)
+                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[12] (net)
+                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00   41.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10   41.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00   41.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21   41.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12   41.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00   41.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81   42.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21   42.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42   43.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01   43.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15   43.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00   43.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32   43.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00   43.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28   44.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00   44.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21   44.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01   44.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16   44.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00   44.41 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   44.52 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00   44.52 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15   44.67 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00   44.67 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15   44.82 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
+                  0.06    0.00   44.82 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.26    0.28   45.10 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
+                  0.26    0.01   45.10 ^ mprj/u_mac_wrap/clkbuf_leaf_25_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20   45.30 ^ mprj/u_mac_wrap/clkbuf_leaf_25_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_mac_wrap/clknet_leaf_25_phy_rx_clk (net)
+                  0.06    0.00   45.30 ^ mprj/u_mac_wrap/_09051_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   45.05   clock uncertainty
+                          2.46   47.51   clock reconvergence pessimism
+                         -0.12   47.40   library setup time
+                                 47.40   data required time
+-----------------------------------------------------------------------------
+                                 47.40   data required time
+                                -13.26   data arrival time
+-----------------------------------------------------------------------------
+                                 34.13   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09129_
+            (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Endpoint: mprj/u_mac_wrap/_09039_
+          (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Path Group: mac_rx_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
+     1   11.12                           mprj_io[12] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[12] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15    7.14 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_1_1_1_phy_rx_clk (net)
+                  0.07    0.00    7.14 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.30 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_3_0_phy_rx_clk (net)
+                  0.06    0.00    7.30 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.17    0.24    7.53 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.10                           mprj/u_mac_wrap/clknet_3_6_0_phy_rx_clk (net)
+                  0.17    0.00    7.54 ^ mprj/u_mac_wrap/clkbuf_leaf_9_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    7.70 ^ mprj/u_mac_wrap/clkbuf_leaf_9_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     3    0.01                           mprj/u_mac_wrap/clknet_leaf_9_phy_rx_clk (net)
+                  0.04    0.00    7.70 ^ mprj/u_mac_wrap/_09129_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.27    0.50    8.21 ^ mprj/u_mac_wrap/_09129_/Q (sky130_fd_sc_hd__dfrtp_1)
+     8    0.03                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[2] (net)
+                  0.27    0.00    8.21 ^ mprj/u_mac_wrap/fanout447/A (sky130_fd_sc_hd__buf_2)
+                  0.20    0.30    8.50 ^ mprj/u_mac_wrap/fanout447/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_mac_wrap/net447 (net)
+                  0.20    0.00    8.50 ^ mprj/u_mac_wrap/_04316_/B (sky130_fd_sc_hd__and4_2)
+                  0.15    0.35    8.86 ^ mprj/u_mac_wrap/_04316_/X (sky130_fd_sc_hd__and4_2)
+     6    0.02                           mprj/u_mac_wrap/_01640_ (net)
+                  0.15    0.00    8.86 ^ mprj/u_mac_wrap/_04318_/D (sky130_fd_sc_hd__and4_1)
+                  0.19    0.33    9.19 ^ mprj/u_mac_wrap/_04318_/X (sky130_fd_sc_hd__and4_1)
+     5    0.02                           mprj/u_mac_wrap/_01642_ (net)
+                  0.19    0.00    9.19 ^ mprj/u_mac_wrap/_04320_/D (sky130_fd_sc_hd__and4_1)
+                  0.16    0.31    9.50 ^ mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__and4_1)
+     4    0.01                           mprj/u_mac_wrap/_01644_ (net)
+                  0.16    0.00    9.50 ^ mprj/u_mac_wrap/_04321_/C (sky130_fd_sc_hd__and3_1)
+                  0.19    0.29    9.79 ^ mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__and3_1)
+     4    0.02                           mprj/u_mac_wrap/_01645_ (net)
+                  0.19    0.00    9.79 ^ mprj/u_mac_wrap/_04327_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.14    0.31   10.10 ^ mprj/u_mac_wrap/_04327_/X (sky130_fd_sc_hd__o2111a_1)
+     2    0.01                           mprj/u_mac_wrap/_01651_ (net)
+                  0.14    0.00   10.10 ^ mprj/u_mac_wrap/_04341_/C1 (sky130_fd_sc_hd__o211ai_1)
+                  0.10    0.13   10.23 v mprj/u_mac_wrap/_04341_/Y (sky130_fd_sc_hd__o211ai_1)
+     2    0.01                           mprj/u_mac_wrap/_01665_ (net)
+                  0.10    0.00   10.23 v mprj/u_mac_wrap/_04347_/D1 (sky130_fd_sc_hd__a2111o_1)
+                  0.06    0.31   10.54 v mprj/u_mac_wrap/_04347_/X (sky130_fd_sc_hd__a2111o_1)
+     1    0.00                           mprj/u_mac_wrap/_01671_ (net)
+                  0.06    0.00   10.54 v mprj/u_mac_wrap/_04355_/A (sky130_fd_sc_hd__nor3_1)
+                  0.34    0.35   10.89 ^ mprj/u_mac_wrap/_04355_/Y (sky130_fd_sc_hd__nor3_1)
+     2    0.01                           mprj/u_mac_wrap/_01679_ (net)
+                  0.34    0.00   10.89 ^ mprj/u_mac_wrap/_04357_/B (sky130_fd_sc_hd__and2_1)
+                  0.15    0.27   11.16 ^ mprj/u_mac_wrap/_04357_/X (sky130_fd_sc_hd__and2_1)
+     4    0.01                           mprj/u_mac_wrap/_01681_ (net)
+                  0.15    0.00   11.16 ^ mprj/u_mac_wrap/_04388_/A_N (sky130_fd_sc_hd__nand3b_1)
+                  0.14    0.19   11.34 ^ mprj/u_mac_wrap/_04388_/Y (sky130_fd_sc_hd__nand3b_1)
+     3    0.01                           mprj/u_mac_wrap/_01712_ (net)
+                  0.14    0.00   11.34 ^ mprj/u_mac_wrap/_04634_/A_N (sky130_fd_sc_hd__and2b_1)
+                  0.10    0.27   11.62 v mprj/u_mac_wrap/_04634_/X (sky130_fd_sc_hd__and2b_1)
+     5    0.02                           mprj/u_mac_wrap/_01928_ (net)
+                  0.10    0.00   11.62 v mprj/u_mac_wrap/_04645_/A (sky130_fd_sc_hd__or2_1)
+                  0.09    0.31   11.93 v mprj/u_mac_wrap/_04645_/X (sky130_fd_sc_hd__or2_1)
+     3    0.01                           mprj/u_mac_wrap/_01939_ (net)
+                  0.10    0.00   11.93 v mprj/u_mac_wrap/_06105_/B (sky130_fd_sc_hd__or3b_2)
+                  0.13    0.55   12.48 v mprj/u_mac_wrap/_06105_/X (sky130_fd_sc_hd__or3b_2)
+     4    0.02                           mprj/u_mac_wrap/_02877_ (net)
+                  0.13    0.00   12.48 v mprj/u_mac_wrap/fanout235/A (sky130_fd_sc_hd__buf_2)
+                  0.08    0.22   12.70 v mprj/u_mac_wrap/fanout235/X (sky130_fd_sc_hd__buf_2)
+     7    0.03                           mprj/u_mac_wrap/net235 (net)
+                  0.08    0.00   12.70 v mprj/u_mac_wrap/fanout234/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.10    0.23   12.93 v mprj/u_mac_wrap/fanout234/X (sky130_fd_sc_hd__clkbuf_4)
+    10    0.05                           mprj/u_mac_wrap/net234 (net)
+                  0.10    0.00   12.93 v mprj/u_mac_wrap/_06106_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.33   13.26 v mprj/u_mac_wrap/_06106_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00521_ (net)
+                  0.05    0.00   13.26 v mprj/u_mac_wrap/_09039_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 13.26   data arrival time
+
+                         40.00   40.00   clock mac_rx_clk (rise edge)
+                          0.00   40.00   clock source latency
+                  1.00    0.00   40.00 ^ mprj_io[12] (inout)
+     1    6.12                           mprj_io[12] (net)
+                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[12] (net)
+                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00   41.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10   41.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00   41.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21   41.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12   41.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00   41.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81   42.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21   42.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42   43.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01   43.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15   43.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00   43.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32   43.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00   43.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28   44.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00   44.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21   44.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01   44.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16   44.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00   44.41 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   44.52 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00   44.52 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15   44.67 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00   44.67 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15   44.82 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
+                  0.06    0.00   44.82 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.26    0.28   45.10 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
+                  0.26    0.01   45.10 ^ mprj/u_mac_wrap/clkbuf_leaf_25_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20   45.30 ^ mprj/u_mac_wrap/clkbuf_leaf_25_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_mac_wrap/clknet_leaf_25_phy_rx_clk (net)
+                  0.06    0.00   45.30 ^ mprj/u_mac_wrap/_09039_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   45.05   clock uncertainty
+                          2.46   47.51   clock reconvergence pessimism
+                         -0.12   47.40   library setup time
+                                 47.40   data required time
+-----------------------------------------------------------------------------
+                                 47.40   data required time
+                                -13.26   data arrival time
+-----------------------------------------------------------------------------
+                                 34.13   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09129_
+            (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Endpoint: mprj/u_mac_wrap/_09042_
+          (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Path Group: mac_rx_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
+     1   11.12                           mprj_io[12] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[12] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15    7.14 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_1_1_1_phy_rx_clk (net)
+                  0.07    0.00    7.14 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.30 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_3_0_phy_rx_clk (net)
+                  0.06    0.00    7.30 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.17    0.24    7.53 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.10                           mprj/u_mac_wrap/clknet_3_6_0_phy_rx_clk (net)
+                  0.17    0.00    7.54 ^ mprj/u_mac_wrap/clkbuf_leaf_9_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    7.70 ^ mprj/u_mac_wrap/clkbuf_leaf_9_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     3    0.01                           mprj/u_mac_wrap/clknet_leaf_9_phy_rx_clk (net)
+                  0.04    0.00    7.70 ^ mprj/u_mac_wrap/_09129_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.27    0.50    8.21 ^ mprj/u_mac_wrap/_09129_/Q (sky130_fd_sc_hd__dfrtp_1)
+     8    0.03                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[2] (net)
+                  0.27    0.00    8.21 ^ mprj/u_mac_wrap/fanout447/A (sky130_fd_sc_hd__buf_2)
+                  0.20    0.30    8.50 ^ mprj/u_mac_wrap/fanout447/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_mac_wrap/net447 (net)
+                  0.20    0.00    8.50 ^ mprj/u_mac_wrap/_04316_/B (sky130_fd_sc_hd__and4_2)
+                  0.15    0.35    8.86 ^ mprj/u_mac_wrap/_04316_/X (sky130_fd_sc_hd__and4_2)
+     6    0.02                           mprj/u_mac_wrap/_01640_ (net)
+                  0.15    0.00    8.86 ^ mprj/u_mac_wrap/_04318_/D (sky130_fd_sc_hd__and4_1)
+                  0.19    0.33    9.19 ^ mprj/u_mac_wrap/_04318_/X (sky130_fd_sc_hd__and4_1)
+     5    0.02                           mprj/u_mac_wrap/_01642_ (net)
+                  0.19    0.00    9.19 ^ mprj/u_mac_wrap/_04320_/D (sky130_fd_sc_hd__and4_1)
+                  0.16    0.31    9.50 ^ mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__and4_1)
+     4    0.01                           mprj/u_mac_wrap/_01644_ (net)
+                  0.16    0.00    9.50 ^ mprj/u_mac_wrap/_04321_/C (sky130_fd_sc_hd__and3_1)
+                  0.19    0.29    9.79 ^ mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__and3_1)
+     4    0.02                           mprj/u_mac_wrap/_01645_ (net)
+                  0.19    0.00    9.79 ^ mprj/u_mac_wrap/_04327_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.14    0.31   10.10 ^ mprj/u_mac_wrap/_04327_/X (sky130_fd_sc_hd__o2111a_1)
+     2    0.01                           mprj/u_mac_wrap/_01651_ (net)
+                  0.14    0.00   10.10 ^ mprj/u_mac_wrap/_04341_/C1 (sky130_fd_sc_hd__o211ai_1)
+                  0.10    0.13   10.23 v mprj/u_mac_wrap/_04341_/Y (sky130_fd_sc_hd__o211ai_1)
+     2    0.01                           mprj/u_mac_wrap/_01665_ (net)
+                  0.10    0.00   10.23 v mprj/u_mac_wrap/_04347_/D1 (sky130_fd_sc_hd__a2111o_1)
+                  0.06    0.31   10.54 v mprj/u_mac_wrap/_04347_/X (sky130_fd_sc_hd__a2111o_1)
+     1    0.00                           mprj/u_mac_wrap/_01671_ (net)
+                  0.06    0.00   10.54 v mprj/u_mac_wrap/_04355_/A (sky130_fd_sc_hd__nor3_1)
+                  0.34    0.35   10.89 ^ mprj/u_mac_wrap/_04355_/Y (sky130_fd_sc_hd__nor3_1)
+     2    0.01                           mprj/u_mac_wrap/_01679_ (net)
+                  0.34    0.00   10.89 ^ mprj/u_mac_wrap/_04357_/B (sky130_fd_sc_hd__and2_1)
+                  0.15    0.27   11.16 ^ mprj/u_mac_wrap/_04357_/X (sky130_fd_sc_hd__and2_1)
+     4    0.01                           mprj/u_mac_wrap/_01681_ (net)
+                  0.15    0.00   11.16 ^ mprj/u_mac_wrap/_04388_/A_N (sky130_fd_sc_hd__nand3b_1)
+                  0.14    0.19   11.34 ^ mprj/u_mac_wrap/_04388_/Y (sky130_fd_sc_hd__nand3b_1)
+     3    0.01                           mprj/u_mac_wrap/_01712_ (net)
+                  0.14    0.00   11.34 ^ mprj/u_mac_wrap/_04634_/A_N (sky130_fd_sc_hd__and2b_1)
+                  0.10    0.27   11.62 v mprj/u_mac_wrap/_04634_/X (sky130_fd_sc_hd__and2b_1)
+     5    0.02                           mprj/u_mac_wrap/_01928_ (net)
+                  0.10    0.00   11.62 v mprj/u_mac_wrap/_04645_/A (sky130_fd_sc_hd__or2_1)
+                  0.09    0.31   11.93 v mprj/u_mac_wrap/_04645_/X (sky130_fd_sc_hd__or2_1)
+     3    0.01                           mprj/u_mac_wrap/_01939_ (net)
+                  0.10    0.00   11.93 v mprj/u_mac_wrap/_06105_/B (sky130_fd_sc_hd__or3b_2)
+                  0.13    0.55   12.48 v mprj/u_mac_wrap/_06105_/X (sky130_fd_sc_hd__or3b_2)
+     4    0.02                           mprj/u_mac_wrap/_02877_ (net)
+                  0.13    0.00   12.48 v mprj/u_mac_wrap/fanout235/A (sky130_fd_sc_hd__buf_2)
+                  0.08    0.22   12.70 v mprj/u_mac_wrap/fanout235/X (sky130_fd_sc_hd__buf_2)
+     7    0.03                           mprj/u_mac_wrap/net235 (net)
+                  0.08    0.00   12.70 v mprj/u_mac_wrap/fanout234/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.10    0.23   12.93 v mprj/u_mac_wrap/fanout234/X (sky130_fd_sc_hd__clkbuf_4)
+    10    0.05                           mprj/u_mac_wrap/net234 (net)
+                  0.10    0.00   12.93 v mprj/u_mac_wrap/_06109_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.33   13.26 v mprj/u_mac_wrap/_06109_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00524_ (net)
+                  0.05    0.00   13.26 v mprj/u_mac_wrap/_09042_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 13.26   data arrival time
+
+                         40.00   40.00   clock mac_rx_clk (rise edge)
+                          0.00   40.00   clock source latency
+                  1.00    0.00   40.00 ^ mprj_io[12] (inout)
+     1    6.12                           mprj_io[12] (net)
+                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[12] (net)
+                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00   41.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10   41.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00   41.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21   41.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12   41.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00   41.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81   42.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21   42.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42   43.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01   43.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15   43.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00   43.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32   43.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00   43.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28   44.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00   44.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21   44.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01   44.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16   44.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00   44.41 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   44.52 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00   44.52 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15   44.67 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00   44.67 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15   44.82 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
+                  0.06    0.00   44.82 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.26    0.28   45.10 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
+                  0.26    0.01   45.10 ^ mprj/u_mac_wrap/clkbuf_leaf_25_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20   45.30 ^ mprj/u_mac_wrap/clkbuf_leaf_25_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_mac_wrap/clknet_leaf_25_phy_rx_clk (net)
+                  0.06    0.00   45.30 ^ mprj/u_mac_wrap/_09042_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   45.05   clock uncertainty
+                          2.46   47.51   clock reconvergence pessimism
+                         -0.12   47.40   library setup time
+                                 47.40   data required time
+-----------------------------------------------------------------------------
+                                 47.40   data required time
+                                -13.26   data arrival time
+-----------------------------------------------------------------------------
+                                 34.13   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09129_
+            (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Endpoint: mprj/u_mac_wrap/_09062_
+          (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Path Group: mac_rx_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
+     1   11.12                           mprj_io[12] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[12] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15    7.14 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_1_1_1_phy_rx_clk (net)
+                  0.07    0.00    7.14 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.30 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_3_0_phy_rx_clk (net)
+                  0.06    0.00    7.30 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.17    0.24    7.53 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.10                           mprj/u_mac_wrap/clknet_3_6_0_phy_rx_clk (net)
+                  0.17    0.00    7.54 ^ mprj/u_mac_wrap/clkbuf_leaf_9_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    7.70 ^ mprj/u_mac_wrap/clkbuf_leaf_9_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     3    0.01                           mprj/u_mac_wrap/clknet_leaf_9_phy_rx_clk (net)
+                  0.04    0.00    7.70 ^ mprj/u_mac_wrap/_09129_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.27    0.50    8.21 ^ mprj/u_mac_wrap/_09129_/Q (sky130_fd_sc_hd__dfrtp_1)
+     8    0.03                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[2] (net)
+                  0.27    0.00    8.21 ^ mprj/u_mac_wrap/fanout447/A (sky130_fd_sc_hd__buf_2)
+                  0.20    0.30    8.50 ^ mprj/u_mac_wrap/fanout447/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_mac_wrap/net447 (net)
+                  0.20    0.00    8.50 ^ mprj/u_mac_wrap/_04316_/B (sky130_fd_sc_hd__and4_2)
+                  0.15    0.35    8.86 ^ mprj/u_mac_wrap/_04316_/X (sky130_fd_sc_hd__and4_2)
+     6    0.02                           mprj/u_mac_wrap/_01640_ (net)
+                  0.15    0.00    8.86 ^ mprj/u_mac_wrap/_04318_/D (sky130_fd_sc_hd__and4_1)
+                  0.19    0.33    9.19 ^ mprj/u_mac_wrap/_04318_/X (sky130_fd_sc_hd__and4_1)
+     5    0.02                           mprj/u_mac_wrap/_01642_ (net)
+                  0.19    0.00    9.19 ^ mprj/u_mac_wrap/_04320_/D (sky130_fd_sc_hd__and4_1)
+                  0.16    0.31    9.50 ^ mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__and4_1)
+     4    0.01                           mprj/u_mac_wrap/_01644_ (net)
+                  0.16    0.00    9.50 ^ mprj/u_mac_wrap/_04321_/C (sky130_fd_sc_hd__and3_1)
+                  0.19    0.29    9.79 ^ mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__and3_1)
+     4    0.02                           mprj/u_mac_wrap/_01645_ (net)
+                  0.19    0.00    9.79 ^ mprj/u_mac_wrap/_04327_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.14    0.31   10.10 ^ mprj/u_mac_wrap/_04327_/X (sky130_fd_sc_hd__o2111a_1)
+     2    0.01                           mprj/u_mac_wrap/_01651_ (net)
+                  0.14    0.00   10.10 ^ mprj/u_mac_wrap/_04341_/C1 (sky130_fd_sc_hd__o211ai_1)
+                  0.10    0.13   10.23 v mprj/u_mac_wrap/_04341_/Y (sky130_fd_sc_hd__o211ai_1)
+     2    0.01                           mprj/u_mac_wrap/_01665_ (net)
+                  0.10    0.00   10.23 v mprj/u_mac_wrap/_04347_/D1 (sky130_fd_sc_hd__a2111o_1)
+                  0.06    0.31   10.54 v mprj/u_mac_wrap/_04347_/X (sky130_fd_sc_hd__a2111o_1)
+     1    0.00                           mprj/u_mac_wrap/_01671_ (net)
+                  0.06    0.00   10.54 v mprj/u_mac_wrap/_04355_/A (sky130_fd_sc_hd__nor3_1)
+                  0.34    0.35   10.89 ^ mprj/u_mac_wrap/_04355_/Y (sky130_fd_sc_hd__nor3_1)
+     2    0.01                           mprj/u_mac_wrap/_01679_ (net)
+                  0.34    0.00   10.89 ^ mprj/u_mac_wrap/_04357_/B (sky130_fd_sc_hd__and2_1)
+                  0.15    0.27   11.16 ^ mprj/u_mac_wrap/_04357_/X (sky130_fd_sc_hd__and2_1)
+     4    0.01                           mprj/u_mac_wrap/_01681_ (net)
+                  0.15    0.00   11.16 ^ mprj/u_mac_wrap/_04388_/A_N (sky130_fd_sc_hd__nand3b_1)
+                  0.14    0.19   11.34 ^ mprj/u_mac_wrap/_04388_/Y (sky130_fd_sc_hd__nand3b_1)
+     3    0.01                           mprj/u_mac_wrap/_01712_ (net)
+                  0.14    0.00   11.34 ^ mprj/u_mac_wrap/_04634_/A_N (sky130_fd_sc_hd__and2b_1)
+                  0.10    0.27   11.62 v mprj/u_mac_wrap/_04634_/X (sky130_fd_sc_hd__and2b_1)
+     5    0.02                           mprj/u_mac_wrap/_01928_ (net)
+                  0.10    0.00   11.62 v mprj/u_mac_wrap/_04645_/A (sky130_fd_sc_hd__or2_1)
+                  0.09    0.31   11.93 v mprj/u_mac_wrap/_04645_/X (sky130_fd_sc_hd__or2_1)
+     3    0.01                           mprj/u_mac_wrap/_01939_ (net)
+                  0.10    0.00   11.93 v mprj/u_mac_wrap/_06105_/B (sky130_fd_sc_hd__or3b_2)
+                  0.13    0.55   12.48 v mprj/u_mac_wrap/_06105_/X (sky130_fd_sc_hd__or3b_2)
+     4    0.02                           mprj/u_mac_wrap/_02877_ (net)
+                  0.13    0.00   12.48 v mprj/u_mac_wrap/fanout235/A (sky130_fd_sc_hd__buf_2)
+                  0.08    0.22   12.70 v mprj/u_mac_wrap/fanout235/X (sky130_fd_sc_hd__buf_2)
+     7    0.03                           mprj/u_mac_wrap/net235 (net)
+                  0.08    0.00   12.70 v mprj/u_mac_wrap/fanout234/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.10    0.23   12.93 v mprj/u_mac_wrap/fanout234/X (sky130_fd_sc_hd__clkbuf_4)
+    10    0.05                           mprj/u_mac_wrap/net234 (net)
+                  0.10    0.00   12.93 v mprj/u_mac_wrap/_06129_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.34   13.27 v mprj/u_mac_wrap/_06129_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00544_ (net)
+                  0.05    0.00   13.27 v mprj/u_mac_wrap/_09062_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 13.27   data arrival time
+
+                         40.00   40.00   clock mac_rx_clk (rise edge)
+                          0.00   40.00   clock source latency
+                  1.00    0.00   40.00 ^ mprj_io[12] (inout)
+     1    6.12                           mprj_io[12] (net)
+                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[12] (net)
+                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00   41.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10   41.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00   41.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21   41.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12   41.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00   41.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81   42.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21   42.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42   43.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01   43.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15   43.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00   43.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32   43.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00   43.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28   44.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00   44.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21   44.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01   44.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16   44.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00   44.41 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   44.52 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00   44.52 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15   44.67 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00   44.67 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15   44.82 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
+                  0.06    0.00   44.82 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.26    0.28   45.10 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
+                  0.26    0.00   45.10 ^ mprj/u_mac_wrap/clkbuf_leaf_40_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.21   45.30 ^ mprj/u_mac_wrap/clkbuf_leaf_40_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    14    0.04                           mprj/u_mac_wrap/clknet_leaf_40_phy_rx_clk (net)
+                  0.07    0.00   45.30 ^ mprj/u_mac_wrap/_09062_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   45.05   clock uncertainty
+                          2.46   47.51   clock reconvergence pessimism
+                         -0.11   47.40   library setup time
+                                 47.40   data required time
+-----------------------------------------------------------------------------
+                                 47.40   data required time
+                                -13.27   data arrival time
+-----------------------------------------------------------------------------
+                                 34.13   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09129_
+            (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Endpoint: mprj/u_mac_wrap/_09041_
+          (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Path Group: mac_rx_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
+     1   11.12                           mprj_io[12] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[12] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15    7.14 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_1_1_1_phy_rx_clk (net)
+                  0.07    0.00    7.14 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.30 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_3_0_phy_rx_clk (net)
+                  0.06    0.00    7.30 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.17    0.24    7.53 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.10                           mprj/u_mac_wrap/clknet_3_6_0_phy_rx_clk (net)
+                  0.17    0.00    7.54 ^ mprj/u_mac_wrap/clkbuf_leaf_9_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    7.70 ^ mprj/u_mac_wrap/clkbuf_leaf_9_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     3    0.01                           mprj/u_mac_wrap/clknet_leaf_9_phy_rx_clk (net)
+                  0.04    0.00    7.70 ^ mprj/u_mac_wrap/_09129_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.27    0.50    8.21 ^ mprj/u_mac_wrap/_09129_/Q (sky130_fd_sc_hd__dfrtp_1)
+     8    0.03                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[2] (net)
+                  0.27    0.00    8.21 ^ mprj/u_mac_wrap/fanout447/A (sky130_fd_sc_hd__buf_2)
+                  0.20    0.30    8.50 ^ mprj/u_mac_wrap/fanout447/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_mac_wrap/net447 (net)
+                  0.20    0.00    8.50 ^ mprj/u_mac_wrap/_04316_/B (sky130_fd_sc_hd__and4_2)
+                  0.15    0.35    8.86 ^ mprj/u_mac_wrap/_04316_/X (sky130_fd_sc_hd__and4_2)
+     6    0.02                           mprj/u_mac_wrap/_01640_ (net)
+                  0.15    0.00    8.86 ^ mprj/u_mac_wrap/_04318_/D (sky130_fd_sc_hd__and4_1)
+                  0.19    0.33    9.19 ^ mprj/u_mac_wrap/_04318_/X (sky130_fd_sc_hd__and4_1)
+     5    0.02                           mprj/u_mac_wrap/_01642_ (net)
+                  0.19    0.00    9.19 ^ mprj/u_mac_wrap/_04320_/D (sky130_fd_sc_hd__and4_1)
+                  0.16    0.31    9.50 ^ mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__and4_1)
+     4    0.01                           mprj/u_mac_wrap/_01644_ (net)
+                  0.16    0.00    9.50 ^ mprj/u_mac_wrap/_04321_/C (sky130_fd_sc_hd__and3_1)
+                  0.19    0.29    9.79 ^ mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__and3_1)
+     4    0.02                           mprj/u_mac_wrap/_01645_ (net)
+                  0.19    0.00    9.79 ^ mprj/u_mac_wrap/_04327_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.14    0.31   10.10 ^ mprj/u_mac_wrap/_04327_/X (sky130_fd_sc_hd__o2111a_1)
+     2    0.01                           mprj/u_mac_wrap/_01651_ (net)
+                  0.14    0.00   10.10 ^ mprj/u_mac_wrap/_04341_/C1 (sky130_fd_sc_hd__o211ai_1)
+                  0.10    0.13   10.23 v mprj/u_mac_wrap/_04341_/Y (sky130_fd_sc_hd__o211ai_1)
+     2    0.01                           mprj/u_mac_wrap/_01665_ (net)
+                  0.10    0.00   10.23 v mprj/u_mac_wrap/_04347_/D1 (sky130_fd_sc_hd__a2111o_1)
+                  0.06    0.31   10.54 v mprj/u_mac_wrap/_04347_/X (sky130_fd_sc_hd__a2111o_1)
+     1    0.00                           mprj/u_mac_wrap/_01671_ (net)
+                  0.06    0.00   10.54 v mprj/u_mac_wrap/_04355_/A (sky130_fd_sc_hd__nor3_1)
+                  0.34    0.35   10.89 ^ mprj/u_mac_wrap/_04355_/Y (sky130_fd_sc_hd__nor3_1)
+     2    0.01                           mprj/u_mac_wrap/_01679_ (net)
+                  0.34    0.00   10.89 ^ mprj/u_mac_wrap/_04357_/B (sky130_fd_sc_hd__and2_1)
+                  0.15    0.27   11.16 ^ mprj/u_mac_wrap/_04357_/X (sky130_fd_sc_hd__and2_1)
+     4    0.01                           mprj/u_mac_wrap/_01681_ (net)
+                  0.15    0.00   11.16 ^ mprj/u_mac_wrap/_04388_/A_N (sky130_fd_sc_hd__nand3b_1)
+                  0.14    0.19   11.34 ^ mprj/u_mac_wrap/_04388_/Y (sky130_fd_sc_hd__nand3b_1)
+     3    0.01                           mprj/u_mac_wrap/_01712_ (net)
+                  0.14    0.00   11.34 ^ mprj/u_mac_wrap/_04634_/A_N (sky130_fd_sc_hd__and2b_1)
+                  0.10    0.27   11.62 v mprj/u_mac_wrap/_04634_/X (sky130_fd_sc_hd__and2b_1)
+     5    0.02                           mprj/u_mac_wrap/_01928_ (net)
+                  0.10    0.00   11.62 v mprj/u_mac_wrap/_04645_/A (sky130_fd_sc_hd__or2_1)
+                  0.09    0.31   11.93 v mprj/u_mac_wrap/_04645_/X (sky130_fd_sc_hd__or2_1)
+     3    0.01                           mprj/u_mac_wrap/_01939_ (net)
+                  0.10    0.00   11.93 v mprj/u_mac_wrap/_06105_/B (sky130_fd_sc_hd__or3b_2)
+                  0.13    0.55   12.48 v mprj/u_mac_wrap/_06105_/X (sky130_fd_sc_hd__or3b_2)
+     4    0.02                           mprj/u_mac_wrap/_02877_ (net)
+                  0.13    0.00   12.48 v mprj/u_mac_wrap/fanout235/A (sky130_fd_sc_hd__buf_2)
+                  0.08    0.22   12.70 v mprj/u_mac_wrap/fanout235/X (sky130_fd_sc_hd__buf_2)
+     7    0.03                           mprj/u_mac_wrap/net235 (net)
+                  0.08    0.00   12.70 v mprj/u_mac_wrap/fanout234/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.10    0.23   12.93 v mprj/u_mac_wrap/fanout234/X (sky130_fd_sc_hd__clkbuf_4)
+    10    0.05                           mprj/u_mac_wrap/net234 (net)
+                  0.10    0.00   12.93 v mprj/u_mac_wrap/_06108_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.33   13.26 v mprj/u_mac_wrap/_06108_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00523_ (net)
+                  0.05    0.00   13.26 v mprj/u_mac_wrap/_09041_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 13.26   data arrival time
+
+                         40.00   40.00   clock mac_rx_clk (rise edge)
+                          0.00   40.00   clock source latency
+                  1.00    0.00   40.00 ^ mprj_io[12] (inout)
+     1    6.12                           mprj_io[12] (net)
+                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[12] (net)
+                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00   41.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10   41.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00   41.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21   41.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12   41.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00   41.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81   42.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21   42.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42   43.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01   43.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15   43.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00   43.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32   43.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00   43.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28   44.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00   44.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21   44.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01   44.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16   44.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00   44.41 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   44.52 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00   44.52 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15   44.67 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00   44.67 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15   44.82 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
+                  0.06    0.00   44.82 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.26    0.28   45.10 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
+                  0.26    0.00   45.10 ^ mprj/u_mac_wrap/clkbuf_leaf_40_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.21   45.30 ^ mprj/u_mac_wrap/clkbuf_leaf_40_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    14    0.04                           mprj/u_mac_wrap/clknet_leaf_40_phy_rx_clk (net)
+                  0.07    0.00   45.30 ^ mprj/u_mac_wrap/_09041_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   45.05   clock uncertainty
+                          2.46   47.51   clock reconvergence pessimism
+                         -0.11   47.40   library setup time
+                                 47.40   data required time
+-----------------------------------------------------------------------------
+                                 47.40   data required time
+                                -13.26   data arrival time
+-----------------------------------------------------------------------------
+                                 34.14   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09129_
+            (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Endpoint: mprj/u_mac_wrap/_09057_
+          (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Path Group: mac_rx_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
+     1   11.12                           mprj_io[12] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[12] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15    7.14 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_1_1_1_phy_rx_clk (net)
+                  0.07    0.00    7.14 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.30 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_3_0_phy_rx_clk (net)
+                  0.06    0.00    7.30 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.17    0.24    7.53 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.10                           mprj/u_mac_wrap/clknet_3_6_0_phy_rx_clk (net)
+                  0.17    0.00    7.54 ^ mprj/u_mac_wrap/clkbuf_leaf_9_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    7.70 ^ mprj/u_mac_wrap/clkbuf_leaf_9_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     3    0.01                           mprj/u_mac_wrap/clknet_leaf_9_phy_rx_clk (net)
+                  0.04    0.00    7.70 ^ mprj/u_mac_wrap/_09129_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.27    0.50    8.21 ^ mprj/u_mac_wrap/_09129_/Q (sky130_fd_sc_hd__dfrtp_1)
+     8    0.03                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[2] (net)
+                  0.27    0.00    8.21 ^ mprj/u_mac_wrap/fanout447/A (sky130_fd_sc_hd__buf_2)
+                  0.20    0.30    8.50 ^ mprj/u_mac_wrap/fanout447/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_mac_wrap/net447 (net)
+                  0.20    0.00    8.50 ^ mprj/u_mac_wrap/_04316_/B (sky130_fd_sc_hd__and4_2)
+                  0.15    0.35    8.86 ^ mprj/u_mac_wrap/_04316_/X (sky130_fd_sc_hd__and4_2)
+     6    0.02                           mprj/u_mac_wrap/_01640_ (net)
+                  0.15    0.00    8.86 ^ mprj/u_mac_wrap/_04318_/D (sky130_fd_sc_hd__and4_1)
+                  0.19    0.33    9.19 ^ mprj/u_mac_wrap/_04318_/X (sky130_fd_sc_hd__and4_1)
+     5    0.02                           mprj/u_mac_wrap/_01642_ (net)
+                  0.19    0.00    9.19 ^ mprj/u_mac_wrap/_04320_/D (sky130_fd_sc_hd__and4_1)
+                  0.16    0.31    9.50 ^ mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__and4_1)
+     4    0.01                           mprj/u_mac_wrap/_01644_ (net)
+                  0.16    0.00    9.50 ^ mprj/u_mac_wrap/_04321_/C (sky130_fd_sc_hd__and3_1)
+                  0.19    0.29    9.79 ^ mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__and3_1)
+     4    0.02                           mprj/u_mac_wrap/_01645_ (net)
+                  0.19    0.00    9.79 ^ mprj/u_mac_wrap/_04327_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.14    0.31   10.10 ^ mprj/u_mac_wrap/_04327_/X (sky130_fd_sc_hd__o2111a_1)
+     2    0.01                           mprj/u_mac_wrap/_01651_ (net)
+                  0.14    0.00   10.10 ^ mprj/u_mac_wrap/_04341_/C1 (sky130_fd_sc_hd__o211ai_1)
+                  0.10    0.13   10.23 v mprj/u_mac_wrap/_04341_/Y (sky130_fd_sc_hd__o211ai_1)
+     2    0.01                           mprj/u_mac_wrap/_01665_ (net)
+                  0.10    0.00   10.23 v mprj/u_mac_wrap/_04347_/D1 (sky130_fd_sc_hd__a2111o_1)
+                  0.06    0.31   10.54 v mprj/u_mac_wrap/_04347_/X (sky130_fd_sc_hd__a2111o_1)
+     1    0.00                           mprj/u_mac_wrap/_01671_ (net)
+                  0.06    0.00   10.54 v mprj/u_mac_wrap/_04355_/A (sky130_fd_sc_hd__nor3_1)
+                  0.34    0.35   10.89 ^ mprj/u_mac_wrap/_04355_/Y (sky130_fd_sc_hd__nor3_1)
+     2    0.01                           mprj/u_mac_wrap/_01679_ (net)
+                  0.34    0.00   10.89 ^ mprj/u_mac_wrap/_04357_/B (sky130_fd_sc_hd__and2_1)
+                  0.15    0.27   11.16 ^ mprj/u_mac_wrap/_04357_/X (sky130_fd_sc_hd__and2_1)
+     4    0.01                           mprj/u_mac_wrap/_01681_ (net)
+                  0.15    0.00   11.16 ^ mprj/u_mac_wrap/_04388_/A_N (sky130_fd_sc_hd__nand3b_1)
+                  0.14    0.19   11.34 ^ mprj/u_mac_wrap/_04388_/Y (sky130_fd_sc_hd__nand3b_1)
+     3    0.01                           mprj/u_mac_wrap/_01712_ (net)
+                  0.14    0.00   11.34 ^ mprj/u_mac_wrap/_04634_/A_N (sky130_fd_sc_hd__and2b_1)
+                  0.10    0.27   11.62 v mprj/u_mac_wrap/_04634_/X (sky130_fd_sc_hd__and2b_1)
+     5    0.02                           mprj/u_mac_wrap/_01928_ (net)
+                  0.10    0.00   11.62 v mprj/u_mac_wrap/_04645_/A (sky130_fd_sc_hd__or2_1)
+                  0.09    0.31   11.93 v mprj/u_mac_wrap/_04645_/X (sky130_fd_sc_hd__or2_1)
+     3    0.01                           mprj/u_mac_wrap/_01939_ (net)
+                  0.10    0.00   11.93 v mprj/u_mac_wrap/_06105_/B (sky130_fd_sc_hd__or3b_2)
+                  0.13    0.55   12.48 v mprj/u_mac_wrap/_06105_/X (sky130_fd_sc_hd__or3b_2)
+     4    0.02                           mprj/u_mac_wrap/_02877_ (net)
+                  0.13    0.00   12.48 v mprj/u_mac_wrap/fanout235/A (sky130_fd_sc_hd__buf_2)
+                  0.08    0.22   12.70 v mprj/u_mac_wrap/fanout235/X (sky130_fd_sc_hd__buf_2)
+     7    0.03                           mprj/u_mac_wrap/net235 (net)
+                  0.08    0.00   12.70 v mprj/u_mac_wrap/fanout234/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.10    0.23   12.93 v mprj/u_mac_wrap/fanout234/X (sky130_fd_sc_hd__clkbuf_4)
+    10    0.05                           mprj/u_mac_wrap/net234 (net)
+                  0.10    0.00   12.93 v mprj/u_mac_wrap/_06124_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.34   13.26 v mprj/u_mac_wrap/_06124_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00539_ (net)
+                  0.05    0.00   13.26 v mprj/u_mac_wrap/_09057_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 13.26   data arrival time
+
+                         40.00   40.00   clock mac_rx_clk (rise edge)
+                          0.00   40.00   clock source latency
+                  1.00    0.00   40.00 ^ mprj_io[12] (inout)
+     1    6.12                           mprj_io[12] (net)
+                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[12] (net)
+                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00   41.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10   41.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00   41.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21   41.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12   41.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00   41.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81   42.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21   42.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42   43.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01   43.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15   43.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00   43.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32   43.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00   43.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28   44.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00   44.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21   44.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01   44.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16   44.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00   44.41 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   44.52 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00   44.52 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15   44.67 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00   44.67 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15   44.82 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
+                  0.06    0.00   44.82 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.26    0.28   45.10 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
+                  0.26    0.01   45.10 ^ mprj/u_mac_wrap/clkbuf_leaf_27_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20   45.30 ^ mprj/u_mac_wrap/clkbuf_leaf_27_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    12    0.04                           mprj/u_mac_wrap/clknet_leaf_27_phy_rx_clk (net)
+                  0.06    0.00   45.30 ^ mprj/u_mac_wrap/_09057_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   45.05   clock uncertainty
+                          2.46   47.52   clock reconvergence pessimism
+                         -0.11   47.40   library setup time
+                                 47.40   data required time
+-----------------------------------------------------------------------------
+                                 47.40   data required time
+                                -13.26   data arrival time
+-----------------------------------------------------------------------------
+                                 34.14   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09129_
+            (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Endpoint: mprj/u_mac_wrap/_09054_
+          (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Path Group: mac_rx_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
+     1   11.12                           mprj_io[12] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[12] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15    7.14 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_1_1_1_phy_rx_clk (net)
+                  0.07    0.00    7.14 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.30 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_3_0_phy_rx_clk (net)
+                  0.06    0.00    7.30 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.17    0.24    7.53 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.10                           mprj/u_mac_wrap/clknet_3_6_0_phy_rx_clk (net)
+                  0.17    0.00    7.54 ^ mprj/u_mac_wrap/clkbuf_leaf_9_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    7.70 ^ mprj/u_mac_wrap/clkbuf_leaf_9_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     3    0.01                           mprj/u_mac_wrap/clknet_leaf_9_phy_rx_clk (net)
+                  0.04    0.00    7.70 ^ mprj/u_mac_wrap/_09129_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.27    0.50    8.21 ^ mprj/u_mac_wrap/_09129_/Q (sky130_fd_sc_hd__dfrtp_1)
+     8    0.03                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[2] (net)
+                  0.27    0.00    8.21 ^ mprj/u_mac_wrap/fanout447/A (sky130_fd_sc_hd__buf_2)
+                  0.20    0.30    8.50 ^ mprj/u_mac_wrap/fanout447/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_mac_wrap/net447 (net)
+                  0.20    0.00    8.50 ^ mprj/u_mac_wrap/_04316_/B (sky130_fd_sc_hd__and4_2)
+                  0.15    0.35    8.86 ^ mprj/u_mac_wrap/_04316_/X (sky130_fd_sc_hd__and4_2)
+     6    0.02                           mprj/u_mac_wrap/_01640_ (net)
+                  0.15    0.00    8.86 ^ mprj/u_mac_wrap/_04318_/D (sky130_fd_sc_hd__and4_1)
+                  0.19    0.33    9.19 ^ mprj/u_mac_wrap/_04318_/X (sky130_fd_sc_hd__and4_1)
+     5    0.02                           mprj/u_mac_wrap/_01642_ (net)
+                  0.19    0.00    9.19 ^ mprj/u_mac_wrap/_04320_/D (sky130_fd_sc_hd__and4_1)
+                  0.16    0.31    9.50 ^ mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__and4_1)
+     4    0.01                           mprj/u_mac_wrap/_01644_ (net)
+                  0.16    0.00    9.50 ^ mprj/u_mac_wrap/_04321_/C (sky130_fd_sc_hd__and3_1)
+                  0.19    0.29    9.79 ^ mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__and3_1)
+     4    0.02                           mprj/u_mac_wrap/_01645_ (net)
+                  0.19    0.00    9.79 ^ mprj/u_mac_wrap/_04327_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.14    0.31   10.10 ^ mprj/u_mac_wrap/_04327_/X (sky130_fd_sc_hd__o2111a_1)
+     2    0.01                           mprj/u_mac_wrap/_01651_ (net)
+                  0.14    0.00   10.10 ^ mprj/u_mac_wrap/_04341_/C1 (sky130_fd_sc_hd__o211ai_1)
+                  0.10    0.13   10.23 v mprj/u_mac_wrap/_04341_/Y (sky130_fd_sc_hd__o211ai_1)
+     2    0.01                           mprj/u_mac_wrap/_01665_ (net)
+                  0.10    0.00   10.23 v mprj/u_mac_wrap/_04347_/D1 (sky130_fd_sc_hd__a2111o_1)
+                  0.06    0.31   10.54 v mprj/u_mac_wrap/_04347_/X (sky130_fd_sc_hd__a2111o_1)
+     1    0.00                           mprj/u_mac_wrap/_01671_ (net)
+                  0.06    0.00   10.54 v mprj/u_mac_wrap/_04355_/A (sky130_fd_sc_hd__nor3_1)
+                  0.34    0.35   10.89 ^ mprj/u_mac_wrap/_04355_/Y (sky130_fd_sc_hd__nor3_1)
+     2    0.01                           mprj/u_mac_wrap/_01679_ (net)
+                  0.34    0.00   10.89 ^ mprj/u_mac_wrap/_04357_/B (sky130_fd_sc_hd__and2_1)
+                  0.15    0.27   11.16 ^ mprj/u_mac_wrap/_04357_/X (sky130_fd_sc_hd__and2_1)
+     4    0.01                           mprj/u_mac_wrap/_01681_ (net)
+                  0.15    0.00   11.16 ^ mprj/u_mac_wrap/_04388_/A_N (sky130_fd_sc_hd__nand3b_1)
+                  0.14    0.19   11.34 ^ mprj/u_mac_wrap/_04388_/Y (sky130_fd_sc_hd__nand3b_1)
+     3    0.01                           mprj/u_mac_wrap/_01712_ (net)
+                  0.14    0.00   11.34 ^ mprj/u_mac_wrap/_04634_/A_N (sky130_fd_sc_hd__and2b_1)
+                  0.10    0.27   11.62 v mprj/u_mac_wrap/_04634_/X (sky130_fd_sc_hd__and2b_1)
+     5    0.02                           mprj/u_mac_wrap/_01928_ (net)
+                  0.10    0.00   11.62 v mprj/u_mac_wrap/_04645_/A (sky130_fd_sc_hd__or2_1)
+                  0.09    0.31   11.93 v mprj/u_mac_wrap/_04645_/X (sky130_fd_sc_hd__or2_1)
+     3    0.01                           mprj/u_mac_wrap/_01939_ (net)
+                  0.10    0.00   11.93 v mprj/u_mac_wrap/_06105_/B (sky130_fd_sc_hd__or3b_2)
+                  0.13    0.55   12.48 v mprj/u_mac_wrap/_06105_/X (sky130_fd_sc_hd__or3b_2)
+     4    0.02                           mprj/u_mac_wrap/_02877_ (net)
+                  0.13    0.00   12.48 v mprj/u_mac_wrap/fanout235/A (sky130_fd_sc_hd__buf_2)
+                  0.08    0.22   12.70 v mprj/u_mac_wrap/fanout235/X (sky130_fd_sc_hd__buf_2)
+     7    0.03                           mprj/u_mac_wrap/net235 (net)
+                  0.08    0.00   12.70 v mprj/u_mac_wrap/fanout234/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.10    0.23   12.93 v mprj/u_mac_wrap/fanout234/X (sky130_fd_sc_hd__clkbuf_4)
+    10    0.05                           mprj/u_mac_wrap/net234 (net)
+                  0.10    0.00   12.93 v mprj/u_mac_wrap/_06121_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.33   13.26 v mprj/u_mac_wrap/_06121_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00536_ (net)
+                  0.05    0.00   13.26 v mprj/u_mac_wrap/_09054_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 13.26   data arrival time
+
+                         40.00   40.00   clock mac_rx_clk (rise edge)
+                          0.00   40.00   clock source latency
+                  1.00    0.00   40.00 ^ mprj_io[12] (inout)
+     1    6.12                           mprj_io[12] (net)
+                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[12] (net)
+                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00   41.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10   41.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00   41.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21   41.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12   41.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00   41.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81   42.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21   42.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42   43.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01   43.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15   43.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00   43.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32   43.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00   43.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28   44.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00   44.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21   44.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01   44.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16   44.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00   44.41 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   44.52 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00   44.52 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15   44.67 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00   44.67 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15   44.82 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
+                  0.06    0.00   44.82 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.26    0.28   45.10 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
+                  0.26    0.00   45.10 ^ mprj/u_mac_wrap/clkbuf_leaf_40_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.21   45.30 ^ mprj/u_mac_wrap/clkbuf_leaf_40_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    14    0.04                           mprj/u_mac_wrap/clknet_leaf_40_phy_rx_clk (net)
+                  0.07    0.00   45.30 ^ mprj/u_mac_wrap/_09054_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   45.05   clock uncertainty
+                          2.46   47.51   clock reconvergence pessimism
+                         -0.11   47.40   library setup time
+                                 47.40   data required time
+-----------------------------------------------------------------------------
+                                 47.40   data required time
+                                -13.26   data arrival time
+-----------------------------------------------------------------------------
+                                 34.14   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09129_
+            (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Endpoint: mprj/u_mac_wrap/_09065_
+          (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Path Group: mac_rx_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
+     1   11.12                           mprj_io[12] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[12] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15    7.14 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_1_1_1_phy_rx_clk (net)
+                  0.07    0.00    7.14 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.30 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_3_0_phy_rx_clk (net)
+                  0.06    0.00    7.30 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.17    0.24    7.53 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.10                           mprj/u_mac_wrap/clknet_3_6_0_phy_rx_clk (net)
+                  0.17    0.00    7.54 ^ mprj/u_mac_wrap/clkbuf_leaf_9_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    7.70 ^ mprj/u_mac_wrap/clkbuf_leaf_9_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     3    0.01                           mprj/u_mac_wrap/clknet_leaf_9_phy_rx_clk (net)
+                  0.04    0.00    7.70 ^ mprj/u_mac_wrap/_09129_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.27    0.50    8.21 ^ mprj/u_mac_wrap/_09129_/Q (sky130_fd_sc_hd__dfrtp_1)
+     8    0.03                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[2] (net)
+                  0.27    0.00    8.21 ^ mprj/u_mac_wrap/fanout447/A (sky130_fd_sc_hd__buf_2)
+                  0.20    0.30    8.50 ^ mprj/u_mac_wrap/fanout447/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_mac_wrap/net447 (net)
+                  0.20    0.00    8.50 ^ mprj/u_mac_wrap/_04316_/B (sky130_fd_sc_hd__and4_2)
+                  0.15    0.35    8.86 ^ mprj/u_mac_wrap/_04316_/X (sky130_fd_sc_hd__and4_2)
+     6    0.02                           mprj/u_mac_wrap/_01640_ (net)
+                  0.15    0.00    8.86 ^ mprj/u_mac_wrap/_04318_/D (sky130_fd_sc_hd__and4_1)
+                  0.19    0.33    9.19 ^ mprj/u_mac_wrap/_04318_/X (sky130_fd_sc_hd__and4_1)
+     5    0.02                           mprj/u_mac_wrap/_01642_ (net)
+                  0.19    0.00    9.19 ^ mprj/u_mac_wrap/_04320_/D (sky130_fd_sc_hd__and4_1)
+                  0.16    0.31    9.50 ^ mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__and4_1)
+     4    0.01                           mprj/u_mac_wrap/_01644_ (net)
+                  0.16    0.00    9.50 ^ mprj/u_mac_wrap/_04321_/C (sky130_fd_sc_hd__and3_1)
+                  0.19    0.29    9.79 ^ mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__and3_1)
+     4    0.02                           mprj/u_mac_wrap/_01645_ (net)
+                  0.19    0.00    9.79 ^ mprj/u_mac_wrap/_04327_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.14    0.31   10.10 ^ mprj/u_mac_wrap/_04327_/X (sky130_fd_sc_hd__o2111a_1)
+     2    0.01                           mprj/u_mac_wrap/_01651_ (net)
+                  0.14    0.00   10.10 ^ mprj/u_mac_wrap/_04341_/C1 (sky130_fd_sc_hd__o211ai_1)
+                  0.10    0.13   10.23 v mprj/u_mac_wrap/_04341_/Y (sky130_fd_sc_hd__o211ai_1)
+     2    0.01                           mprj/u_mac_wrap/_01665_ (net)
+                  0.10    0.00   10.23 v mprj/u_mac_wrap/_04347_/D1 (sky130_fd_sc_hd__a2111o_1)
+                  0.06    0.31   10.54 v mprj/u_mac_wrap/_04347_/X (sky130_fd_sc_hd__a2111o_1)
+     1    0.00                           mprj/u_mac_wrap/_01671_ (net)
+                  0.06    0.00   10.54 v mprj/u_mac_wrap/_04355_/A (sky130_fd_sc_hd__nor3_1)
+                  0.34    0.35   10.89 ^ mprj/u_mac_wrap/_04355_/Y (sky130_fd_sc_hd__nor3_1)
+     2    0.01                           mprj/u_mac_wrap/_01679_ (net)
+                  0.34    0.00   10.89 ^ mprj/u_mac_wrap/_04357_/B (sky130_fd_sc_hd__and2_1)
+                  0.15    0.27   11.16 ^ mprj/u_mac_wrap/_04357_/X (sky130_fd_sc_hd__and2_1)
+     4    0.01                           mprj/u_mac_wrap/_01681_ (net)
+                  0.15    0.00   11.16 ^ mprj/u_mac_wrap/_04388_/A_N (sky130_fd_sc_hd__nand3b_1)
+                  0.14    0.19   11.34 ^ mprj/u_mac_wrap/_04388_/Y (sky130_fd_sc_hd__nand3b_1)
+     3    0.01                           mprj/u_mac_wrap/_01712_ (net)
+                  0.14    0.00   11.34 ^ mprj/u_mac_wrap/_04634_/A_N (sky130_fd_sc_hd__and2b_1)
+                  0.10    0.27   11.62 v mprj/u_mac_wrap/_04634_/X (sky130_fd_sc_hd__and2b_1)
+     5    0.02                           mprj/u_mac_wrap/_01928_ (net)
+                  0.10    0.00   11.62 v mprj/u_mac_wrap/_04645_/A (sky130_fd_sc_hd__or2_1)
+                  0.09    0.31   11.93 v mprj/u_mac_wrap/_04645_/X (sky130_fd_sc_hd__or2_1)
+     3    0.01                           mprj/u_mac_wrap/_01939_ (net)
+                  0.10    0.00   11.93 v mprj/u_mac_wrap/_06105_/B (sky130_fd_sc_hd__or3b_2)
+                  0.13    0.55   12.48 v mprj/u_mac_wrap/_06105_/X (sky130_fd_sc_hd__or3b_2)
+     4    0.02                           mprj/u_mac_wrap/_02877_ (net)
+                  0.13    0.00   12.48 v mprj/u_mac_wrap/fanout237/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.25   12.73 v mprj/u_mac_wrap/fanout237/X (sky130_fd_sc_hd__buf_2)
+    14    0.05                           mprj/u_mac_wrap/net237 (net)
+                  0.11    0.00   12.73 v mprj/u_mac_wrap/_06132_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.34   13.07 v mprj/u_mac_wrap/_06132_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00547_ (net)
+                  0.05    0.00   13.07 v mprj/u_mac_wrap/_09065_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 13.07   data arrival time
+
+                         40.00   40.00   clock mac_rx_clk (rise edge)
+                          0.00   40.00   clock source latency
+                  1.00    0.00   40.00 ^ mprj_io[12] (inout)
+     1    6.12                           mprj_io[12] (net)
+                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[12] (net)
+                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00   41.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10   41.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00   41.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21   41.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12   41.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00   41.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81   42.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21   42.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42   43.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01   43.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15   43.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00   43.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32   43.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00   43.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28   44.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00   44.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21   44.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01   44.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16   44.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00   44.41 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   44.52 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
+                  0.03    0.00   44.52 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.14   44.66 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_1_1_1_phy_rx_clk (net)
+                  0.07    0.00   44.66 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   44.80 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_3_0_phy_rx_clk (net)
+                  0.06    0.00   44.81 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.17    0.22   45.02 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.10                           mprj/u_mac_wrap/clknet_3_6_0_phy_rx_clk (net)
+                  0.17    0.00   45.03 ^ mprj/u_mac_wrap/clkbuf_leaf_28_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17   45.20 ^ mprj/u_mac_wrap/clkbuf_leaf_28_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    11    0.03                           mprj/u_mac_wrap/clknet_leaf_28_phy_rx_clk (net)
+                  0.06    0.00   45.20 ^ mprj/u_mac_wrap/_09065_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   44.95   clock uncertainty
+                          2.51   47.46   clock reconvergence pessimism
+                         -0.12   47.34   library setup time
+                                 47.34   data required time
+-----------------------------------------------------------------------------
+                                 47.34   data required time
+                                -13.07   data arrival time
+-----------------------------------------------------------------------------
+                                 34.28   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09129_
+            (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Endpoint: mprj/u_mac_wrap/_09060_
+          (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Path Group: mac_rx_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
+     1   11.12                           mprj_io[12] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[12] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15    7.14 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_1_1_1_phy_rx_clk (net)
+                  0.07    0.00    7.14 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.30 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_3_0_phy_rx_clk (net)
+                  0.06    0.00    7.30 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.17    0.24    7.53 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.10                           mprj/u_mac_wrap/clknet_3_6_0_phy_rx_clk (net)
+                  0.17    0.00    7.54 ^ mprj/u_mac_wrap/clkbuf_leaf_9_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    7.70 ^ mprj/u_mac_wrap/clkbuf_leaf_9_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     3    0.01                           mprj/u_mac_wrap/clknet_leaf_9_phy_rx_clk (net)
+                  0.04    0.00    7.70 ^ mprj/u_mac_wrap/_09129_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.27    0.50    8.21 ^ mprj/u_mac_wrap/_09129_/Q (sky130_fd_sc_hd__dfrtp_1)
+     8    0.03                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[2] (net)
+                  0.27    0.00    8.21 ^ mprj/u_mac_wrap/fanout447/A (sky130_fd_sc_hd__buf_2)
+                  0.20    0.30    8.50 ^ mprj/u_mac_wrap/fanout447/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_mac_wrap/net447 (net)
+                  0.20    0.00    8.50 ^ mprj/u_mac_wrap/_04316_/B (sky130_fd_sc_hd__and4_2)
+                  0.15    0.35    8.86 ^ mprj/u_mac_wrap/_04316_/X (sky130_fd_sc_hd__and4_2)
+     6    0.02                           mprj/u_mac_wrap/_01640_ (net)
+                  0.15    0.00    8.86 ^ mprj/u_mac_wrap/_04318_/D (sky130_fd_sc_hd__and4_1)
+                  0.19    0.33    9.19 ^ mprj/u_mac_wrap/_04318_/X (sky130_fd_sc_hd__and4_1)
+     5    0.02                           mprj/u_mac_wrap/_01642_ (net)
+                  0.19    0.00    9.19 ^ mprj/u_mac_wrap/_04320_/D (sky130_fd_sc_hd__and4_1)
+                  0.16    0.31    9.50 ^ mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__and4_1)
+     4    0.01                           mprj/u_mac_wrap/_01644_ (net)
+                  0.16    0.00    9.50 ^ mprj/u_mac_wrap/_04321_/C (sky130_fd_sc_hd__and3_1)
+                  0.19    0.29    9.79 ^ mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__and3_1)
+     4    0.02                           mprj/u_mac_wrap/_01645_ (net)
+                  0.19    0.00    9.79 ^ mprj/u_mac_wrap/_04327_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.14    0.31   10.10 ^ mprj/u_mac_wrap/_04327_/X (sky130_fd_sc_hd__o2111a_1)
+     2    0.01                           mprj/u_mac_wrap/_01651_ (net)
+                  0.14    0.00   10.10 ^ mprj/u_mac_wrap/_04341_/C1 (sky130_fd_sc_hd__o211ai_1)
+                  0.10    0.13   10.23 v mprj/u_mac_wrap/_04341_/Y (sky130_fd_sc_hd__o211ai_1)
+     2    0.01                           mprj/u_mac_wrap/_01665_ (net)
+                  0.10    0.00   10.23 v mprj/u_mac_wrap/_04347_/D1 (sky130_fd_sc_hd__a2111o_1)
+                  0.06    0.31   10.54 v mprj/u_mac_wrap/_04347_/X (sky130_fd_sc_hd__a2111o_1)
+     1    0.00                           mprj/u_mac_wrap/_01671_ (net)
+                  0.06    0.00   10.54 v mprj/u_mac_wrap/_04355_/A (sky130_fd_sc_hd__nor3_1)
+                  0.34    0.35   10.89 ^ mprj/u_mac_wrap/_04355_/Y (sky130_fd_sc_hd__nor3_1)
+     2    0.01                           mprj/u_mac_wrap/_01679_ (net)
+                  0.34    0.00   10.89 ^ mprj/u_mac_wrap/_04357_/B (sky130_fd_sc_hd__and2_1)
+                  0.15    0.27   11.16 ^ mprj/u_mac_wrap/_04357_/X (sky130_fd_sc_hd__and2_1)
+     4    0.01                           mprj/u_mac_wrap/_01681_ (net)
+                  0.15    0.00   11.16 ^ mprj/u_mac_wrap/_04388_/A_N (sky130_fd_sc_hd__nand3b_1)
+                  0.14    0.19   11.34 ^ mprj/u_mac_wrap/_04388_/Y (sky130_fd_sc_hd__nand3b_1)
+     3    0.01                           mprj/u_mac_wrap/_01712_ (net)
+                  0.14    0.00   11.34 ^ mprj/u_mac_wrap/_04634_/A_N (sky130_fd_sc_hd__and2b_1)
+                  0.10    0.27   11.62 v mprj/u_mac_wrap/_04634_/X (sky130_fd_sc_hd__and2b_1)
+     5    0.02                           mprj/u_mac_wrap/_01928_ (net)
+                  0.10    0.00   11.62 v mprj/u_mac_wrap/_04645_/A (sky130_fd_sc_hd__or2_1)
+                  0.09    0.31   11.93 v mprj/u_mac_wrap/_04645_/X (sky130_fd_sc_hd__or2_1)
+     3    0.01                           mprj/u_mac_wrap/_01939_ (net)
+                  0.10    0.00   11.93 v mprj/u_mac_wrap/_06105_/B (sky130_fd_sc_hd__or3b_2)
+                  0.13    0.55   12.48 v mprj/u_mac_wrap/_06105_/X (sky130_fd_sc_hd__or3b_2)
+     4    0.02                           mprj/u_mac_wrap/_02877_ (net)
+                  0.13    0.00   12.48 v mprj/u_mac_wrap/fanout237/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.25   12.73 v mprj/u_mac_wrap/fanout237/X (sky130_fd_sc_hd__buf_2)
+    14    0.05                           mprj/u_mac_wrap/net237 (net)
+                  0.11    0.00   12.73 v mprj/u_mac_wrap/_06127_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.34   13.07 v mprj/u_mac_wrap/_06127_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00542_ (net)
+                  0.05    0.00   13.07 v mprj/u_mac_wrap/_09060_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 13.07   data arrival time
+
+                         40.00   40.00   clock mac_rx_clk (rise edge)
+                          0.00   40.00   clock source latency
+                  1.00    0.00   40.00 ^ mprj_io[12] (inout)
+     1    6.12                           mprj_io[12] (net)
+                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[12] (net)
+                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00   41.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10   41.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00   41.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21   41.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12   41.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00   41.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81   42.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21   42.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42   43.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01   43.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15   43.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00   43.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32   43.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00   43.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28   44.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00   44.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21   44.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01   44.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16   44.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00   44.41 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   44.52 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00   44.52 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15   44.67 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00   44.67 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15   44.82 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
+                  0.06    0.00   44.82 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.26    0.28   45.10 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
+                  0.26    0.01   45.10 ^ mprj/u_mac_wrap/clkbuf_leaf_26_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.19   45.30 ^ mprj/u_mac_wrap/clkbuf_leaf_26_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_mac_wrap/clknet_leaf_26_phy_rx_clk (net)
+                  0.05    0.00   45.30 ^ mprj/u_mac_wrap/_09060_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   45.05   clock uncertainty
+                          2.46   47.51   clock reconvergence pessimism
+                         -0.12   47.39   library setup time
+                                 47.39   data required time
+-----------------------------------------------------------------------------
+                                 47.39   data required time
+                                -13.07   data arrival time
+-----------------------------------------------------------------------------
+                                 34.32   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09129_
+            (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Endpoint: mprj/u_mac_wrap/_09069_
+          (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Path Group: mac_rx_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
+     1   11.12                           mprj_io[12] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[12] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15    7.14 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_1_1_1_phy_rx_clk (net)
+                  0.07    0.00    7.14 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.30 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_3_0_phy_rx_clk (net)
+                  0.06    0.00    7.30 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.17    0.24    7.53 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.10                           mprj/u_mac_wrap/clknet_3_6_0_phy_rx_clk (net)
+                  0.17    0.00    7.54 ^ mprj/u_mac_wrap/clkbuf_leaf_9_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    7.70 ^ mprj/u_mac_wrap/clkbuf_leaf_9_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     3    0.01                           mprj/u_mac_wrap/clknet_leaf_9_phy_rx_clk (net)
+                  0.04    0.00    7.70 ^ mprj/u_mac_wrap/_09129_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.27    0.50    8.21 ^ mprj/u_mac_wrap/_09129_/Q (sky130_fd_sc_hd__dfrtp_1)
+     8    0.03                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[2] (net)
+                  0.27    0.00    8.21 ^ mprj/u_mac_wrap/fanout447/A (sky130_fd_sc_hd__buf_2)
+                  0.20    0.30    8.50 ^ mprj/u_mac_wrap/fanout447/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_mac_wrap/net447 (net)
+                  0.20    0.00    8.50 ^ mprj/u_mac_wrap/_04316_/B (sky130_fd_sc_hd__and4_2)
+                  0.15    0.35    8.86 ^ mprj/u_mac_wrap/_04316_/X (sky130_fd_sc_hd__and4_2)
+     6    0.02                           mprj/u_mac_wrap/_01640_ (net)
+                  0.15    0.00    8.86 ^ mprj/u_mac_wrap/_04318_/D (sky130_fd_sc_hd__and4_1)
+                  0.19    0.33    9.19 ^ mprj/u_mac_wrap/_04318_/X (sky130_fd_sc_hd__and4_1)
+     5    0.02                           mprj/u_mac_wrap/_01642_ (net)
+                  0.19    0.00    9.19 ^ mprj/u_mac_wrap/_04320_/D (sky130_fd_sc_hd__and4_1)
+                  0.16    0.31    9.50 ^ mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__and4_1)
+     4    0.01                           mprj/u_mac_wrap/_01644_ (net)
+                  0.16    0.00    9.50 ^ mprj/u_mac_wrap/_04321_/C (sky130_fd_sc_hd__and3_1)
+                  0.19    0.29    9.79 ^ mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__and3_1)
+     4    0.02                           mprj/u_mac_wrap/_01645_ (net)
+                  0.19    0.00    9.79 ^ mprj/u_mac_wrap/_04327_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.14    0.31   10.10 ^ mprj/u_mac_wrap/_04327_/X (sky130_fd_sc_hd__o2111a_1)
+     2    0.01                           mprj/u_mac_wrap/_01651_ (net)
+                  0.14    0.00   10.10 ^ mprj/u_mac_wrap/_04341_/C1 (sky130_fd_sc_hd__o211ai_1)
+                  0.10    0.13   10.23 v mprj/u_mac_wrap/_04341_/Y (sky130_fd_sc_hd__o211ai_1)
+     2    0.01                           mprj/u_mac_wrap/_01665_ (net)
+                  0.10    0.00   10.23 v mprj/u_mac_wrap/_04347_/D1 (sky130_fd_sc_hd__a2111o_1)
+                  0.06    0.31   10.54 v mprj/u_mac_wrap/_04347_/X (sky130_fd_sc_hd__a2111o_1)
+     1    0.00                           mprj/u_mac_wrap/_01671_ (net)
+                  0.06    0.00   10.54 v mprj/u_mac_wrap/_04355_/A (sky130_fd_sc_hd__nor3_1)
+                  0.34    0.35   10.89 ^ mprj/u_mac_wrap/_04355_/Y (sky130_fd_sc_hd__nor3_1)
+     2    0.01                           mprj/u_mac_wrap/_01679_ (net)
+                  0.34    0.00   10.89 ^ mprj/u_mac_wrap/_04357_/B (sky130_fd_sc_hd__and2_1)
+                  0.15    0.27   11.16 ^ mprj/u_mac_wrap/_04357_/X (sky130_fd_sc_hd__and2_1)
+     4    0.01                           mprj/u_mac_wrap/_01681_ (net)
+                  0.15    0.00   11.16 ^ mprj/u_mac_wrap/_04388_/A_N (sky130_fd_sc_hd__nand3b_1)
+                  0.14    0.19   11.34 ^ mprj/u_mac_wrap/_04388_/Y (sky130_fd_sc_hd__nand3b_1)
+     3    0.01                           mprj/u_mac_wrap/_01712_ (net)
+                  0.14    0.00   11.34 ^ mprj/u_mac_wrap/_04634_/A_N (sky130_fd_sc_hd__and2b_1)
+                  0.10    0.27   11.62 v mprj/u_mac_wrap/_04634_/X (sky130_fd_sc_hd__and2b_1)
+     5    0.02                           mprj/u_mac_wrap/_01928_ (net)
+                  0.10    0.00   11.62 v mprj/u_mac_wrap/_04645_/A (sky130_fd_sc_hd__or2_1)
+                  0.09    0.31   11.93 v mprj/u_mac_wrap/_04645_/X (sky130_fd_sc_hd__or2_1)
+     3    0.01                           mprj/u_mac_wrap/_01939_ (net)
+                  0.10    0.00   11.93 v mprj/u_mac_wrap/_06105_/B (sky130_fd_sc_hd__or3b_2)
+                  0.13    0.55   12.48 v mprj/u_mac_wrap/_06105_/X (sky130_fd_sc_hd__or3b_2)
+     4    0.02                           mprj/u_mac_wrap/_02877_ (net)
+                  0.13    0.00   12.48 v mprj/u_mac_wrap/fanout237/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.25   12.73 v mprj/u_mac_wrap/fanout237/X (sky130_fd_sc_hd__buf_2)
+    14    0.05                           mprj/u_mac_wrap/net237 (net)
+                  0.11    0.00   12.73 v mprj/u_mac_wrap/_06136_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.34   13.06 v mprj/u_mac_wrap/_06136_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00551_ (net)
+                  0.05    0.00   13.06 v mprj/u_mac_wrap/_09069_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 13.06   data arrival time
+
+                         40.00   40.00   clock mac_rx_clk (rise edge)
+                          0.00   40.00   clock source latency
+                  1.00    0.00   40.00 ^ mprj_io[12] (inout)
+     1    6.12                           mprj_io[12] (net)
+                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[12] (net)
+                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00   41.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10   41.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00   41.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21   41.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12   41.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00   41.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81   42.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21   42.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42   43.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01   43.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15   43.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00   43.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32   43.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00   43.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28   44.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00   44.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21   44.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01   44.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16   44.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00   44.41 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   44.52 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00   44.52 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15   44.67 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00   44.67 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15   44.82 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
+                  0.06    0.00   44.82 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.26    0.28   45.10 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
+                  0.26    0.01   45.10 ^ mprj/u_mac_wrap/clkbuf_leaf_30_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.20   45.30 ^ mprj/u_mac_wrap/clkbuf_leaf_30_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_mac_wrap/clknet_leaf_30_phy_rx_clk (net)
+                  0.05    0.00   45.30 ^ mprj/u_mac_wrap/_09069_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   45.05   clock uncertainty
+                          2.46   47.51   clock reconvergence pessimism
+                         -0.12   47.39   library setup time
+                                 47.39   data required time
+-----------------------------------------------------------------------------
+                                 47.39   data required time
+                                -13.06   data arrival time
+-----------------------------------------------------------------------------
+                                 34.33   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09129_
+            (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Endpoint: mprj/u_mac_wrap/_09061_
+          (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Path Group: mac_rx_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
+     1   11.12                           mprj_io[12] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[12] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15    7.14 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_1_1_1_phy_rx_clk (net)
+                  0.07    0.00    7.14 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.30 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_3_0_phy_rx_clk (net)
+                  0.06    0.00    7.30 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.17    0.24    7.53 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.10                           mprj/u_mac_wrap/clknet_3_6_0_phy_rx_clk (net)
+                  0.17    0.00    7.54 ^ mprj/u_mac_wrap/clkbuf_leaf_9_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    7.70 ^ mprj/u_mac_wrap/clkbuf_leaf_9_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     3    0.01                           mprj/u_mac_wrap/clknet_leaf_9_phy_rx_clk (net)
+                  0.04    0.00    7.70 ^ mprj/u_mac_wrap/_09129_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.27    0.50    8.21 ^ mprj/u_mac_wrap/_09129_/Q (sky130_fd_sc_hd__dfrtp_1)
+     8    0.03                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[2] (net)
+                  0.27    0.00    8.21 ^ mprj/u_mac_wrap/fanout447/A (sky130_fd_sc_hd__buf_2)
+                  0.20    0.30    8.50 ^ mprj/u_mac_wrap/fanout447/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_mac_wrap/net447 (net)
+                  0.20    0.00    8.50 ^ mprj/u_mac_wrap/_04316_/B (sky130_fd_sc_hd__and4_2)
+                  0.15    0.35    8.86 ^ mprj/u_mac_wrap/_04316_/X (sky130_fd_sc_hd__and4_2)
+     6    0.02                           mprj/u_mac_wrap/_01640_ (net)
+                  0.15    0.00    8.86 ^ mprj/u_mac_wrap/_04318_/D (sky130_fd_sc_hd__and4_1)
+                  0.19    0.33    9.19 ^ mprj/u_mac_wrap/_04318_/X (sky130_fd_sc_hd__and4_1)
+     5    0.02                           mprj/u_mac_wrap/_01642_ (net)
+                  0.19    0.00    9.19 ^ mprj/u_mac_wrap/_04320_/D (sky130_fd_sc_hd__and4_1)
+                  0.16    0.31    9.50 ^ mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__and4_1)
+     4    0.01                           mprj/u_mac_wrap/_01644_ (net)
+                  0.16    0.00    9.50 ^ mprj/u_mac_wrap/_04321_/C (sky130_fd_sc_hd__and3_1)
+                  0.19    0.29    9.79 ^ mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__and3_1)
+     4    0.02                           mprj/u_mac_wrap/_01645_ (net)
+                  0.19    0.00    9.79 ^ mprj/u_mac_wrap/_04327_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.14    0.31   10.10 ^ mprj/u_mac_wrap/_04327_/X (sky130_fd_sc_hd__o2111a_1)
+     2    0.01                           mprj/u_mac_wrap/_01651_ (net)
+                  0.14    0.00   10.10 ^ mprj/u_mac_wrap/_04341_/C1 (sky130_fd_sc_hd__o211ai_1)
+                  0.10    0.13   10.23 v mprj/u_mac_wrap/_04341_/Y (sky130_fd_sc_hd__o211ai_1)
+     2    0.01                           mprj/u_mac_wrap/_01665_ (net)
+                  0.10    0.00   10.23 v mprj/u_mac_wrap/_04347_/D1 (sky130_fd_sc_hd__a2111o_1)
+                  0.06    0.31   10.54 v mprj/u_mac_wrap/_04347_/X (sky130_fd_sc_hd__a2111o_1)
+     1    0.00                           mprj/u_mac_wrap/_01671_ (net)
+                  0.06    0.00   10.54 v mprj/u_mac_wrap/_04355_/A (sky130_fd_sc_hd__nor3_1)
+                  0.34    0.35   10.89 ^ mprj/u_mac_wrap/_04355_/Y (sky130_fd_sc_hd__nor3_1)
+     2    0.01                           mprj/u_mac_wrap/_01679_ (net)
+                  0.34    0.00   10.89 ^ mprj/u_mac_wrap/_04357_/B (sky130_fd_sc_hd__and2_1)
+                  0.15    0.27   11.16 ^ mprj/u_mac_wrap/_04357_/X (sky130_fd_sc_hd__and2_1)
+     4    0.01                           mprj/u_mac_wrap/_01681_ (net)
+                  0.15    0.00   11.16 ^ mprj/u_mac_wrap/_04388_/A_N (sky130_fd_sc_hd__nand3b_1)
+                  0.14    0.19   11.34 ^ mprj/u_mac_wrap/_04388_/Y (sky130_fd_sc_hd__nand3b_1)
+     3    0.01                           mprj/u_mac_wrap/_01712_ (net)
+                  0.14    0.00   11.34 ^ mprj/u_mac_wrap/_04634_/A_N (sky130_fd_sc_hd__and2b_1)
+                  0.10    0.27   11.62 v mprj/u_mac_wrap/_04634_/X (sky130_fd_sc_hd__and2b_1)
+     5    0.02                           mprj/u_mac_wrap/_01928_ (net)
+                  0.10    0.00   11.62 v mprj/u_mac_wrap/_04645_/A (sky130_fd_sc_hd__or2_1)
+                  0.09    0.31   11.93 v mprj/u_mac_wrap/_04645_/X (sky130_fd_sc_hd__or2_1)
+     3    0.01                           mprj/u_mac_wrap/_01939_ (net)
+                  0.10    0.00   11.93 v mprj/u_mac_wrap/_06105_/B (sky130_fd_sc_hd__or3b_2)
+                  0.13    0.55   12.48 v mprj/u_mac_wrap/_06105_/X (sky130_fd_sc_hd__or3b_2)
+     4    0.02                           mprj/u_mac_wrap/_02877_ (net)
+                  0.13    0.00   12.48 v mprj/u_mac_wrap/fanout237/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.25   12.73 v mprj/u_mac_wrap/fanout237/X (sky130_fd_sc_hd__buf_2)
+    14    0.05                           mprj/u_mac_wrap/net237 (net)
+                  0.11    0.00   12.73 v mprj/u_mac_wrap/_06128_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.34   13.06 v mprj/u_mac_wrap/_06128_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00543_ (net)
+                  0.05    0.00   13.06 v mprj/u_mac_wrap/_09061_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 13.06   data arrival time
+
+                         40.00   40.00   clock mac_rx_clk (rise edge)
+                          0.00   40.00   clock source latency
+                  1.00    0.00   40.00 ^ mprj_io[12] (inout)
+     1    6.12                           mprj_io[12] (net)
+                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[12] (net)
+                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00   41.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10   41.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00   41.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21   41.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12   41.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00   41.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81   42.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21   42.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42   43.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01   43.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15   43.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00   43.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32   43.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00   43.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28   44.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00   44.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21   44.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01   44.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16   44.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00   44.41 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   44.52 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00   44.52 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15   44.67 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00   44.67 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15   44.82 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
+                  0.06    0.00   44.82 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.26    0.28   45.10 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
+                  0.26    0.01   45.10 ^ mprj/u_mac_wrap/clkbuf_leaf_30_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.20   45.30 ^ mprj/u_mac_wrap/clkbuf_leaf_30_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_mac_wrap/clknet_leaf_30_phy_rx_clk (net)
+                  0.05    0.00   45.30 ^ mprj/u_mac_wrap/_09061_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   45.05   clock uncertainty
+                          2.46   47.51   clock reconvergence pessimism
+                         -0.12   47.39   library setup time
+                                 47.39   data required time
+-----------------------------------------------------------------------------
+                                 47.39   data required time
+                                -13.06   data arrival time
+-----------------------------------------------------------------------------
+                                 34.33   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09129_
+            (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Endpoint: mprj/u_mac_wrap/_09064_
+          (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Path Group: mac_rx_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
+     1   11.12                           mprj_io[12] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[12] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15    7.14 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_1_1_1_phy_rx_clk (net)
+                  0.07    0.00    7.14 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.30 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_3_0_phy_rx_clk (net)
+                  0.06    0.00    7.30 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.17    0.24    7.53 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.10                           mprj/u_mac_wrap/clknet_3_6_0_phy_rx_clk (net)
+                  0.17    0.00    7.54 ^ mprj/u_mac_wrap/clkbuf_leaf_9_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    7.70 ^ mprj/u_mac_wrap/clkbuf_leaf_9_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     3    0.01                           mprj/u_mac_wrap/clknet_leaf_9_phy_rx_clk (net)
+                  0.04    0.00    7.70 ^ mprj/u_mac_wrap/_09129_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.27    0.50    8.21 ^ mprj/u_mac_wrap/_09129_/Q (sky130_fd_sc_hd__dfrtp_1)
+     8    0.03                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[2] (net)
+                  0.27    0.00    8.21 ^ mprj/u_mac_wrap/fanout447/A (sky130_fd_sc_hd__buf_2)
+                  0.20    0.30    8.50 ^ mprj/u_mac_wrap/fanout447/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_mac_wrap/net447 (net)
+                  0.20    0.00    8.50 ^ mprj/u_mac_wrap/_04316_/B (sky130_fd_sc_hd__and4_2)
+                  0.15    0.35    8.86 ^ mprj/u_mac_wrap/_04316_/X (sky130_fd_sc_hd__and4_2)
+     6    0.02                           mprj/u_mac_wrap/_01640_ (net)
+                  0.15    0.00    8.86 ^ mprj/u_mac_wrap/_04318_/D (sky130_fd_sc_hd__and4_1)
+                  0.19    0.33    9.19 ^ mprj/u_mac_wrap/_04318_/X (sky130_fd_sc_hd__and4_1)
+     5    0.02                           mprj/u_mac_wrap/_01642_ (net)
+                  0.19    0.00    9.19 ^ mprj/u_mac_wrap/_04320_/D (sky130_fd_sc_hd__and4_1)
+                  0.16    0.31    9.50 ^ mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__and4_1)
+     4    0.01                           mprj/u_mac_wrap/_01644_ (net)
+                  0.16    0.00    9.50 ^ mprj/u_mac_wrap/_04321_/C (sky130_fd_sc_hd__and3_1)
+                  0.19    0.29    9.79 ^ mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__and3_1)
+     4    0.02                           mprj/u_mac_wrap/_01645_ (net)
+                  0.19    0.00    9.79 ^ mprj/u_mac_wrap/_04327_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.14    0.31   10.10 ^ mprj/u_mac_wrap/_04327_/X (sky130_fd_sc_hd__o2111a_1)
+     2    0.01                           mprj/u_mac_wrap/_01651_ (net)
+                  0.14    0.00   10.10 ^ mprj/u_mac_wrap/_04341_/C1 (sky130_fd_sc_hd__o211ai_1)
+                  0.10    0.13   10.23 v mprj/u_mac_wrap/_04341_/Y (sky130_fd_sc_hd__o211ai_1)
+     2    0.01                           mprj/u_mac_wrap/_01665_ (net)
+                  0.10    0.00   10.23 v mprj/u_mac_wrap/_04347_/D1 (sky130_fd_sc_hd__a2111o_1)
+                  0.06    0.31   10.54 v mprj/u_mac_wrap/_04347_/X (sky130_fd_sc_hd__a2111o_1)
+     1    0.00                           mprj/u_mac_wrap/_01671_ (net)
+                  0.06    0.00   10.54 v mprj/u_mac_wrap/_04355_/A (sky130_fd_sc_hd__nor3_1)
+                  0.34    0.35   10.89 ^ mprj/u_mac_wrap/_04355_/Y (sky130_fd_sc_hd__nor3_1)
+     2    0.01                           mprj/u_mac_wrap/_01679_ (net)
+                  0.34    0.00   10.89 ^ mprj/u_mac_wrap/_04357_/B (sky130_fd_sc_hd__and2_1)
+                  0.15    0.27   11.16 ^ mprj/u_mac_wrap/_04357_/X (sky130_fd_sc_hd__and2_1)
+     4    0.01                           mprj/u_mac_wrap/_01681_ (net)
+                  0.15    0.00   11.16 ^ mprj/u_mac_wrap/_04388_/A_N (sky130_fd_sc_hd__nand3b_1)
+                  0.14    0.19   11.34 ^ mprj/u_mac_wrap/_04388_/Y (sky130_fd_sc_hd__nand3b_1)
+     3    0.01                           mprj/u_mac_wrap/_01712_ (net)
+                  0.14    0.00   11.34 ^ mprj/u_mac_wrap/_04634_/A_N (sky130_fd_sc_hd__and2b_1)
+                  0.10    0.27   11.62 v mprj/u_mac_wrap/_04634_/X (sky130_fd_sc_hd__and2b_1)
+     5    0.02                           mprj/u_mac_wrap/_01928_ (net)
+                  0.10    0.00   11.62 v mprj/u_mac_wrap/_04645_/A (sky130_fd_sc_hd__or2_1)
+                  0.09    0.31   11.93 v mprj/u_mac_wrap/_04645_/X (sky130_fd_sc_hd__or2_1)
+     3    0.01                           mprj/u_mac_wrap/_01939_ (net)
+                  0.10    0.00   11.93 v mprj/u_mac_wrap/_06105_/B (sky130_fd_sc_hd__or3b_2)
+                  0.13    0.55   12.48 v mprj/u_mac_wrap/_06105_/X (sky130_fd_sc_hd__or3b_2)
+     4    0.02                           mprj/u_mac_wrap/_02877_ (net)
+                  0.13    0.00   12.48 v mprj/u_mac_wrap/fanout237/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.25   12.73 v mprj/u_mac_wrap/fanout237/X (sky130_fd_sc_hd__buf_2)
+    14    0.05                           mprj/u_mac_wrap/net237 (net)
+                  0.11    0.00   12.73 v mprj/u_mac_wrap/_06131_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.33   13.06 v mprj/u_mac_wrap/_06131_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00546_ (net)
+                  0.05    0.00   13.06 v mprj/u_mac_wrap/_09064_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 13.06   data arrival time
+
+                         40.00   40.00   clock mac_rx_clk (rise edge)
+                          0.00   40.00   clock source latency
+                  1.00    0.00   40.00 ^ mprj_io[12] (inout)
+     1    6.12                           mprj_io[12] (net)
+                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[12] (net)
+                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00   41.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10   41.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00   41.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21   41.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12   41.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00   41.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81   42.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21   42.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42   43.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01   43.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15   43.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00   43.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32   43.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00   43.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28   44.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00   44.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21   44.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01   44.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16   44.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00   44.41 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   44.52 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00   44.52 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15   44.67 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00   44.67 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15   44.82 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
+                  0.06    0.00   44.82 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.26    0.28   45.10 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
+                  0.26    0.01   45.10 ^ mprj/u_mac_wrap/clkbuf_leaf_27_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20   45.30 ^ mprj/u_mac_wrap/clkbuf_leaf_27_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    12    0.04                           mprj/u_mac_wrap/clknet_leaf_27_phy_rx_clk (net)
+                  0.06    0.00   45.30 ^ mprj/u_mac_wrap/_09064_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   45.05   clock uncertainty
+                          2.46   47.52   clock reconvergence pessimism
+                         -0.11   47.40   library setup time
+                                 47.40   data required time
+-----------------------------------------------------------------------------
+                                 47.40   data required time
+                                -13.06   data arrival time
+-----------------------------------------------------------------------------
+                                 34.34   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09129_
+            (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Endpoint: mprj/u_mac_wrap/_09053_
+          (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Path Group: mac_rx_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
+     1   11.12                           mprj_io[12] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[12] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15    7.14 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_1_1_1_phy_rx_clk (net)
+                  0.07    0.00    7.14 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.30 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_3_0_phy_rx_clk (net)
+                  0.06    0.00    7.30 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.17    0.24    7.53 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.10                           mprj/u_mac_wrap/clknet_3_6_0_phy_rx_clk (net)
+                  0.17    0.00    7.54 ^ mprj/u_mac_wrap/clkbuf_leaf_9_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    7.70 ^ mprj/u_mac_wrap/clkbuf_leaf_9_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     3    0.01                           mprj/u_mac_wrap/clknet_leaf_9_phy_rx_clk (net)
+                  0.04    0.00    7.70 ^ mprj/u_mac_wrap/_09129_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.27    0.50    8.21 ^ mprj/u_mac_wrap/_09129_/Q (sky130_fd_sc_hd__dfrtp_1)
+     8    0.03                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[2] (net)
+                  0.27    0.00    8.21 ^ mprj/u_mac_wrap/fanout447/A (sky130_fd_sc_hd__buf_2)
+                  0.20    0.30    8.50 ^ mprj/u_mac_wrap/fanout447/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_mac_wrap/net447 (net)
+                  0.20    0.00    8.50 ^ mprj/u_mac_wrap/_04316_/B (sky130_fd_sc_hd__and4_2)
+                  0.15    0.35    8.86 ^ mprj/u_mac_wrap/_04316_/X (sky130_fd_sc_hd__and4_2)
+     6    0.02                           mprj/u_mac_wrap/_01640_ (net)
+                  0.15    0.00    8.86 ^ mprj/u_mac_wrap/_04318_/D (sky130_fd_sc_hd__and4_1)
+                  0.19    0.33    9.19 ^ mprj/u_mac_wrap/_04318_/X (sky130_fd_sc_hd__and4_1)
+     5    0.02                           mprj/u_mac_wrap/_01642_ (net)
+                  0.19    0.00    9.19 ^ mprj/u_mac_wrap/_04320_/D (sky130_fd_sc_hd__and4_1)
+                  0.16    0.31    9.50 ^ mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__and4_1)
+     4    0.01                           mprj/u_mac_wrap/_01644_ (net)
+                  0.16    0.00    9.50 ^ mprj/u_mac_wrap/_04321_/C (sky130_fd_sc_hd__and3_1)
+                  0.19    0.29    9.79 ^ mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__and3_1)
+     4    0.02                           mprj/u_mac_wrap/_01645_ (net)
+                  0.19    0.00    9.79 ^ mprj/u_mac_wrap/_04327_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.14    0.31   10.10 ^ mprj/u_mac_wrap/_04327_/X (sky130_fd_sc_hd__o2111a_1)
+     2    0.01                           mprj/u_mac_wrap/_01651_ (net)
+                  0.14    0.00   10.10 ^ mprj/u_mac_wrap/_04341_/C1 (sky130_fd_sc_hd__o211ai_1)
+                  0.10    0.13   10.23 v mprj/u_mac_wrap/_04341_/Y (sky130_fd_sc_hd__o211ai_1)
+     2    0.01                           mprj/u_mac_wrap/_01665_ (net)
+                  0.10    0.00   10.23 v mprj/u_mac_wrap/_04347_/D1 (sky130_fd_sc_hd__a2111o_1)
+                  0.06    0.31   10.54 v mprj/u_mac_wrap/_04347_/X (sky130_fd_sc_hd__a2111o_1)
+     1    0.00                           mprj/u_mac_wrap/_01671_ (net)
+                  0.06    0.00   10.54 v mprj/u_mac_wrap/_04355_/A (sky130_fd_sc_hd__nor3_1)
+                  0.34    0.35   10.89 ^ mprj/u_mac_wrap/_04355_/Y (sky130_fd_sc_hd__nor3_1)
+     2    0.01                           mprj/u_mac_wrap/_01679_ (net)
+                  0.34    0.00   10.89 ^ mprj/u_mac_wrap/_04357_/B (sky130_fd_sc_hd__and2_1)
+                  0.15    0.27   11.16 ^ mprj/u_mac_wrap/_04357_/X (sky130_fd_sc_hd__and2_1)
+     4    0.01                           mprj/u_mac_wrap/_01681_ (net)
+                  0.15    0.00   11.16 ^ mprj/u_mac_wrap/_04388_/A_N (sky130_fd_sc_hd__nand3b_1)
+                  0.14    0.19   11.34 ^ mprj/u_mac_wrap/_04388_/Y (sky130_fd_sc_hd__nand3b_1)
+     3    0.01                           mprj/u_mac_wrap/_01712_ (net)
+                  0.14    0.00   11.34 ^ mprj/u_mac_wrap/_04634_/A_N (sky130_fd_sc_hd__and2b_1)
+                  0.10    0.27   11.62 v mprj/u_mac_wrap/_04634_/X (sky130_fd_sc_hd__and2b_1)
+     5    0.02                           mprj/u_mac_wrap/_01928_ (net)
+                  0.10    0.00   11.62 v mprj/u_mac_wrap/_04645_/A (sky130_fd_sc_hd__or2_1)
+                  0.09    0.31   11.93 v mprj/u_mac_wrap/_04645_/X (sky130_fd_sc_hd__or2_1)
+     3    0.01                           mprj/u_mac_wrap/_01939_ (net)
+                  0.10    0.00   11.93 v mprj/u_mac_wrap/_06105_/B (sky130_fd_sc_hd__or3b_2)
+                  0.13    0.55   12.48 v mprj/u_mac_wrap/_06105_/X (sky130_fd_sc_hd__or3b_2)
+     4    0.02                           mprj/u_mac_wrap/_02877_ (net)
+                  0.13    0.00   12.48 v mprj/u_mac_wrap/fanout237/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.25   12.73 v mprj/u_mac_wrap/fanout237/X (sky130_fd_sc_hd__buf_2)
+    14    0.05                           mprj/u_mac_wrap/net237 (net)
+                  0.11    0.00   12.73 v mprj/u_mac_wrap/_06120_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.33   13.06 v mprj/u_mac_wrap/_06120_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00535_ (net)
+                  0.05    0.00   13.06 v mprj/u_mac_wrap/_09053_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 13.06   data arrival time
+
+                         40.00   40.00   clock mac_rx_clk (rise edge)
+                          0.00   40.00   clock source latency
+                  1.00    0.00   40.00 ^ mprj_io[12] (inout)
+     1    6.12                           mprj_io[12] (net)
+                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[12] (net)
+                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00   41.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10   41.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00   41.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21   41.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12   41.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00   41.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81   42.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21   42.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42   43.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01   43.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15   43.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00   43.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32   43.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00   43.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28   44.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00   44.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21   44.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01   44.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16   44.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00   44.41 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   44.52 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00   44.52 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15   44.67 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00   44.67 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15   44.82 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
+                  0.06    0.00   44.82 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.26    0.28   45.10 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
+                  0.26    0.01   45.10 ^ mprj/u_mac_wrap/clkbuf_leaf_27_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20   45.30 ^ mprj/u_mac_wrap/clkbuf_leaf_27_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    12    0.04                           mprj/u_mac_wrap/clknet_leaf_27_phy_rx_clk (net)
+                  0.06    0.00   45.30 ^ mprj/u_mac_wrap/_09053_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   45.05   clock uncertainty
+                          2.46   47.52   clock reconvergence pessimism
+                         -0.11   47.40   library setup time
+                                 47.40   data required time
+-----------------------------------------------------------------------------
+                                 47.40   data required time
+                                -13.06   data arrival time
+-----------------------------------------------------------------------------
+                                 34.34   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09129_
+            (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Endpoint: mprj/u_mac_wrap/_09070_
+          (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Path Group: mac_rx_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
+     1   11.12                           mprj_io[12] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[12] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15    7.14 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_1_1_1_phy_rx_clk (net)
+                  0.07    0.00    7.14 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.30 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_3_0_phy_rx_clk (net)
+                  0.06    0.00    7.30 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.17    0.24    7.53 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.10                           mprj/u_mac_wrap/clknet_3_6_0_phy_rx_clk (net)
+                  0.17    0.00    7.54 ^ mprj/u_mac_wrap/clkbuf_leaf_9_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    7.70 ^ mprj/u_mac_wrap/clkbuf_leaf_9_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     3    0.01                           mprj/u_mac_wrap/clknet_leaf_9_phy_rx_clk (net)
+                  0.04    0.00    7.70 ^ mprj/u_mac_wrap/_09129_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.27    0.50    8.21 ^ mprj/u_mac_wrap/_09129_/Q (sky130_fd_sc_hd__dfrtp_1)
+     8    0.03                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[2] (net)
+                  0.27    0.00    8.21 ^ mprj/u_mac_wrap/fanout447/A (sky130_fd_sc_hd__buf_2)
+                  0.20    0.30    8.50 ^ mprj/u_mac_wrap/fanout447/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_mac_wrap/net447 (net)
+                  0.20    0.00    8.50 ^ mprj/u_mac_wrap/_04316_/B (sky130_fd_sc_hd__and4_2)
+                  0.15    0.35    8.86 ^ mprj/u_mac_wrap/_04316_/X (sky130_fd_sc_hd__and4_2)
+     6    0.02                           mprj/u_mac_wrap/_01640_ (net)
+                  0.15    0.00    8.86 ^ mprj/u_mac_wrap/_04318_/D (sky130_fd_sc_hd__and4_1)
+                  0.19    0.33    9.19 ^ mprj/u_mac_wrap/_04318_/X (sky130_fd_sc_hd__and4_1)
+     5    0.02                           mprj/u_mac_wrap/_01642_ (net)
+                  0.19    0.00    9.19 ^ mprj/u_mac_wrap/_04320_/D (sky130_fd_sc_hd__and4_1)
+                  0.16    0.31    9.50 ^ mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__and4_1)
+     4    0.01                           mprj/u_mac_wrap/_01644_ (net)
+                  0.16    0.00    9.50 ^ mprj/u_mac_wrap/_04321_/C (sky130_fd_sc_hd__and3_1)
+                  0.19    0.29    9.79 ^ mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__and3_1)
+     4    0.02                           mprj/u_mac_wrap/_01645_ (net)
+                  0.19    0.00    9.79 ^ mprj/u_mac_wrap/_04327_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.14    0.31   10.10 ^ mprj/u_mac_wrap/_04327_/X (sky130_fd_sc_hd__o2111a_1)
+     2    0.01                           mprj/u_mac_wrap/_01651_ (net)
+                  0.14    0.00   10.10 ^ mprj/u_mac_wrap/_04341_/C1 (sky130_fd_sc_hd__o211ai_1)
+                  0.10    0.13   10.23 v mprj/u_mac_wrap/_04341_/Y (sky130_fd_sc_hd__o211ai_1)
+     2    0.01                           mprj/u_mac_wrap/_01665_ (net)
+                  0.10    0.00   10.23 v mprj/u_mac_wrap/_04347_/D1 (sky130_fd_sc_hd__a2111o_1)
+                  0.06    0.31   10.54 v mprj/u_mac_wrap/_04347_/X (sky130_fd_sc_hd__a2111o_1)
+     1    0.00                           mprj/u_mac_wrap/_01671_ (net)
+                  0.06    0.00   10.54 v mprj/u_mac_wrap/_04355_/A (sky130_fd_sc_hd__nor3_1)
+                  0.34    0.35   10.89 ^ mprj/u_mac_wrap/_04355_/Y (sky130_fd_sc_hd__nor3_1)
+     2    0.01                           mprj/u_mac_wrap/_01679_ (net)
+                  0.34    0.00   10.89 ^ mprj/u_mac_wrap/_04357_/B (sky130_fd_sc_hd__and2_1)
+                  0.15    0.27   11.16 ^ mprj/u_mac_wrap/_04357_/X (sky130_fd_sc_hd__and2_1)
+     4    0.01                           mprj/u_mac_wrap/_01681_ (net)
+                  0.15    0.00   11.16 ^ mprj/u_mac_wrap/_04388_/A_N (sky130_fd_sc_hd__nand3b_1)
+                  0.14    0.19   11.34 ^ mprj/u_mac_wrap/_04388_/Y (sky130_fd_sc_hd__nand3b_1)
+     3    0.01                           mprj/u_mac_wrap/_01712_ (net)
+                  0.14    0.00   11.34 ^ mprj/u_mac_wrap/_04634_/A_N (sky130_fd_sc_hd__and2b_1)
+                  0.10    0.27   11.62 v mprj/u_mac_wrap/_04634_/X (sky130_fd_sc_hd__and2b_1)
+     5    0.02                           mprj/u_mac_wrap/_01928_ (net)
+                  0.10    0.00   11.62 v mprj/u_mac_wrap/_04645_/A (sky130_fd_sc_hd__or2_1)
+                  0.09    0.31   11.93 v mprj/u_mac_wrap/_04645_/X (sky130_fd_sc_hd__or2_1)
+     3    0.01                           mprj/u_mac_wrap/_01939_ (net)
+                  0.10    0.00   11.93 v mprj/u_mac_wrap/_06105_/B (sky130_fd_sc_hd__or3b_2)
+                  0.13    0.55   12.48 v mprj/u_mac_wrap/_06105_/X (sky130_fd_sc_hd__or3b_2)
+     4    0.02                           mprj/u_mac_wrap/_02877_ (net)
+                  0.13    0.00   12.48 v mprj/u_mac_wrap/fanout235/A (sky130_fd_sc_hd__buf_2)
+                  0.08    0.22   12.70 v mprj/u_mac_wrap/fanout235/X (sky130_fd_sc_hd__buf_2)
+     7    0.03                           mprj/u_mac_wrap/net235 (net)
+                  0.08    0.00   12.70 v mprj/u_mac_wrap/_06137_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.33   13.03 v mprj/u_mac_wrap/_06137_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00552_ (net)
+                  0.05    0.00   13.03 v mprj/u_mac_wrap/_09070_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 13.03   data arrival time
+
+                         40.00   40.00   clock mac_rx_clk (rise edge)
+                          0.00   40.00   clock source latency
+                  1.00    0.00   40.00 ^ mprj_io[12] (inout)
+     1    6.12                           mprj_io[12] (net)
+                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[12] (net)
+                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00   41.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10   41.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00   41.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21   41.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12   41.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00   41.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81   42.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21   42.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42   43.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01   43.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15   43.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00   43.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32   43.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00   43.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28   44.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00   44.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21   44.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01   44.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16   44.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00   44.41 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   44.52 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00   44.52 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15   44.67 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00   44.67 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15   44.82 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
+                  0.06    0.00   44.82 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.26    0.28   45.10 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
+                  0.26    0.01   45.10 ^ mprj/u_mac_wrap/clkbuf_leaf_26_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.19   45.30 ^ mprj/u_mac_wrap/clkbuf_leaf_26_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_mac_wrap/clknet_leaf_26_phy_rx_clk (net)
+                  0.05    0.00   45.30 ^ mprj/u_mac_wrap/_09070_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   45.05   clock uncertainty
+                          2.46   47.51   clock reconvergence pessimism
+                         -0.12   47.39   library setup time
+                                 47.39   data required time
+-----------------------------------------------------------------------------
+                                 47.39   data required time
+                                -13.03   data arrival time
+-----------------------------------------------------------------------------
+                                 34.36   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09129_
+            (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Endpoint: mprj/u_mac_wrap/_09040_
+          (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Path Group: mac_rx_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
+     1   11.12                           mprj_io[12] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[12] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15    7.14 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_1_1_1_phy_rx_clk (net)
+                  0.07    0.00    7.14 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.30 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_3_0_phy_rx_clk (net)
+                  0.06    0.00    7.30 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.17    0.24    7.53 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.10                           mprj/u_mac_wrap/clknet_3_6_0_phy_rx_clk (net)
+                  0.17    0.00    7.54 ^ mprj/u_mac_wrap/clkbuf_leaf_9_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    7.70 ^ mprj/u_mac_wrap/clkbuf_leaf_9_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     3    0.01                           mprj/u_mac_wrap/clknet_leaf_9_phy_rx_clk (net)
+                  0.04    0.00    7.70 ^ mprj/u_mac_wrap/_09129_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.27    0.50    8.21 ^ mprj/u_mac_wrap/_09129_/Q (sky130_fd_sc_hd__dfrtp_1)
+     8    0.03                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[2] (net)
+                  0.27    0.00    8.21 ^ mprj/u_mac_wrap/fanout447/A (sky130_fd_sc_hd__buf_2)
+                  0.20    0.30    8.50 ^ mprj/u_mac_wrap/fanout447/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_mac_wrap/net447 (net)
+                  0.20    0.00    8.50 ^ mprj/u_mac_wrap/_04316_/B (sky130_fd_sc_hd__and4_2)
+                  0.15    0.35    8.86 ^ mprj/u_mac_wrap/_04316_/X (sky130_fd_sc_hd__and4_2)
+     6    0.02                           mprj/u_mac_wrap/_01640_ (net)
+                  0.15    0.00    8.86 ^ mprj/u_mac_wrap/_04318_/D (sky130_fd_sc_hd__and4_1)
+                  0.19    0.33    9.19 ^ mprj/u_mac_wrap/_04318_/X (sky130_fd_sc_hd__and4_1)
+     5    0.02                           mprj/u_mac_wrap/_01642_ (net)
+                  0.19    0.00    9.19 ^ mprj/u_mac_wrap/_04320_/D (sky130_fd_sc_hd__and4_1)
+                  0.16    0.31    9.50 ^ mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__and4_1)
+     4    0.01                           mprj/u_mac_wrap/_01644_ (net)
+                  0.16    0.00    9.50 ^ mprj/u_mac_wrap/_04321_/C (sky130_fd_sc_hd__and3_1)
+                  0.19    0.29    9.79 ^ mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__and3_1)
+     4    0.02                           mprj/u_mac_wrap/_01645_ (net)
+                  0.19    0.00    9.79 ^ mprj/u_mac_wrap/_04327_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.14    0.31   10.10 ^ mprj/u_mac_wrap/_04327_/X (sky130_fd_sc_hd__o2111a_1)
+     2    0.01                           mprj/u_mac_wrap/_01651_ (net)
+                  0.14    0.00   10.10 ^ mprj/u_mac_wrap/_04341_/C1 (sky130_fd_sc_hd__o211ai_1)
+                  0.10    0.13   10.23 v mprj/u_mac_wrap/_04341_/Y (sky130_fd_sc_hd__o211ai_1)
+     2    0.01                           mprj/u_mac_wrap/_01665_ (net)
+                  0.10    0.00   10.23 v mprj/u_mac_wrap/_04347_/D1 (sky130_fd_sc_hd__a2111o_1)
+                  0.06    0.31   10.54 v mprj/u_mac_wrap/_04347_/X (sky130_fd_sc_hd__a2111o_1)
+     1    0.00                           mprj/u_mac_wrap/_01671_ (net)
+                  0.06    0.00   10.54 v mprj/u_mac_wrap/_04355_/A (sky130_fd_sc_hd__nor3_1)
+                  0.34    0.35   10.89 ^ mprj/u_mac_wrap/_04355_/Y (sky130_fd_sc_hd__nor3_1)
+     2    0.01                           mprj/u_mac_wrap/_01679_ (net)
+                  0.34    0.00   10.89 ^ mprj/u_mac_wrap/_04357_/B (sky130_fd_sc_hd__and2_1)
+                  0.15    0.27   11.16 ^ mprj/u_mac_wrap/_04357_/X (sky130_fd_sc_hd__and2_1)
+     4    0.01                           mprj/u_mac_wrap/_01681_ (net)
+                  0.15    0.00   11.16 ^ mprj/u_mac_wrap/_04388_/A_N (sky130_fd_sc_hd__nand3b_1)
+                  0.14    0.19   11.34 ^ mprj/u_mac_wrap/_04388_/Y (sky130_fd_sc_hd__nand3b_1)
+     3    0.01                           mprj/u_mac_wrap/_01712_ (net)
+                  0.14    0.00   11.34 ^ mprj/u_mac_wrap/_04634_/A_N (sky130_fd_sc_hd__and2b_1)
+                  0.10    0.27   11.62 v mprj/u_mac_wrap/_04634_/X (sky130_fd_sc_hd__and2b_1)
+     5    0.02                           mprj/u_mac_wrap/_01928_ (net)
+                  0.10    0.00   11.62 v mprj/u_mac_wrap/_04645_/A (sky130_fd_sc_hd__or2_1)
+                  0.09    0.31   11.93 v mprj/u_mac_wrap/_04645_/X (sky130_fd_sc_hd__or2_1)
+     3    0.01                           mprj/u_mac_wrap/_01939_ (net)
+                  0.10    0.00   11.93 v mprj/u_mac_wrap/_06105_/B (sky130_fd_sc_hd__or3b_2)
+                  0.13    0.55   12.48 v mprj/u_mac_wrap/_06105_/X (sky130_fd_sc_hd__or3b_2)
+     4    0.02                           mprj/u_mac_wrap/_02877_ (net)
+                  0.13    0.00   12.48 v mprj/u_mac_wrap/fanout235/A (sky130_fd_sc_hd__buf_2)
+                  0.08    0.22   12.70 v mprj/u_mac_wrap/fanout235/X (sky130_fd_sc_hd__buf_2)
+     7    0.03                           mprj/u_mac_wrap/net235 (net)
+                  0.08    0.00   12.70 v mprj/u_mac_wrap/_06107_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.33   13.03 v mprj/u_mac_wrap/_06107_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00522_ (net)
+                  0.05    0.00   13.03 v mprj/u_mac_wrap/_09040_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 13.03   data arrival time
+
+                         40.00   40.00   clock mac_rx_clk (rise edge)
+                          0.00   40.00   clock source latency
+                  1.00    0.00   40.00 ^ mprj_io[12] (inout)
+     1    6.12                           mprj_io[12] (net)
+                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[12] (net)
+                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00   41.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10   41.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00   41.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21   41.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12   41.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00   41.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81   42.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21   42.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42   43.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01   43.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15   43.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00   43.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32   43.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00   43.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28   44.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00   44.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21   44.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01   44.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16   44.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00   44.41 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   44.52 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00   44.52 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15   44.67 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00   44.67 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15   44.82 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
+                  0.06    0.00   44.82 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.26    0.28   45.10 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
+                  0.26    0.01   45.10 ^ mprj/u_mac_wrap/clkbuf_leaf_26_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.19   45.30 ^ mprj/u_mac_wrap/clkbuf_leaf_26_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_mac_wrap/clknet_leaf_26_phy_rx_clk (net)
+                  0.05    0.00   45.30 ^ mprj/u_mac_wrap/_09040_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   45.05   clock uncertainty
+                          2.46   47.51   clock reconvergence pessimism
+                         -0.12   47.39   library setup time
+                                 47.39   data required time
+-----------------------------------------------------------------------------
+                                 47.39   data required time
+                                -13.03   data arrival time
+-----------------------------------------------------------------------------
+                                 34.36   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09129_
+            (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Endpoint: mprj/u_mac_wrap/_09043_
+          (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Path Group: mac_rx_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
+     1   11.12                           mprj_io[12] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[12] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15    7.14 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_1_1_1_phy_rx_clk (net)
+                  0.07    0.00    7.14 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.30 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_3_0_phy_rx_clk (net)
+                  0.06    0.00    7.30 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.17    0.24    7.53 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.10                           mprj/u_mac_wrap/clknet_3_6_0_phy_rx_clk (net)
+                  0.17    0.00    7.54 ^ mprj/u_mac_wrap/clkbuf_leaf_9_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    7.70 ^ mprj/u_mac_wrap/clkbuf_leaf_9_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     3    0.01                           mprj/u_mac_wrap/clknet_leaf_9_phy_rx_clk (net)
+                  0.04    0.00    7.70 ^ mprj/u_mac_wrap/_09129_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.27    0.50    8.21 ^ mprj/u_mac_wrap/_09129_/Q (sky130_fd_sc_hd__dfrtp_1)
+     8    0.03                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[2] (net)
+                  0.27    0.00    8.21 ^ mprj/u_mac_wrap/fanout447/A (sky130_fd_sc_hd__buf_2)
+                  0.20    0.30    8.50 ^ mprj/u_mac_wrap/fanout447/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_mac_wrap/net447 (net)
+                  0.20    0.00    8.50 ^ mprj/u_mac_wrap/_04316_/B (sky130_fd_sc_hd__and4_2)
+                  0.15    0.35    8.86 ^ mprj/u_mac_wrap/_04316_/X (sky130_fd_sc_hd__and4_2)
+     6    0.02                           mprj/u_mac_wrap/_01640_ (net)
+                  0.15    0.00    8.86 ^ mprj/u_mac_wrap/_04318_/D (sky130_fd_sc_hd__and4_1)
+                  0.19    0.33    9.19 ^ mprj/u_mac_wrap/_04318_/X (sky130_fd_sc_hd__and4_1)
+     5    0.02                           mprj/u_mac_wrap/_01642_ (net)
+                  0.19    0.00    9.19 ^ mprj/u_mac_wrap/_04320_/D (sky130_fd_sc_hd__and4_1)
+                  0.16    0.31    9.50 ^ mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__and4_1)
+     4    0.01                           mprj/u_mac_wrap/_01644_ (net)
+                  0.16    0.00    9.50 ^ mprj/u_mac_wrap/_04321_/C (sky130_fd_sc_hd__and3_1)
+                  0.19    0.29    9.79 ^ mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__and3_1)
+     4    0.02                           mprj/u_mac_wrap/_01645_ (net)
+                  0.19    0.00    9.79 ^ mprj/u_mac_wrap/_04327_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.14    0.31   10.10 ^ mprj/u_mac_wrap/_04327_/X (sky130_fd_sc_hd__o2111a_1)
+     2    0.01                           mprj/u_mac_wrap/_01651_ (net)
+                  0.14    0.00   10.10 ^ mprj/u_mac_wrap/_04341_/C1 (sky130_fd_sc_hd__o211ai_1)
+                  0.10    0.13   10.23 v mprj/u_mac_wrap/_04341_/Y (sky130_fd_sc_hd__o211ai_1)
+     2    0.01                           mprj/u_mac_wrap/_01665_ (net)
+                  0.10    0.00   10.23 v mprj/u_mac_wrap/_04347_/D1 (sky130_fd_sc_hd__a2111o_1)
+                  0.06    0.31   10.54 v mprj/u_mac_wrap/_04347_/X (sky130_fd_sc_hd__a2111o_1)
+     1    0.00                           mprj/u_mac_wrap/_01671_ (net)
+                  0.06    0.00   10.54 v mprj/u_mac_wrap/_04355_/A (sky130_fd_sc_hd__nor3_1)
+                  0.34    0.35   10.89 ^ mprj/u_mac_wrap/_04355_/Y (sky130_fd_sc_hd__nor3_1)
+     2    0.01                           mprj/u_mac_wrap/_01679_ (net)
+                  0.34    0.00   10.89 ^ mprj/u_mac_wrap/_04357_/B (sky130_fd_sc_hd__and2_1)
+                  0.15    0.27   11.16 ^ mprj/u_mac_wrap/_04357_/X (sky130_fd_sc_hd__and2_1)
+     4    0.01                           mprj/u_mac_wrap/_01681_ (net)
+                  0.15    0.00   11.16 ^ mprj/u_mac_wrap/_04388_/A_N (sky130_fd_sc_hd__nand3b_1)
+                  0.14    0.19   11.34 ^ mprj/u_mac_wrap/_04388_/Y (sky130_fd_sc_hd__nand3b_1)
+     3    0.01                           mprj/u_mac_wrap/_01712_ (net)
+                  0.14    0.00   11.34 ^ mprj/u_mac_wrap/_04634_/A_N (sky130_fd_sc_hd__and2b_1)
+                  0.10    0.27   11.62 v mprj/u_mac_wrap/_04634_/X (sky130_fd_sc_hd__and2b_1)
+     5    0.02                           mprj/u_mac_wrap/_01928_ (net)
+                  0.10    0.00   11.62 v mprj/u_mac_wrap/_04645_/A (sky130_fd_sc_hd__or2_1)
+                  0.09    0.31   11.93 v mprj/u_mac_wrap/_04645_/X (sky130_fd_sc_hd__or2_1)
+     3    0.01                           mprj/u_mac_wrap/_01939_ (net)
+                  0.10    0.00   11.93 v mprj/u_mac_wrap/_06105_/B (sky130_fd_sc_hd__or3b_2)
+                  0.13    0.55   12.48 v mprj/u_mac_wrap/_06105_/X (sky130_fd_sc_hd__or3b_2)
+     4    0.02                           mprj/u_mac_wrap/_02877_ (net)
+                  0.13    0.00   12.48 v mprj/u_mac_wrap/fanout235/A (sky130_fd_sc_hd__buf_2)
+                  0.08    0.22   12.70 v mprj/u_mac_wrap/fanout235/X (sky130_fd_sc_hd__buf_2)
+     7    0.03                           mprj/u_mac_wrap/net235 (net)
+                  0.08    0.00   12.70 v mprj/u_mac_wrap/_06110_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.33   13.03 v mprj/u_mac_wrap/_06110_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00525_ (net)
+                  0.05    0.00   13.03 v mprj/u_mac_wrap/_09043_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 13.03   data arrival time
+
+                         40.00   40.00   clock mac_rx_clk (rise edge)
+                          0.00   40.00   clock source latency
+                  1.00    0.00   40.00 ^ mprj_io[12] (inout)
+     1    6.12                           mprj_io[12] (net)
+                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[12] (net)
+                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00   41.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10   41.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00   41.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21   41.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12   41.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00   41.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81   42.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21   42.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42   43.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01   43.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15   43.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00   43.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32   43.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00   43.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28   44.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00   44.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21   44.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01   44.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16   44.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00   44.41 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   44.52 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00   44.52 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15   44.67 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00   44.67 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15   44.82 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
+                  0.06    0.00   44.82 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.26    0.28   45.10 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
+                  0.26    0.01   45.10 ^ mprj/u_mac_wrap/clkbuf_leaf_25_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20   45.30 ^ mprj/u_mac_wrap/clkbuf_leaf_25_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_mac_wrap/clknet_leaf_25_phy_rx_clk (net)
+                  0.06    0.00   45.30 ^ mprj/u_mac_wrap/_09043_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   45.05   clock uncertainty
+                          2.46   47.51   clock reconvergence pessimism
+                         -0.12   47.40   library setup time
+                                 47.40   data required time
+-----------------------------------------------------------------------------
+                                 47.40   data required time
+                                -13.03   data arrival time
+-----------------------------------------------------------------------------
+                                 34.37   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09129_
+            (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Endpoint: mprj/u_mac_wrap/_09056_
+          (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Path Group: mac_rx_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
+     1   11.12                           mprj_io[12] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[12] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15    7.14 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_1_1_1_phy_rx_clk (net)
+                  0.07    0.00    7.14 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.30 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_3_0_phy_rx_clk (net)
+                  0.06    0.00    7.30 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.17    0.24    7.53 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.10                           mprj/u_mac_wrap/clknet_3_6_0_phy_rx_clk (net)
+                  0.17    0.00    7.54 ^ mprj/u_mac_wrap/clkbuf_leaf_9_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    7.70 ^ mprj/u_mac_wrap/clkbuf_leaf_9_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     3    0.01                           mprj/u_mac_wrap/clknet_leaf_9_phy_rx_clk (net)
+                  0.04    0.00    7.70 ^ mprj/u_mac_wrap/_09129_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.27    0.50    8.21 ^ mprj/u_mac_wrap/_09129_/Q (sky130_fd_sc_hd__dfrtp_1)
+     8    0.03                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[2] (net)
+                  0.27    0.00    8.21 ^ mprj/u_mac_wrap/fanout447/A (sky130_fd_sc_hd__buf_2)
+                  0.20    0.30    8.50 ^ mprj/u_mac_wrap/fanout447/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_mac_wrap/net447 (net)
+                  0.20    0.00    8.50 ^ mprj/u_mac_wrap/_04316_/B (sky130_fd_sc_hd__and4_2)
+                  0.15    0.35    8.86 ^ mprj/u_mac_wrap/_04316_/X (sky130_fd_sc_hd__and4_2)
+     6    0.02                           mprj/u_mac_wrap/_01640_ (net)
+                  0.15    0.00    8.86 ^ mprj/u_mac_wrap/_04318_/D (sky130_fd_sc_hd__and4_1)
+                  0.19    0.33    9.19 ^ mprj/u_mac_wrap/_04318_/X (sky130_fd_sc_hd__and4_1)
+     5    0.02                           mprj/u_mac_wrap/_01642_ (net)
+                  0.19    0.00    9.19 ^ mprj/u_mac_wrap/_04320_/D (sky130_fd_sc_hd__and4_1)
+                  0.16    0.31    9.50 ^ mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__and4_1)
+     4    0.01                           mprj/u_mac_wrap/_01644_ (net)
+                  0.16    0.00    9.50 ^ mprj/u_mac_wrap/_04321_/C (sky130_fd_sc_hd__and3_1)
+                  0.19    0.29    9.79 ^ mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__and3_1)
+     4    0.02                           mprj/u_mac_wrap/_01645_ (net)
+                  0.19    0.00    9.79 ^ mprj/u_mac_wrap/_04327_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.14    0.31   10.10 ^ mprj/u_mac_wrap/_04327_/X (sky130_fd_sc_hd__o2111a_1)
+     2    0.01                           mprj/u_mac_wrap/_01651_ (net)
+                  0.14    0.00   10.10 ^ mprj/u_mac_wrap/_04341_/C1 (sky130_fd_sc_hd__o211ai_1)
+                  0.10    0.13   10.23 v mprj/u_mac_wrap/_04341_/Y (sky130_fd_sc_hd__o211ai_1)
+     2    0.01                           mprj/u_mac_wrap/_01665_ (net)
+                  0.10    0.00   10.23 v mprj/u_mac_wrap/_04347_/D1 (sky130_fd_sc_hd__a2111o_1)
+                  0.06    0.31   10.54 v mprj/u_mac_wrap/_04347_/X (sky130_fd_sc_hd__a2111o_1)
+     1    0.00                           mprj/u_mac_wrap/_01671_ (net)
+                  0.06    0.00   10.54 v mprj/u_mac_wrap/_04355_/A (sky130_fd_sc_hd__nor3_1)
+                  0.34    0.35   10.89 ^ mprj/u_mac_wrap/_04355_/Y (sky130_fd_sc_hd__nor3_1)
+     2    0.01                           mprj/u_mac_wrap/_01679_ (net)
+                  0.34    0.00   10.89 ^ mprj/u_mac_wrap/_04357_/B (sky130_fd_sc_hd__and2_1)
+                  0.15    0.27   11.16 ^ mprj/u_mac_wrap/_04357_/X (sky130_fd_sc_hd__and2_1)
+     4    0.01                           mprj/u_mac_wrap/_01681_ (net)
+                  0.15    0.00   11.16 ^ mprj/u_mac_wrap/_04388_/A_N (sky130_fd_sc_hd__nand3b_1)
+                  0.14    0.19   11.34 ^ mprj/u_mac_wrap/_04388_/Y (sky130_fd_sc_hd__nand3b_1)
+     3    0.01                           mprj/u_mac_wrap/_01712_ (net)
+                  0.14    0.00   11.34 ^ mprj/u_mac_wrap/_04634_/A_N (sky130_fd_sc_hd__and2b_1)
+                  0.10    0.27   11.62 v mprj/u_mac_wrap/_04634_/X (sky130_fd_sc_hd__and2b_1)
+     5    0.02                           mprj/u_mac_wrap/_01928_ (net)
+                  0.10    0.00   11.62 v mprj/u_mac_wrap/_04645_/A (sky130_fd_sc_hd__or2_1)
+                  0.09    0.31   11.93 v mprj/u_mac_wrap/_04645_/X (sky130_fd_sc_hd__or2_1)
+     3    0.01                           mprj/u_mac_wrap/_01939_ (net)
+                  0.10    0.00   11.93 v mprj/u_mac_wrap/_06105_/B (sky130_fd_sc_hd__or3b_2)
+                  0.13    0.55   12.48 v mprj/u_mac_wrap/_06105_/X (sky130_fd_sc_hd__or3b_2)
+     4    0.02                           mprj/u_mac_wrap/_02877_ (net)
+                  0.13    0.00   12.48 v mprj/u_mac_wrap/fanout235/A (sky130_fd_sc_hd__buf_2)
+                  0.08    0.22   12.70 v mprj/u_mac_wrap/fanout235/X (sky130_fd_sc_hd__buf_2)
+     7    0.03                           mprj/u_mac_wrap/net235 (net)
+                  0.08    0.00   12.70 v mprj/u_mac_wrap/_06123_/S (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.33   13.03 v mprj/u_mac_wrap/_06123_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00538_ (net)
+                  0.06    0.00   13.03 v mprj/u_mac_wrap/_09056_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 13.03   data arrival time
+
+                         40.00   40.00   clock mac_rx_clk (rise edge)
+                          0.00   40.00   clock source latency
+                  1.00    0.00   40.00 ^ mprj_io[12] (inout)
+     1    6.12                           mprj_io[12] (net)
+                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[12] (net)
+                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00   41.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10   41.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00   41.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21   41.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12   41.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00   41.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81   42.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21   42.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42   43.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01   43.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15   43.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00   43.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32   43.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00   43.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28   44.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00   44.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21   44.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01   44.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16   44.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00   44.41 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   44.52 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00   44.52 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15   44.67 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00   44.67 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15   44.82 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
+                  0.06    0.00   44.82 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.26    0.28   45.10 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
+                  0.26    0.01   45.10 ^ mprj/u_mac_wrap/clkbuf_leaf_27_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20   45.30 ^ mprj/u_mac_wrap/clkbuf_leaf_27_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    12    0.04                           mprj/u_mac_wrap/clknet_leaf_27_phy_rx_clk (net)
+                  0.06    0.00   45.30 ^ mprj/u_mac_wrap/_09056_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   45.05   clock uncertainty
+                          2.46   47.52   clock reconvergence pessimism
+                         -0.12   47.40   library setup time
+                                 47.40   data required time
+-----------------------------------------------------------------------------
+                                 47.40   data required time
+                                -13.03   data arrival time
+-----------------------------------------------------------------------------
+                                 34.37   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09129_
+            (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Endpoint: mprj/u_mac_wrap/_09048_
+          (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Path Group: mac_rx_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
+     1   11.12                           mprj_io[12] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[12] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15    7.14 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_1_1_1_phy_rx_clk (net)
+                  0.07    0.00    7.14 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.30 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_3_0_phy_rx_clk (net)
+                  0.06    0.00    7.30 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.17    0.24    7.53 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.10                           mprj/u_mac_wrap/clknet_3_6_0_phy_rx_clk (net)
+                  0.17    0.00    7.54 ^ mprj/u_mac_wrap/clkbuf_leaf_9_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    7.70 ^ mprj/u_mac_wrap/clkbuf_leaf_9_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     3    0.01                           mprj/u_mac_wrap/clknet_leaf_9_phy_rx_clk (net)
+                  0.04    0.00    7.70 ^ mprj/u_mac_wrap/_09129_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.27    0.50    8.21 ^ mprj/u_mac_wrap/_09129_/Q (sky130_fd_sc_hd__dfrtp_1)
+     8    0.03                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[2] (net)
+                  0.27    0.00    8.21 ^ mprj/u_mac_wrap/fanout447/A (sky130_fd_sc_hd__buf_2)
+                  0.20    0.30    8.50 ^ mprj/u_mac_wrap/fanout447/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_mac_wrap/net447 (net)
+                  0.20    0.00    8.50 ^ mprj/u_mac_wrap/_04316_/B (sky130_fd_sc_hd__and4_2)
+                  0.15    0.35    8.86 ^ mprj/u_mac_wrap/_04316_/X (sky130_fd_sc_hd__and4_2)
+     6    0.02                           mprj/u_mac_wrap/_01640_ (net)
+                  0.15    0.00    8.86 ^ mprj/u_mac_wrap/_04318_/D (sky130_fd_sc_hd__and4_1)
+                  0.19    0.33    9.19 ^ mprj/u_mac_wrap/_04318_/X (sky130_fd_sc_hd__and4_1)
+     5    0.02                           mprj/u_mac_wrap/_01642_ (net)
+                  0.19    0.00    9.19 ^ mprj/u_mac_wrap/_04320_/D (sky130_fd_sc_hd__and4_1)
+                  0.16    0.31    9.50 ^ mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__and4_1)
+     4    0.01                           mprj/u_mac_wrap/_01644_ (net)
+                  0.16    0.00    9.50 ^ mprj/u_mac_wrap/_04321_/C (sky130_fd_sc_hd__and3_1)
+                  0.19    0.29    9.79 ^ mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__and3_1)
+     4    0.02                           mprj/u_mac_wrap/_01645_ (net)
+                  0.19    0.00    9.79 ^ mprj/u_mac_wrap/_04327_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.14    0.31   10.10 ^ mprj/u_mac_wrap/_04327_/X (sky130_fd_sc_hd__o2111a_1)
+     2    0.01                           mprj/u_mac_wrap/_01651_ (net)
+                  0.14    0.00   10.10 ^ mprj/u_mac_wrap/_04341_/C1 (sky130_fd_sc_hd__o211ai_1)
+                  0.10    0.13   10.23 v mprj/u_mac_wrap/_04341_/Y (sky130_fd_sc_hd__o211ai_1)
+     2    0.01                           mprj/u_mac_wrap/_01665_ (net)
+                  0.10    0.00   10.23 v mprj/u_mac_wrap/_04347_/D1 (sky130_fd_sc_hd__a2111o_1)
+                  0.06    0.31   10.54 v mprj/u_mac_wrap/_04347_/X (sky130_fd_sc_hd__a2111o_1)
+     1    0.00                           mprj/u_mac_wrap/_01671_ (net)
+                  0.06    0.00   10.54 v mprj/u_mac_wrap/_04355_/A (sky130_fd_sc_hd__nor3_1)
+                  0.34    0.35   10.89 ^ mprj/u_mac_wrap/_04355_/Y (sky130_fd_sc_hd__nor3_1)
+     2    0.01                           mprj/u_mac_wrap/_01679_ (net)
+                  0.34    0.00   10.89 ^ mprj/u_mac_wrap/_04357_/B (sky130_fd_sc_hd__and2_1)
+                  0.15    0.27   11.16 ^ mprj/u_mac_wrap/_04357_/X (sky130_fd_sc_hd__and2_1)
+     4    0.01                           mprj/u_mac_wrap/_01681_ (net)
+                  0.15    0.00   11.16 ^ mprj/u_mac_wrap/_04388_/A_N (sky130_fd_sc_hd__nand3b_1)
+                  0.14    0.19   11.34 ^ mprj/u_mac_wrap/_04388_/Y (sky130_fd_sc_hd__nand3b_1)
+     3    0.01                           mprj/u_mac_wrap/_01712_ (net)
+                  0.14    0.00   11.34 ^ mprj/u_mac_wrap/_04634_/A_N (sky130_fd_sc_hd__and2b_1)
+                  0.10    0.27   11.62 v mprj/u_mac_wrap/_04634_/X (sky130_fd_sc_hd__and2b_1)
+     5    0.02                           mprj/u_mac_wrap/_01928_ (net)
+                  0.10    0.00   11.62 v mprj/u_mac_wrap/_04645_/A (sky130_fd_sc_hd__or2_1)
+                  0.09    0.31   11.93 v mprj/u_mac_wrap/_04645_/X (sky130_fd_sc_hd__or2_1)
+     3    0.01                           mprj/u_mac_wrap/_01939_ (net)
+                  0.10    0.00   11.93 v mprj/u_mac_wrap/_06105_/B (sky130_fd_sc_hd__or3b_2)
+                  0.13    0.55   12.48 v mprj/u_mac_wrap/_06105_/X (sky130_fd_sc_hd__or3b_2)
+     4    0.02                           mprj/u_mac_wrap/_02877_ (net)
+                  0.13    0.00   12.48 v mprj/u_mac_wrap/fanout235/A (sky130_fd_sc_hd__buf_2)
+                  0.08    0.22   12.70 v mprj/u_mac_wrap/fanout235/X (sky130_fd_sc_hd__buf_2)
+     7    0.03                           mprj/u_mac_wrap/net235 (net)
+                  0.08    0.00   12.70 v mprj/u_mac_wrap/_06115_/S (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.33   13.03 v mprj/u_mac_wrap/_06115_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00530_ (net)
+                  0.06    0.00   13.03 v mprj/u_mac_wrap/_09048_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 13.03   data arrival time
+
+                         40.00   40.00   clock mac_rx_clk (rise edge)
+                          0.00   40.00   clock source latency
+                  1.00    0.00   40.00 ^ mprj_io[12] (inout)
+     1    6.12                           mprj_io[12] (net)
+                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[12] (net)
+                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00   41.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10   41.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00   41.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21   41.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12   41.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00   41.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81   42.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21   42.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42   43.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01   43.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15   43.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00   43.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32   43.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00   43.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28   44.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00   44.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21   44.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01   44.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16   44.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00   44.41 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   44.52 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00   44.52 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15   44.67 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00   44.67 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15   44.82 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
+                  0.06    0.00   44.82 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.26    0.28   45.10 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
+                  0.26    0.01   45.10 ^ mprj/u_mac_wrap/clkbuf_leaf_27_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20   45.30 ^ mprj/u_mac_wrap/clkbuf_leaf_27_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    12    0.04                           mprj/u_mac_wrap/clknet_leaf_27_phy_rx_clk (net)
+                  0.06    0.00   45.30 ^ mprj/u_mac_wrap/_09048_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   45.05   clock uncertainty
+                          2.46   47.52   clock reconvergence pessimism
+                         -0.12   47.40   library setup time
+                                 47.40   data required time
+-----------------------------------------------------------------------------
+                                 47.40   data required time
+                                -13.03   data arrival time
+-----------------------------------------------------------------------------
+                                 34.37   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09129_
+            (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Endpoint: mprj/u_mac_wrap/_09045_
+          (rising edge-triggered flip-flop clocked by mac_rx_clk)
+Path Group: mac_rx_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_rx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[12] (inout)
+     1   11.12                           mprj_io[12] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[12] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13    3.89 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00    3.89 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.87    4.77 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.22    4.99 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.46    5.45 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01    5.45 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.19    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00    5.64 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.17    5.81 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00    5.81 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.35    6.16 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00    6.16 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.30    6.47 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00    6.47 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.23    6.70 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01    6.71 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    6.87 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00    6.87 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    6.99 ^ mprj/u_mac_wrap/clkbuf_1_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_1_0_phy_rx_clk (net)
+                  0.03    0.00    6.99 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15    7.14 ^ mprj/u_mac_wrap/clkbuf_1_1_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_mac_wrap/clknet_1_1_1_phy_rx_clk (net)
+                  0.07    0.00    7.14 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15    7.30 ^ mprj/u_mac_wrap/clkbuf_2_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_3_0_phy_rx_clk (net)
+                  0.06    0.00    7.30 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.17    0.24    7.53 ^ mprj/u_mac_wrap/clkbuf_3_6_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    14    0.10                           mprj/u_mac_wrap/clknet_3_6_0_phy_rx_clk (net)
+                  0.17    0.00    7.54 ^ mprj/u_mac_wrap/clkbuf_leaf_9_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    7.70 ^ mprj/u_mac_wrap/clkbuf_leaf_9_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     3    0.01                           mprj/u_mac_wrap/clknet_leaf_9_phy_rx_clk (net)
+                  0.04    0.00    7.70 ^ mprj/u_mac_wrap/_09129_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.27    0.50    8.21 ^ mprj/u_mac_wrap/_09129_/Q (sky130_fd_sc_hd__dfrtp_1)
+     8    0.03                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_rx_top.u_rx_fsm.rcv_byte_count[2] (net)
+                  0.27    0.00    8.21 ^ mprj/u_mac_wrap/fanout447/A (sky130_fd_sc_hd__buf_2)
+                  0.20    0.30    8.50 ^ mprj/u_mac_wrap/fanout447/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_mac_wrap/net447 (net)
+                  0.20    0.00    8.50 ^ mprj/u_mac_wrap/_04316_/B (sky130_fd_sc_hd__and4_2)
+                  0.15    0.35    8.86 ^ mprj/u_mac_wrap/_04316_/X (sky130_fd_sc_hd__and4_2)
+     6    0.02                           mprj/u_mac_wrap/_01640_ (net)
+                  0.15    0.00    8.86 ^ mprj/u_mac_wrap/_04318_/D (sky130_fd_sc_hd__and4_1)
+                  0.19    0.33    9.19 ^ mprj/u_mac_wrap/_04318_/X (sky130_fd_sc_hd__and4_1)
+     5    0.02                           mprj/u_mac_wrap/_01642_ (net)
+                  0.19    0.00    9.19 ^ mprj/u_mac_wrap/_04320_/D (sky130_fd_sc_hd__and4_1)
+                  0.16    0.31    9.50 ^ mprj/u_mac_wrap/_04320_/X (sky130_fd_sc_hd__and4_1)
+     4    0.01                           mprj/u_mac_wrap/_01644_ (net)
+                  0.16    0.00    9.50 ^ mprj/u_mac_wrap/_04321_/C (sky130_fd_sc_hd__and3_1)
+                  0.19    0.29    9.79 ^ mprj/u_mac_wrap/_04321_/X (sky130_fd_sc_hd__and3_1)
+     4    0.02                           mprj/u_mac_wrap/_01645_ (net)
+                  0.19    0.00    9.79 ^ mprj/u_mac_wrap/_04327_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.14    0.31   10.10 ^ mprj/u_mac_wrap/_04327_/X (sky130_fd_sc_hd__o2111a_1)
+     2    0.01                           mprj/u_mac_wrap/_01651_ (net)
+                  0.14    0.00   10.10 ^ mprj/u_mac_wrap/_04341_/C1 (sky130_fd_sc_hd__o211ai_1)
+                  0.10    0.13   10.23 v mprj/u_mac_wrap/_04341_/Y (sky130_fd_sc_hd__o211ai_1)
+     2    0.01                           mprj/u_mac_wrap/_01665_ (net)
+                  0.10    0.00   10.23 v mprj/u_mac_wrap/_04347_/D1 (sky130_fd_sc_hd__a2111o_1)
+                  0.06    0.31   10.54 v mprj/u_mac_wrap/_04347_/X (sky130_fd_sc_hd__a2111o_1)
+     1    0.00                           mprj/u_mac_wrap/_01671_ (net)
+                  0.06    0.00   10.54 v mprj/u_mac_wrap/_04355_/A (sky130_fd_sc_hd__nor3_1)
+                  0.34    0.35   10.89 ^ mprj/u_mac_wrap/_04355_/Y (sky130_fd_sc_hd__nor3_1)
+     2    0.01                           mprj/u_mac_wrap/_01679_ (net)
+                  0.34    0.00   10.89 ^ mprj/u_mac_wrap/_04357_/B (sky130_fd_sc_hd__and2_1)
+                  0.15    0.27   11.16 ^ mprj/u_mac_wrap/_04357_/X (sky130_fd_sc_hd__and2_1)
+     4    0.01                           mprj/u_mac_wrap/_01681_ (net)
+                  0.15    0.00   11.16 ^ mprj/u_mac_wrap/_04388_/A_N (sky130_fd_sc_hd__nand3b_1)
+                  0.14    0.19   11.34 ^ mprj/u_mac_wrap/_04388_/Y (sky130_fd_sc_hd__nand3b_1)
+     3    0.01                           mprj/u_mac_wrap/_01712_ (net)
+                  0.14    0.00   11.34 ^ mprj/u_mac_wrap/_04634_/A_N (sky130_fd_sc_hd__and2b_1)
+                  0.10    0.27   11.62 v mprj/u_mac_wrap/_04634_/X (sky130_fd_sc_hd__and2b_1)
+     5    0.02                           mprj/u_mac_wrap/_01928_ (net)
+                  0.10    0.00   11.62 v mprj/u_mac_wrap/_04645_/A (sky130_fd_sc_hd__or2_1)
+                  0.09    0.31   11.93 v mprj/u_mac_wrap/_04645_/X (sky130_fd_sc_hd__or2_1)
+     3    0.01                           mprj/u_mac_wrap/_01939_ (net)
+                  0.10    0.00   11.93 v mprj/u_mac_wrap/_06105_/B (sky130_fd_sc_hd__or3b_2)
+                  0.13    0.55   12.48 v mprj/u_mac_wrap/_06105_/X (sky130_fd_sc_hd__or3b_2)
+     4    0.02                           mprj/u_mac_wrap/_02877_ (net)
+                  0.13    0.00   12.48 v mprj/u_mac_wrap/fanout235/A (sky130_fd_sc_hd__buf_2)
+                  0.08    0.22   12.70 v mprj/u_mac_wrap/fanout235/X (sky130_fd_sc_hd__buf_2)
+     7    0.03                           mprj/u_mac_wrap/net235 (net)
+                  0.08    0.00   12.70 v mprj/u_mac_wrap/_06112_/S (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.33   13.03 v mprj/u_mac_wrap/_06112_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00527_ (net)
+                  0.06    0.00   13.03 v mprj/u_mac_wrap/_09045_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 13.03   data arrival time
+
+                         40.00   40.00   clock mac_rx_clk (rise edge)
+                          0.00   40.00   clock source latency
+                  1.00    0.00   40.00 ^ mprj_io[12] (inout)
+     1    6.12                           mprj_io[12] (net)
+                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[12]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[12] (net)
+                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[12]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[12] (net)
+                  0.07    0.00   41.11 ^ gpio_control_in_1[4]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10   41.21 ^ gpio_control_in_1[4]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1[4]/net3 (net)
+                  0.03    0.00   41.21 ^ gpio_control_in_1[4]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21   41.42 ^ gpio_control_in_1[4]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1[4]/net22 (net)
+                  0.15    0.00   41.42 ^ gpio_control_in_1[4]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11   41.53 ^ gpio_control_in_1[4]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[12] (net)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[8].u_buf/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.12   41.65 ^ mprj/u_rp_east/u_rp[8].u_buf/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_rp_east/net1 (net)
+                  0.12    0.00   41.65 ^ mprj/u_rp_east/wire1/A (sky130_fd_sc_hd__buf_2)
+                  1.26    0.81   42.46 ^ mprj/u_rp_east/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.26                           mprj/ch_out_east[8] (net)
+                  1.32    0.21   42.67 ^ mprj/u_pinmux/input1/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.42   43.09 ^ mprj/u_pinmux/input1/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net1 (net)
+                  0.26    0.01   43.10 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.X0 (net)
+                  0.05    0.00   43.27 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.15   43.43 ^ mprj/u_pinmux/u_clkgen.u_mac_rxclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net76 (net)
+                  0.06    0.00   43.43 ^ mprj/u_pinmux/output76/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.41    0.32   43.75 ^ mprj/u_pinmux/output76/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.03                           mprj/mac_rx_clk (net)
+                  0.41    0.00   43.75 ^ mprj/u_mac_wrap/wire8/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.28   44.04 ^ mprj/u_mac_wrap/wire8/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mac_wrap/net837 (net)
+                  0.19    0.00   44.04 ^ mprj/u_mac_wrap/wire7/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.21   44.25 ^ mprj/u_mac_wrap/wire7/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mac_wrap/net836 (net)
+                  0.13    0.01   44.26 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16   44.41 ^ mprj/u_mac_wrap/clkbuf_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.03                           mprj/u_mac_wrap/clknet_0_phy_rx_clk (net)
+                  0.05    0.00   44.41 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   44.52 ^ mprj/u_mac_wrap/clkbuf_1_0_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mac_wrap/clknet_1_0_0_phy_rx_clk (net)
+                  0.03    0.00   44.52 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.15   44.67 ^ mprj/u_mac_wrap/clkbuf_1_0_1_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           mprj/u_mac_wrap/clknet_1_0_1_phy_rx_clk (net)
+                  0.08    0.00   44.67 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.15   44.82 ^ mprj/u_mac_wrap/clkbuf_2_1_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_2_1_0_phy_rx_clk (net)
+                  0.06    0.00   44.82 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.26    0.28   45.10 ^ mprj/u_mac_wrap/clkbuf_3_3_0_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    22    0.15                           mprj/u_mac_wrap/clknet_3_3_0_phy_rx_clk (net)
+                  0.26    0.01   45.10 ^ mprj/u_mac_wrap/clkbuf_leaf_27_phy_rx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20   45.30 ^ mprj/u_mac_wrap/clkbuf_leaf_27_phy_rx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    12    0.04                           mprj/u_mac_wrap/clknet_leaf_27_phy_rx_clk (net)
+                  0.06    0.00   45.30 ^ mprj/u_mac_wrap/_09045_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   45.05   clock uncertainty
+                          2.46   47.52   clock reconvergence pessimism
+                         -0.11   47.40   library setup time
+                                 47.40   data required time
+-----------------------------------------------------------------------------
+                                 47.40   data required time
+                                -13.03   data arrival time
+-----------------------------------------------------------------------------
+                                 34.37   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08942_
             (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_08920_
+Endpoint: mprj/u_mac_wrap/_08971_
           (rising edge-triggered flip-flop clocked by mac_tx_clk)
 Path Group: mac_tx_clk
 Path Type: max
@@ -140080,74 +159051,101 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.92 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.21    5.13 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    13    0.04                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
-                  0.09    0.00    5.13 ^ mprj/u_mac_wrap/_08892_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.08    0.43    5.56 v mprj/u_mac_wrap/_08892_/Q (sky130_fd_sc_hd__dfrtp_1)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.01 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.26    7.28 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.08                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
+                  0.14    0.00    7.28 ^ mprj/u_mac_wrap/_08942_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.45    7.73 v mprj/u_mac_wrap/_08942_/Q (sky130_fd_sc_hd__dfrtp_1)
      2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
-                  0.08    0.00    5.56 v mprj/u_mac_wrap/_04513_/A (sky130_fd_sc_hd__xnor2_2)
-                  0.23    0.26    5.82 ^ mprj/u_mac_wrap/_04513_/Y (sky130_fd_sc_hd__xnor2_2)
-     3    0.01                           mprj/u_mac_wrap/_01847_ (net)
-                  0.23    0.00    5.82 ^ mprj/u_mac_wrap/_04514_/B (sky130_fd_sc_hd__xor2_2)
-                  0.22    0.26    6.07 ^ mprj/u_mac_wrap/_04514_/X (sky130_fd_sc_hd__xor2_2)
-     4    0.02                           mprj/u_mac_wrap/_01848_ (net)
-                  0.22    0.00    6.07 ^ mprj/u_mac_wrap/_04518_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.37 ^ mprj/u_mac_wrap/_04518_/X (sky130_fd_sc_hd__xor2_1)
+                  0.08    0.00    7.73 v mprj/u_mac_wrap/_04543_/A (sky130_fd_sc_hd__xnor2_2)
+                  0.22    0.25    7.98 ^ mprj/u_mac_wrap/_04543_/Y (sky130_fd_sc_hd__xnor2_2)
      3    0.01                           mprj/u_mac_wrap/_01852_ (net)
-                  0.26    0.00    6.37 ^ mprj/u_mac_wrap/_04520_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.65 ^ mprj/u_mac_wrap/_04520_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01854_ (net)
-                  0.26    0.00    6.65 ^ mprj/u_mac_wrap/_04521_/B (sky130_fd_sc_hd__xor2_1)
-                  0.17    0.23    6.88 ^ mprj/u_mac_wrap/_04521_/X (sky130_fd_sc_hd__xor2_1)
-     2    0.01                           mprj/u_mac_wrap/_01855_ (net)
-                  0.17    0.00    6.88 ^ mprj/u_mac_wrap/_04526_/A2 (sky130_fd_sc_hd__a211o_1)
-                  0.09    0.19    7.07 ^ mprj/u_mac_wrap/_04526_/X (sky130_fd_sc_hd__a211o_1)
-     3    0.01                           mprj/u_mac_wrap/_01860_ (net)
-                  0.09    0.00    7.07 ^ mprj/u_mac_wrap/_04528_/B2 (sky130_fd_sc_hd__a221oi_1)
-                  0.10    0.10    7.16 v mprj/u_mac_wrap/_04528_/Y (sky130_fd_sc_hd__a221oi_1)
-     2    0.01                           mprj/u_mac_wrap/_01862_ (net)
-                  0.10    0.00    7.16 v mprj/u_mac_wrap/_04531_/B (sky130_fd_sc_hd__or4_1)
-                  0.10    0.56    7.72 v mprj/u_mac_wrap/_04531_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01865_ (net)
-                  0.10    0.00    7.72 v mprj/u_mac_wrap/_04554_/B1 (sky130_fd_sc_hd__o2111a_1)
-                  0.15    0.27    7.99 v mprj/u_mac_wrap/_04554_/X (sky130_fd_sc_hd__o2111a_1)
-     6    0.03                           mprj/u_mac_wrap/_01885_ (net)
-                  0.15    0.00    7.99 v mprj/u_mac_wrap/_04592_/A (sky130_fd_sc_hd__nor4b_2)
-                  0.42    0.50    8.49 ^ mprj/u_mac_wrap/_04592_/Y (sky130_fd_sc_hd__nor4b_2)
-     2    0.02                           mprj/u_mac_wrap/_01916_ (net)
-                  0.42    0.00    8.49 ^ mprj/u_mac_wrap/fanout254/A (sky130_fd_sc_hd__buf_4)
-                  0.21    0.33    8.82 ^ mprj/u_mac_wrap/fanout254/X (sky130_fd_sc_hd__buf_4)
-    20    0.07                           mprj/u_mac_wrap/net254 (net)
-                  0.21    0.01    8.83 ^ mprj/u_mac_wrap/_05718_/B (sky130_fd_sc_hd__nor2_1)
-                  0.10    0.14    8.97 v mprj/u_mac_wrap/_05718_/Y (sky130_fd_sc_hd__nor2_1)
-     2    0.02                           mprj/u_mac_wrap/_02580_ (net)
-                  0.10    0.00    8.97 v mprj/u_mac_wrap/fanout247/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.13    0.26    9.23 v mprj/u_mac_wrap/fanout247/X (sky130_fd_sc_hd__clkbuf_4)
+                  0.22    0.00    7.98 ^ mprj/u_mac_wrap/_04544_/B (sky130_fd_sc_hd__xor2_2)
+                  0.25    0.27    8.25 ^ mprj/u_mac_wrap/_04544_/X (sky130_fd_sc_hd__xor2_2)
+     4    0.02                           mprj/u_mac_wrap/_01853_ (net)
+                  0.25    0.00    8.25 ^ mprj/u_mac_wrap/_04548_/B (sky130_fd_sc_hd__xor2_1)
+                  0.28    0.30    8.56 ^ mprj/u_mac_wrap/_04548_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01857_ (net)
+                  0.28    0.00    8.56 ^ mprj/u_mac_wrap/_04550_/B (sky130_fd_sc_hd__xor2_1)
+                  0.26    0.29    8.85 ^ mprj/u_mac_wrap/_04550_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01859_ (net)
+                  0.26    0.00    8.85 ^ mprj/u_mac_wrap/_04551_/B (sky130_fd_sc_hd__xor2_1)
+                  0.17    0.23    9.07 ^ mprj/u_mac_wrap/_04551_/X (sky130_fd_sc_hd__xor2_1)
+     2    0.01                           mprj/u_mac_wrap/_01860_ (net)
+                  0.17    0.00    9.07 ^ mprj/u_mac_wrap/_04556_/A2 (sky130_fd_sc_hd__a211o_1)
+                  0.11    0.21    9.28 ^ mprj/u_mac_wrap/_04556_/X (sky130_fd_sc_hd__a211o_1)
+     3    0.01                           mprj/u_mac_wrap/_01865_ (net)
+                  0.11    0.00    9.28 ^ mprj/u_mac_wrap/_04558_/B2 (sky130_fd_sc_hd__a221oi_2)
+                  0.08    0.08    9.36 v mprj/u_mac_wrap/_04558_/Y (sky130_fd_sc_hd__a221oi_2)
+     2    0.01                           mprj/u_mac_wrap/_01867_ (net)
+                  0.08    0.00    9.36 v mprj/u_mac_wrap/_04561_/B (sky130_fd_sc_hd__or4_1)
+                  0.10    0.55    9.91 v mprj/u_mac_wrap/_04561_/X (sky130_fd_sc_hd__or4_1)
+     2    0.00                           mprj/u_mac_wrap/_01870_ (net)
+                  0.10    0.00    9.91 v mprj/u_mac_wrap/_04583_/B1 (sky130_fd_sc_hd__o2111a_1)
+                  0.11    0.23   10.14 v mprj/u_mac_wrap/_04583_/X (sky130_fd_sc_hd__o2111a_1)
+     4    0.02                           mprj/u_mac_wrap/_01889_ (net)
+                  0.11    0.00   10.14 v mprj/u_mac_wrap/_04618_/A_N (sky130_fd_sc_hd__and4bb_2)
+                  0.18    0.44   10.57 ^ mprj/u_mac_wrap/_04618_/X (sky130_fd_sc_hd__and4bb_2)
+     4    0.03                           mprj/u_mac_wrap/_01917_ (net)
+                  0.18    0.00   10.57 ^ mprj/u_mac_wrap/fanout251/A (sky130_fd_sc_hd__buf_4)
+                  0.22    0.29   10.86 ^ mprj/u_mac_wrap/fanout251/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_mac_wrap/net251 (net)
+                  0.22    0.00   10.86 ^ mprj/u_mac_wrap/_05773_/B1 (sky130_fd_sc_hd__a31oi_1)
+                  0.06    0.07   10.93 v mprj/u_mac_wrap/_05773_/Y (sky130_fd_sc_hd__a31oi_1)
+     1    0.00                           mprj/u_mac_wrap/_02610_ (net)
+                  0.06    0.00   10.93 v mprj/u_mac_wrap/fanout249/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.12    0.23   11.16 v mprj/u_mac_wrap/fanout249/X (sky130_fd_sc_hd__clkbuf_4)
+    16    0.06                           mprj/u_mac_wrap/net249 (net)
+                  0.12    0.00   11.16 v mprj/u_mac_wrap/fanout248/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.23   11.39 v mprj/u_mac_wrap/fanout248/X (sky130_fd_sc_hd__buf_2)
+    12    0.04                           mprj/u_mac_wrap/net248 (net)
+                  0.11    0.00   11.39 v mprj/u_mac_wrap/fanout247/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.12    0.25   11.65 v mprj/u_mac_wrap/fanout247/X (sky130_fd_sc_hd__clkbuf_4)
     20    0.06                           mprj/u_mac_wrap/net247 (net)
-                  0.13    0.00    9.23 v mprj/u_mac_wrap/fanout246/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.13    0.27    9.50 v mprj/u_mac_wrap/fanout246/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.06                           mprj/u_mac_wrap/net246 (net)
-                  0.13    0.00    9.50 v mprj/u_mac_wrap/_05742_/B (sky130_fd_sc_hd__or3_1)
-                  0.08    0.40    9.90 v mprj/u_mac_wrap/_05742_/X (sky130_fd_sc_hd__or3_1)
-     1    0.00                           mprj/u_mac_wrap/_02596_ (net)
-                  0.08    0.00    9.90 v mprj/u_mac_wrap/_05745_/B (sky130_fd_sc_hd__xnor2_1)
-                  0.06    0.13   10.03 v mprj/u_mac_wrap/_05745_/Y (sky130_fd_sc_hd__xnor2_1)
-     1    0.00                           mprj/u_mac_wrap/_00465_ (net)
-                  0.06    0.00   10.03 v mprj/u_mac_wrap/_08920_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 10.03   data arrival time
+                  0.12    0.00   11.65 v mprj/u_mac_wrap/_05798_/B (sky130_fd_sc_hd__or3_1)
+                  0.10    0.43   12.08 v mprj/u_mac_wrap/_05798_/X (sky130_fd_sc_hd__or3_1)
+     3    0.01                           mprj/u_mac_wrap/_02627_ (net)
+                  0.10    0.00   12.08 v mprj/u_mac_wrap/_05801_/B (sky130_fd_sc_hd__or2_1)
+                  0.07    0.26   12.35 v mprj/u_mac_wrap/_05801_/X (sky130_fd_sc_hd__or2_1)
+     1    0.01                           mprj/u_mac_wrap/_02629_ (net)
+                  0.07    0.00   12.35 v mprj/u_mac_wrap/_05803_/B (sky130_fd_sc_hd__xnor2_1)
+                  0.05    0.13   12.48 v mprj/u_mac_wrap/_05803_/Y (sky130_fd_sc_hd__xnor2_1)
+     1    0.00                           mprj/u_mac_wrap/_00466_ (net)
+                  0.05    0.00   12.48 v mprj/u_mac_wrap/_08971_/D (sky130_fd_sc_hd__dfrtp_4)
+                                 12.48   data arrival time
 
                          40.00   40.00   clock mac_tx_clk (rise edge)
                           0.00   40.00   clock source latency
@@ -140166,36 +159164,57 @@
                   0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[5] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21   41.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00   41.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15   41.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00   41.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37   42.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01   42.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32   42.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01   42.60 ^ mprj/u_mac_wrap/clkbuf_4_4_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.18   42.78 ^ mprj/u_mac_wrap/clkbuf_4_4_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     9    0.03                           mprj/u_mac_wrap/clknet_4_4_0_phy_tx_clk (net)
-                  0.07    0.00   42.78 ^ mprj/u_mac_wrap/_08920_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   42.53   clock uncertainty
-                          2.32   44.85   clock reconvergence pessimism
-                         -0.11   44.73   library setup time
-                                 44.73   data required time
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15   41.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00   41.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27   41.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02   41.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43   42.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09   42.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34   42.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00   42.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15   43.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00   43.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42   43.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01   43.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34   43.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01   43.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20   44.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00   44.12 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.17   44.29 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00   44.29 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.24   44.53 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01   44.54 ^ mprj/u_mac_wrap/clkbuf_4_5_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.19   44.73 ^ mprj/u_mac_wrap/clkbuf_4_5_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.03                           mprj/u_mac_wrap/clknet_4_5_0_phy_tx_clk (net)
+                  0.07    0.00   44.73 ^ mprj/u_mac_wrap/_08971_/CLK (sky130_fd_sc_hd__dfrtp_4)
+                         -0.25   44.48   clock uncertainty
+                          2.47   46.95   clock reconvergence pessimism
+                         -0.11   46.84   library setup time
+                                 46.84   data required time
 -----------------------------------------------------------------------------
-                                 44.73   data required time
-                                -10.03   data arrival time
+                                 46.84   data required time
+                                -12.48   data arrival time
 -----------------------------------------------------------------------------
-                                 34.70   slack (MET)
+                                 34.36   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_08892_
+Startpoint: mprj/u_mac_wrap/_08942_
             (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_09387_
+Endpoint: mprj/u_mac_wrap/_08968_
           (rising edge-triggered flip-flop clocked by mac_tx_clk)
 Path Group: mac_tx_clk
 Path Type: max
@@ -140219,1165 +159238,98 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.92 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.21    5.13 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    13    0.04                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
-                  0.09    0.00    5.13 ^ mprj/u_mac_wrap/_08892_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.08    0.43    5.56 v mprj/u_mac_wrap/_08892_/Q (sky130_fd_sc_hd__dfrtp_1)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.01 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.26    7.28 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.08                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
+                  0.14    0.00    7.28 ^ mprj/u_mac_wrap/_08942_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.45    7.73 v mprj/u_mac_wrap/_08942_/Q (sky130_fd_sc_hd__dfrtp_1)
      2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
-                  0.08    0.00    5.56 v mprj/u_mac_wrap/_04513_/A (sky130_fd_sc_hd__xnor2_2)
-                  0.23    0.26    5.82 ^ mprj/u_mac_wrap/_04513_/Y (sky130_fd_sc_hd__xnor2_2)
-     3    0.01                           mprj/u_mac_wrap/_01847_ (net)
-                  0.23    0.00    5.82 ^ mprj/u_mac_wrap/_04514_/B (sky130_fd_sc_hd__xor2_2)
-                  0.22    0.26    6.07 ^ mprj/u_mac_wrap/_04514_/X (sky130_fd_sc_hd__xor2_2)
-     4    0.02                           mprj/u_mac_wrap/_01848_ (net)
-                  0.22    0.00    6.07 ^ mprj/u_mac_wrap/_04518_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.37 ^ mprj/u_mac_wrap/_04518_/X (sky130_fd_sc_hd__xor2_1)
+                  0.08    0.00    7.73 v mprj/u_mac_wrap/_04543_/A (sky130_fd_sc_hd__xnor2_2)
+                  0.22    0.25    7.98 ^ mprj/u_mac_wrap/_04543_/Y (sky130_fd_sc_hd__xnor2_2)
      3    0.01                           mprj/u_mac_wrap/_01852_ (net)
-                  0.26    0.00    6.37 ^ mprj/u_mac_wrap/_04520_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.65 ^ mprj/u_mac_wrap/_04520_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01854_ (net)
-                  0.26    0.00    6.65 ^ mprj/u_mac_wrap/_04521_/B (sky130_fd_sc_hd__xor2_1)
-                  0.17    0.23    6.88 ^ mprj/u_mac_wrap/_04521_/X (sky130_fd_sc_hd__xor2_1)
-     2    0.01                           mprj/u_mac_wrap/_01855_ (net)
-                  0.17    0.00    6.88 ^ mprj/u_mac_wrap/_04526_/A2 (sky130_fd_sc_hd__a211o_1)
-                  0.09    0.19    7.07 ^ mprj/u_mac_wrap/_04526_/X (sky130_fd_sc_hd__a211o_1)
-     3    0.01                           mprj/u_mac_wrap/_01860_ (net)
-                  0.09    0.00    7.07 ^ mprj/u_mac_wrap/_04528_/B2 (sky130_fd_sc_hd__a221oi_1)
-                  0.10    0.10    7.16 v mprj/u_mac_wrap/_04528_/Y (sky130_fd_sc_hd__a221oi_1)
-     2    0.01                           mprj/u_mac_wrap/_01862_ (net)
-                  0.10    0.00    7.16 v mprj/u_mac_wrap/_04531_/B (sky130_fd_sc_hd__or4_1)
-                  0.10    0.56    7.72 v mprj/u_mac_wrap/_04531_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01865_ (net)
-                  0.10    0.00    7.72 v mprj/u_mac_wrap/_04554_/B1 (sky130_fd_sc_hd__o2111a_1)
-                  0.15    0.27    7.99 v mprj/u_mac_wrap/_04554_/X (sky130_fd_sc_hd__o2111a_1)
-     6    0.03                           mprj/u_mac_wrap/_01885_ (net)
-                  0.15    0.00    7.99 v mprj/u_mac_wrap/_04592_/A (sky130_fd_sc_hd__nor4b_2)
-                  0.42    0.50    8.49 ^ mprj/u_mac_wrap/_04592_/Y (sky130_fd_sc_hd__nor4b_2)
-     2    0.02                           mprj/u_mac_wrap/_01916_ (net)
-                  0.42    0.00    8.49 ^ mprj/u_mac_wrap/fanout254/A (sky130_fd_sc_hd__buf_4)
-                  0.21    0.33    8.82 ^ mprj/u_mac_wrap/fanout254/X (sky130_fd_sc_hd__buf_4)
-    20    0.07                           mprj/u_mac_wrap/net254 (net)
-                  0.21    0.01    8.83 ^ mprj/u_mac_wrap/_05718_/B (sky130_fd_sc_hd__nor2_1)
-                  0.10    0.14    8.97 v mprj/u_mac_wrap/_05718_/Y (sky130_fd_sc_hd__nor2_1)
-     2    0.02                           mprj/u_mac_wrap/_02580_ (net)
-                  0.10    0.00    8.97 v mprj/u_mac_wrap/fanout247/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.13    0.26    9.23 v mprj/u_mac_wrap/fanout247/X (sky130_fd_sc_hd__clkbuf_4)
+                  0.22    0.00    7.98 ^ mprj/u_mac_wrap/_04544_/B (sky130_fd_sc_hd__xor2_2)
+                  0.25    0.27    8.25 ^ mprj/u_mac_wrap/_04544_/X (sky130_fd_sc_hd__xor2_2)
+     4    0.02                           mprj/u_mac_wrap/_01853_ (net)
+                  0.25    0.00    8.25 ^ mprj/u_mac_wrap/_04548_/B (sky130_fd_sc_hd__xor2_1)
+                  0.28    0.30    8.56 ^ mprj/u_mac_wrap/_04548_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01857_ (net)
+                  0.28    0.00    8.56 ^ mprj/u_mac_wrap/_04550_/B (sky130_fd_sc_hd__xor2_1)
+                  0.26    0.29    8.85 ^ mprj/u_mac_wrap/_04550_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01859_ (net)
+                  0.26    0.00    8.85 ^ mprj/u_mac_wrap/_04551_/B (sky130_fd_sc_hd__xor2_1)
+                  0.09    0.13    8.98 v mprj/u_mac_wrap/_04551_/X (sky130_fd_sc_hd__xor2_1)
+     2    0.01                           mprj/u_mac_wrap/_01860_ (net)
+                  0.09    0.00    8.98 v mprj/u_mac_wrap/_04556_/A2 (sky130_fd_sc_hd__a211o_1)
+                  0.08    0.36    9.34 v mprj/u_mac_wrap/_04556_/X (sky130_fd_sc_hd__a211o_1)
+     3    0.01                           mprj/u_mac_wrap/_01865_ (net)
+                  0.08    0.00    9.34 v mprj/u_mac_wrap/_04567_/C (sky130_fd_sc_hd__or4_1)
+                  0.13    0.56    9.89 v mprj/u_mac_wrap/_04567_/X (sky130_fd_sc_hd__or4_1)
+     2    0.01                           mprj/u_mac_wrap/_01876_ (net)
+                  0.13    0.00    9.89 v mprj/u_mac_wrap/_04568_/A (sky130_fd_sc_hd__inv_2)
+                  0.04    0.07    9.97 ^ mprj/u_mac_wrap/_04568_/Y (sky130_fd_sc_hd__inv_2)
+     1    0.00                           mprj/u_mac_wrap/_01877_ (net)
+                  0.04    0.00    9.97 ^ mprj/u_mac_wrap/_04583_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.18    0.30   10.27 ^ mprj/u_mac_wrap/_04583_/X (sky130_fd_sc_hd__o2111a_1)
+     4    0.02                           mprj/u_mac_wrap/_01889_ (net)
+                  0.18    0.00   10.27 ^ mprj/u_mac_wrap/_04618_/A_N (sky130_fd_sc_hd__and4bb_2)
+                  0.10    0.33   10.59 v mprj/u_mac_wrap/_04618_/X (sky130_fd_sc_hd__and4bb_2)
+     4    0.03                           mprj/u_mac_wrap/_01917_ (net)
+                  0.10    0.00   10.60 v mprj/u_mac_wrap/fanout251/A (sky130_fd_sc_hd__buf_4)
+                  0.10    0.24   10.83 v mprj/u_mac_wrap/fanout251/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_mac_wrap/net251 (net)
+                  0.10    0.00   10.83 v mprj/u_mac_wrap/_05773_/B1 (sky130_fd_sc_hd__a31oi_1)
+                  0.13    0.16   10.99 ^ mprj/u_mac_wrap/_05773_/Y (sky130_fd_sc_hd__a31oi_1)
+     1    0.00                           mprj/u_mac_wrap/_02610_ (net)
+                  0.13    0.00   10.99 ^ mprj/u_mac_wrap/fanout249/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.18    0.27   11.26 ^ mprj/u_mac_wrap/fanout249/X (sky130_fd_sc_hd__clkbuf_4)
+    16    0.06                           mprj/u_mac_wrap/net249 (net)
+                  0.18    0.00   11.26 ^ mprj/u_mac_wrap/fanout248/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.29   11.55 ^ mprj/u_mac_wrap/fanout248/X (sky130_fd_sc_hd__buf_2)
+    12    0.04                           mprj/u_mac_wrap/net248 (net)
+                  0.22    0.00   11.55 ^ mprj/u_mac_wrap/fanout247/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.19    0.31   11.86 ^ mprj/u_mac_wrap/fanout247/X (sky130_fd_sc_hd__clkbuf_4)
     20    0.06                           mprj/u_mac_wrap/net247 (net)
-                  0.13    0.00    9.23 v mprj/u_mac_wrap/fanout246/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.13    0.27    9.50 v mprj/u_mac_wrap/fanout246/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.06                           mprj/u_mac_wrap/net246 (net)
-                  0.13    0.00    9.50 v mprj/u_mac_wrap/_06982_/S (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.35    9.85 v mprj/u_mac_wrap/_06982_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00887_ (net)
-                  0.06    0.00    9.85 v mprj/u_mac_wrap/_09387_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  9.85   data arrival time
-
-                         40.00   40.00   clock mac_tx_clk (rise edge)
-                          0.00   40.00   clock source latency
-                  1.00    0.00   40.00 ^ mprj_io[5] (inout)
-     1    6.12                           mprj_io[5] (net)
-                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[5] (net)
-                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00   41.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10   41.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00   41.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21   41.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21   41.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00   41.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15   41.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00   41.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37   42.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01   42.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32   42.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01   42.60 ^ mprj/u_mac_wrap/clkbuf_4_5_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.18   42.78 ^ mprj/u_mac_wrap/clkbuf_4_5_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     8    0.03                           mprj/u_mac_wrap/clknet_4_5_0_phy_tx_clk (net)
-                  0.06    0.00   42.78 ^ mprj/u_mac_wrap/_09387_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   42.53   clock uncertainty
-                          2.32   44.85   clock reconvergence pessimism
-                         -0.12   44.73   library setup time
-                                 44.73   data required time
------------------------------------------------------------------------------
-                                 44.73   data required time
-                                 -9.85   data arrival time
------------------------------------------------------------------------------
-                                 34.88   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_08892_
-            (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_09385_
-          (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Path Group: mac_tx_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1   11.12                           mprj_io[5] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[5] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.92 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.21    5.13 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    13    0.04                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
-                  0.09    0.00    5.13 ^ mprj/u_mac_wrap/_08892_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.08    0.43    5.56 v mprj/u_mac_wrap/_08892_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
-                  0.08    0.00    5.56 v mprj/u_mac_wrap/_04513_/A (sky130_fd_sc_hd__xnor2_2)
-                  0.23    0.26    5.82 ^ mprj/u_mac_wrap/_04513_/Y (sky130_fd_sc_hd__xnor2_2)
-     3    0.01                           mprj/u_mac_wrap/_01847_ (net)
-                  0.23    0.00    5.82 ^ mprj/u_mac_wrap/_04514_/B (sky130_fd_sc_hd__xor2_2)
-                  0.22    0.26    6.07 ^ mprj/u_mac_wrap/_04514_/X (sky130_fd_sc_hd__xor2_2)
-     4    0.02                           mprj/u_mac_wrap/_01848_ (net)
-                  0.22    0.00    6.07 ^ mprj/u_mac_wrap/_04518_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.37 ^ mprj/u_mac_wrap/_04518_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01852_ (net)
-                  0.26    0.00    6.37 ^ mprj/u_mac_wrap/_04520_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.65 ^ mprj/u_mac_wrap/_04520_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01854_ (net)
-                  0.26    0.00    6.65 ^ mprj/u_mac_wrap/_04521_/B (sky130_fd_sc_hd__xor2_1)
-                  0.17    0.23    6.88 ^ mprj/u_mac_wrap/_04521_/X (sky130_fd_sc_hd__xor2_1)
-     2    0.01                           mprj/u_mac_wrap/_01855_ (net)
-                  0.17    0.00    6.88 ^ mprj/u_mac_wrap/_04526_/A2 (sky130_fd_sc_hd__a211o_1)
-                  0.09    0.19    7.07 ^ mprj/u_mac_wrap/_04526_/X (sky130_fd_sc_hd__a211o_1)
-     3    0.01                           mprj/u_mac_wrap/_01860_ (net)
-                  0.09    0.00    7.07 ^ mprj/u_mac_wrap/_04528_/B2 (sky130_fd_sc_hd__a221oi_1)
-                  0.10    0.10    7.16 v mprj/u_mac_wrap/_04528_/Y (sky130_fd_sc_hd__a221oi_1)
-     2    0.01                           mprj/u_mac_wrap/_01862_ (net)
-                  0.10    0.00    7.16 v mprj/u_mac_wrap/_04531_/B (sky130_fd_sc_hd__or4_1)
-                  0.10    0.56    7.72 v mprj/u_mac_wrap/_04531_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01865_ (net)
-                  0.10    0.00    7.72 v mprj/u_mac_wrap/_04554_/B1 (sky130_fd_sc_hd__o2111a_1)
-                  0.15    0.27    7.99 v mprj/u_mac_wrap/_04554_/X (sky130_fd_sc_hd__o2111a_1)
-     6    0.03                           mprj/u_mac_wrap/_01885_ (net)
-                  0.15    0.00    7.99 v mprj/u_mac_wrap/_04592_/A (sky130_fd_sc_hd__nor4b_2)
-                  0.42    0.50    8.49 ^ mprj/u_mac_wrap/_04592_/Y (sky130_fd_sc_hd__nor4b_2)
-     2    0.02                           mprj/u_mac_wrap/_01916_ (net)
-                  0.42    0.00    8.49 ^ mprj/u_mac_wrap/fanout254/A (sky130_fd_sc_hd__buf_4)
-                  0.21    0.33    8.82 ^ mprj/u_mac_wrap/fanout254/X (sky130_fd_sc_hd__buf_4)
-    20    0.07                           mprj/u_mac_wrap/net254 (net)
-                  0.21    0.01    8.83 ^ mprj/u_mac_wrap/_05718_/B (sky130_fd_sc_hd__nor2_1)
-                  0.10    0.14    8.97 v mprj/u_mac_wrap/_05718_/Y (sky130_fd_sc_hd__nor2_1)
-     2    0.02                           mprj/u_mac_wrap/_02580_ (net)
-                  0.10    0.00    8.97 v mprj/u_mac_wrap/fanout247/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.13    0.26    9.23 v mprj/u_mac_wrap/fanout247/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.06                           mprj/u_mac_wrap/net247 (net)
-                  0.13    0.00    9.23 v mprj/u_mac_wrap/fanout246/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.13    0.27    9.50 v mprj/u_mac_wrap/fanout246/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.06                           mprj/u_mac_wrap/net246 (net)
-                  0.13    0.00    9.50 v mprj/u_mac_wrap/_06934_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.35    9.85 v mprj/u_mac_wrap/_06934_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00885_ (net)
-                  0.05    0.00    9.85 v mprj/u_mac_wrap/_09385_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  9.85   data arrival time
-
-                         40.00   40.00   clock mac_tx_clk (rise edge)
-                          0.00   40.00   clock source latency
-                  1.00    0.00   40.00 ^ mprj_io[5] (inout)
-     1    6.12                           mprj_io[5] (net)
-                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[5] (net)
-                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00   41.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10   41.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00   41.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21   41.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21   41.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00   41.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15   41.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00   41.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37   42.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01   42.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32   42.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01   42.60 ^ mprj/u_mac_wrap/clkbuf_4_5_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.18   42.78 ^ mprj/u_mac_wrap/clkbuf_4_5_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     8    0.03                           mprj/u_mac_wrap/clknet_4_5_0_phy_tx_clk (net)
-                  0.06    0.00   42.78 ^ mprj/u_mac_wrap/_09385_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   42.53   clock uncertainty
-                          2.32   44.85   clock reconvergence pessimism
-                         -0.11   44.73   library setup time
-                                 44.73   data required time
------------------------------------------------------------------------------
-                                 44.73   data required time
-                                 -9.85   data arrival time
------------------------------------------------------------------------------
-                                 34.88   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_08892_
-            (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_09383_
-          (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Path Group: mac_tx_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1   11.12                           mprj_io[5] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[5] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.92 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.21    5.13 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    13    0.04                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
-                  0.09    0.00    5.13 ^ mprj/u_mac_wrap/_08892_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.08    0.43    5.56 v mprj/u_mac_wrap/_08892_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
-                  0.08    0.00    5.56 v mprj/u_mac_wrap/_04513_/A (sky130_fd_sc_hd__xnor2_2)
-                  0.23    0.26    5.82 ^ mprj/u_mac_wrap/_04513_/Y (sky130_fd_sc_hd__xnor2_2)
-     3    0.01                           mprj/u_mac_wrap/_01847_ (net)
-                  0.23    0.00    5.82 ^ mprj/u_mac_wrap/_04514_/B (sky130_fd_sc_hd__xor2_2)
-                  0.22    0.26    6.07 ^ mprj/u_mac_wrap/_04514_/X (sky130_fd_sc_hd__xor2_2)
-     4    0.02                           mprj/u_mac_wrap/_01848_ (net)
-                  0.22    0.00    6.07 ^ mprj/u_mac_wrap/_04518_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.37 ^ mprj/u_mac_wrap/_04518_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01852_ (net)
-                  0.26    0.00    6.37 ^ mprj/u_mac_wrap/_04520_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.65 ^ mprj/u_mac_wrap/_04520_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01854_ (net)
-                  0.26    0.00    6.65 ^ mprj/u_mac_wrap/_04521_/B (sky130_fd_sc_hd__xor2_1)
-                  0.17    0.23    6.88 ^ mprj/u_mac_wrap/_04521_/X (sky130_fd_sc_hd__xor2_1)
-     2    0.01                           mprj/u_mac_wrap/_01855_ (net)
-                  0.17    0.00    6.88 ^ mprj/u_mac_wrap/_04526_/A2 (sky130_fd_sc_hd__a211o_1)
-                  0.09    0.19    7.07 ^ mprj/u_mac_wrap/_04526_/X (sky130_fd_sc_hd__a211o_1)
-     3    0.01                           mprj/u_mac_wrap/_01860_ (net)
-                  0.09    0.00    7.07 ^ mprj/u_mac_wrap/_04528_/B2 (sky130_fd_sc_hd__a221oi_1)
-                  0.10    0.10    7.16 v mprj/u_mac_wrap/_04528_/Y (sky130_fd_sc_hd__a221oi_1)
-     2    0.01                           mprj/u_mac_wrap/_01862_ (net)
-                  0.10    0.00    7.16 v mprj/u_mac_wrap/_04531_/B (sky130_fd_sc_hd__or4_1)
-                  0.10    0.56    7.72 v mprj/u_mac_wrap/_04531_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01865_ (net)
-                  0.10    0.00    7.72 v mprj/u_mac_wrap/_04554_/B1 (sky130_fd_sc_hd__o2111a_1)
-                  0.15    0.27    7.99 v mprj/u_mac_wrap/_04554_/X (sky130_fd_sc_hd__o2111a_1)
-     6    0.03                           mprj/u_mac_wrap/_01885_ (net)
-                  0.15    0.00    7.99 v mprj/u_mac_wrap/_04592_/A (sky130_fd_sc_hd__nor4b_2)
-                  0.42    0.50    8.49 ^ mprj/u_mac_wrap/_04592_/Y (sky130_fd_sc_hd__nor4b_2)
-     2    0.02                           mprj/u_mac_wrap/_01916_ (net)
-                  0.42    0.00    8.49 ^ mprj/u_mac_wrap/fanout254/A (sky130_fd_sc_hd__buf_4)
-                  0.21    0.33    8.82 ^ mprj/u_mac_wrap/fanout254/X (sky130_fd_sc_hd__buf_4)
-    20    0.07                           mprj/u_mac_wrap/net254 (net)
-                  0.21    0.01    8.83 ^ mprj/u_mac_wrap/_05718_/B (sky130_fd_sc_hd__nor2_1)
-                  0.10    0.14    8.97 v mprj/u_mac_wrap/_05718_/Y (sky130_fd_sc_hd__nor2_1)
-     2    0.02                           mprj/u_mac_wrap/_02580_ (net)
-                  0.10    0.00    8.97 v mprj/u_mac_wrap/fanout247/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.13    0.26    9.23 v mprj/u_mac_wrap/fanout247/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.06                           mprj/u_mac_wrap/net247 (net)
-                  0.13    0.00    9.23 v mprj/u_mac_wrap/fanout246/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.13    0.27    9.50 v mprj/u_mac_wrap/fanout246/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.06                           mprj/u_mac_wrap/net246 (net)
-                  0.13    0.00    9.50 v mprj/u_mac_wrap/_06886_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.34    9.84 v mprj/u_mac_wrap/_06886_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00883_ (net)
-                  0.05    0.00    9.84 v mprj/u_mac_wrap/_09383_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  9.84   data arrival time
-
-                         40.00   40.00   clock mac_tx_clk (rise edge)
-                          0.00   40.00   clock source latency
-                  1.00    0.00   40.00 ^ mprj_io[5] (inout)
-     1    6.12                           mprj_io[5] (net)
-                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[5] (net)
-                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00   41.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10   41.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00   41.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21   41.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21   41.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00   41.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15   41.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00   41.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37   42.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01   42.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32   42.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01   42.60 ^ mprj/u_mac_wrap/clkbuf_4_5_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.18   42.78 ^ mprj/u_mac_wrap/clkbuf_4_5_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     8    0.03                           mprj/u_mac_wrap/clknet_4_5_0_phy_tx_clk (net)
-                  0.06    0.00   42.78 ^ mprj/u_mac_wrap/_09383_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   42.53   clock uncertainty
-                          2.32   44.85   clock reconvergence pessimism
-                         -0.11   44.73   library setup time
-                                 44.73   data required time
------------------------------------------------------------------------------
-                                 44.73   data required time
-                                 -9.84   data arrival time
------------------------------------------------------------------------------
-                                 34.89   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_08892_
-            (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_09382_
-          (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Path Group: mac_tx_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1   11.12                           mprj_io[5] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[5] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.92 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.21    5.13 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    13    0.04                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
-                  0.09    0.00    5.13 ^ mprj/u_mac_wrap/_08892_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.08    0.43    5.56 v mprj/u_mac_wrap/_08892_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
-                  0.08    0.00    5.56 v mprj/u_mac_wrap/_04513_/A (sky130_fd_sc_hd__xnor2_2)
-                  0.23    0.26    5.82 ^ mprj/u_mac_wrap/_04513_/Y (sky130_fd_sc_hd__xnor2_2)
-     3    0.01                           mprj/u_mac_wrap/_01847_ (net)
-                  0.23    0.00    5.82 ^ mprj/u_mac_wrap/_04514_/B (sky130_fd_sc_hd__xor2_2)
-                  0.22    0.26    6.07 ^ mprj/u_mac_wrap/_04514_/X (sky130_fd_sc_hd__xor2_2)
-     4    0.02                           mprj/u_mac_wrap/_01848_ (net)
-                  0.22    0.00    6.07 ^ mprj/u_mac_wrap/_04518_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.37 ^ mprj/u_mac_wrap/_04518_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01852_ (net)
-                  0.26    0.00    6.37 ^ mprj/u_mac_wrap/_04520_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.65 ^ mprj/u_mac_wrap/_04520_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01854_ (net)
-                  0.26    0.00    6.65 ^ mprj/u_mac_wrap/_04521_/B (sky130_fd_sc_hd__xor2_1)
-                  0.17    0.23    6.88 ^ mprj/u_mac_wrap/_04521_/X (sky130_fd_sc_hd__xor2_1)
-     2    0.01                           mprj/u_mac_wrap/_01855_ (net)
-                  0.17    0.00    6.88 ^ mprj/u_mac_wrap/_04526_/A2 (sky130_fd_sc_hd__a211o_1)
-                  0.09    0.19    7.07 ^ mprj/u_mac_wrap/_04526_/X (sky130_fd_sc_hd__a211o_1)
-     3    0.01                           mprj/u_mac_wrap/_01860_ (net)
-                  0.09    0.00    7.07 ^ mprj/u_mac_wrap/_04528_/B2 (sky130_fd_sc_hd__a221oi_1)
-                  0.10    0.10    7.16 v mprj/u_mac_wrap/_04528_/Y (sky130_fd_sc_hd__a221oi_1)
-     2    0.01                           mprj/u_mac_wrap/_01862_ (net)
-                  0.10    0.00    7.16 v mprj/u_mac_wrap/_04531_/B (sky130_fd_sc_hd__or4_1)
-                  0.10    0.56    7.72 v mprj/u_mac_wrap/_04531_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01865_ (net)
-                  0.10    0.00    7.72 v mprj/u_mac_wrap/_04554_/B1 (sky130_fd_sc_hd__o2111a_1)
-                  0.15    0.27    7.99 v mprj/u_mac_wrap/_04554_/X (sky130_fd_sc_hd__o2111a_1)
-     6    0.03                           mprj/u_mac_wrap/_01885_ (net)
-                  0.15    0.00    7.99 v mprj/u_mac_wrap/_04592_/A (sky130_fd_sc_hd__nor4b_2)
-                  0.42    0.50    8.49 ^ mprj/u_mac_wrap/_04592_/Y (sky130_fd_sc_hd__nor4b_2)
-     2    0.02                           mprj/u_mac_wrap/_01916_ (net)
-                  0.42    0.00    8.49 ^ mprj/u_mac_wrap/fanout254/A (sky130_fd_sc_hd__buf_4)
-                  0.21    0.33    8.82 ^ mprj/u_mac_wrap/fanout254/X (sky130_fd_sc_hd__buf_4)
-    20    0.07                           mprj/u_mac_wrap/net254 (net)
-                  0.21    0.01    8.83 ^ mprj/u_mac_wrap/_05718_/B (sky130_fd_sc_hd__nor2_1)
-                  0.10    0.14    8.97 v mprj/u_mac_wrap/_05718_/Y (sky130_fd_sc_hd__nor2_1)
-     2    0.02                           mprj/u_mac_wrap/_02580_ (net)
-                  0.10    0.00    8.97 v mprj/u_mac_wrap/fanout247/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.13    0.26    9.23 v mprj/u_mac_wrap/fanout247/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.06                           mprj/u_mac_wrap/net247 (net)
-                  0.13    0.00    9.23 v mprj/u_mac_wrap/fanout246/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.13    0.27    9.50 v mprj/u_mac_wrap/fanout246/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.06                           mprj/u_mac_wrap/net246 (net)
-                  0.13    0.00    9.50 v mprj/u_mac_wrap/_06862_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.34    9.84 v mprj/u_mac_wrap/_06862_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00882_ (net)
-                  0.05    0.00    9.84 v mprj/u_mac_wrap/_09382_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  9.84   data arrival time
-
-                         40.00   40.00   clock mac_tx_clk (rise edge)
-                          0.00   40.00   clock source latency
-                  1.00    0.00   40.00 ^ mprj_io[5] (inout)
-     1    6.12                           mprj_io[5] (net)
-                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[5] (net)
-                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00   41.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10   41.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00   41.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21   41.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21   41.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00   41.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15   41.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00   41.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37   42.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01   42.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32   42.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01   42.60 ^ mprj/u_mac_wrap/clkbuf_4_5_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.18   42.78 ^ mprj/u_mac_wrap/clkbuf_4_5_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     8    0.03                           mprj/u_mac_wrap/clknet_4_5_0_phy_tx_clk (net)
-                  0.06    0.00   42.78 ^ mprj/u_mac_wrap/_09382_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   42.53   clock uncertainty
-                          2.32   44.85   clock reconvergence pessimism
-                         -0.11   44.73   library setup time
-                                 44.73   data required time
------------------------------------------------------------------------------
-                                 44.73   data required time
-                                 -9.84   data arrival time
------------------------------------------------------------------------------
-                                 34.89   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_08892_
-            (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_09384_
-          (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Path Group: mac_tx_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1   11.12                           mprj_io[5] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[5] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.92 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.21    5.13 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    13    0.04                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
-                  0.09    0.00    5.13 ^ mprj/u_mac_wrap/_08892_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.08    0.43    5.56 v mprj/u_mac_wrap/_08892_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
-                  0.08    0.00    5.56 v mprj/u_mac_wrap/_04513_/A (sky130_fd_sc_hd__xnor2_2)
-                  0.23    0.26    5.82 ^ mprj/u_mac_wrap/_04513_/Y (sky130_fd_sc_hd__xnor2_2)
-     3    0.01                           mprj/u_mac_wrap/_01847_ (net)
-                  0.23    0.00    5.82 ^ mprj/u_mac_wrap/_04514_/B (sky130_fd_sc_hd__xor2_2)
-                  0.22    0.26    6.07 ^ mprj/u_mac_wrap/_04514_/X (sky130_fd_sc_hd__xor2_2)
-     4    0.02                           mprj/u_mac_wrap/_01848_ (net)
-                  0.22    0.00    6.07 ^ mprj/u_mac_wrap/_04518_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.37 ^ mprj/u_mac_wrap/_04518_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01852_ (net)
-                  0.26    0.00    6.37 ^ mprj/u_mac_wrap/_04520_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.65 ^ mprj/u_mac_wrap/_04520_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01854_ (net)
-                  0.26    0.00    6.65 ^ mprj/u_mac_wrap/_04521_/B (sky130_fd_sc_hd__xor2_1)
-                  0.17    0.23    6.88 ^ mprj/u_mac_wrap/_04521_/X (sky130_fd_sc_hd__xor2_1)
-     2    0.01                           mprj/u_mac_wrap/_01855_ (net)
-                  0.17    0.00    6.88 ^ mprj/u_mac_wrap/_04526_/A2 (sky130_fd_sc_hd__a211o_1)
-                  0.09    0.19    7.07 ^ mprj/u_mac_wrap/_04526_/X (sky130_fd_sc_hd__a211o_1)
-     3    0.01                           mprj/u_mac_wrap/_01860_ (net)
-                  0.09    0.00    7.07 ^ mprj/u_mac_wrap/_04528_/B2 (sky130_fd_sc_hd__a221oi_1)
-                  0.10    0.10    7.16 v mprj/u_mac_wrap/_04528_/Y (sky130_fd_sc_hd__a221oi_1)
-     2    0.01                           mprj/u_mac_wrap/_01862_ (net)
-                  0.10    0.00    7.16 v mprj/u_mac_wrap/_04531_/B (sky130_fd_sc_hd__or4_1)
-                  0.10    0.56    7.72 v mprj/u_mac_wrap/_04531_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01865_ (net)
-                  0.10    0.00    7.72 v mprj/u_mac_wrap/_04554_/B1 (sky130_fd_sc_hd__o2111a_1)
-                  0.15    0.27    7.99 v mprj/u_mac_wrap/_04554_/X (sky130_fd_sc_hd__o2111a_1)
-     6    0.03                           mprj/u_mac_wrap/_01885_ (net)
-                  0.15    0.00    7.99 v mprj/u_mac_wrap/_04592_/A (sky130_fd_sc_hd__nor4b_2)
-                  0.42    0.50    8.49 ^ mprj/u_mac_wrap/_04592_/Y (sky130_fd_sc_hd__nor4b_2)
-     2    0.02                           mprj/u_mac_wrap/_01916_ (net)
-                  0.42    0.00    8.49 ^ mprj/u_mac_wrap/fanout254/A (sky130_fd_sc_hd__buf_4)
-                  0.21    0.33    8.82 ^ mprj/u_mac_wrap/fanout254/X (sky130_fd_sc_hd__buf_4)
-    20    0.07                           mprj/u_mac_wrap/net254 (net)
-                  0.21    0.01    8.83 ^ mprj/u_mac_wrap/_05718_/B (sky130_fd_sc_hd__nor2_1)
-                  0.10    0.14    8.97 v mprj/u_mac_wrap/_05718_/Y (sky130_fd_sc_hd__nor2_1)
-     2    0.02                           mprj/u_mac_wrap/_02580_ (net)
-                  0.10    0.00    8.97 v mprj/u_mac_wrap/fanout247/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.13    0.26    9.23 v mprj/u_mac_wrap/fanout247/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.06                           mprj/u_mac_wrap/net247 (net)
-                  0.13    0.00    9.23 v mprj/u_mac_wrap/fanout246/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.13    0.27    9.50 v mprj/u_mac_wrap/fanout246/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.06                           mprj/u_mac_wrap/net246 (net)
-                  0.13    0.00    9.50 v mprj/u_mac_wrap/_06910_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.34    9.84 v mprj/u_mac_wrap/_06910_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00884_ (net)
-                  0.05    0.00    9.84 v mprj/u_mac_wrap/_09384_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  9.84   data arrival time
-
-                         40.00   40.00   clock mac_tx_clk (rise edge)
-                          0.00   40.00   clock source latency
-                  1.00    0.00   40.00 ^ mprj_io[5] (inout)
-     1    6.12                           mprj_io[5] (net)
-                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[5] (net)
-                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00   41.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10   41.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00   41.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21   41.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21   41.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00   41.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15   41.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00   41.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37   42.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01   42.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32   42.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01   42.60 ^ mprj/u_mac_wrap/clkbuf_4_4_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.18   42.78 ^ mprj/u_mac_wrap/clkbuf_4_4_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     9    0.03                           mprj/u_mac_wrap/clknet_4_4_0_phy_tx_clk (net)
-                  0.07    0.00   42.78 ^ mprj/u_mac_wrap/_09384_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   42.53   clock uncertainty
-                          2.32   44.85   clock reconvergence pessimism
-                         -0.11   44.74   library setup time
-                                 44.74   data required time
------------------------------------------------------------------------------
-                                 44.74   data required time
-                                 -9.84   data arrival time
------------------------------------------------------------------------------
-                                 34.89   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_08892_
-            (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_09389_
-          (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Path Group: mac_tx_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1   11.12                           mprj_io[5] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[5] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.92 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.21    5.13 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    13    0.04                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
-                  0.09    0.00    5.13 ^ mprj/u_mac_wrap/_08892_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.08    0.43    5.56 v mprj/u_mac_wrap/_08892_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
-                  0.08    0.00    5.56 v mprj/u_mac_wrap/_04513_/A (sky130_fd_sc_hd__xnor2_2)
-                  0.23    0.26    5.82 ^ mprj/u_mac_wrap/_04513_/Y (sky130_fd_sc_hd__xnor2_2)
-     3    0.01                           mprj/u_mac_wrap/_01847_ (net)
-                  0.23    0.00    5.82 ^ mprj/u_mac_wrap/_04514_/B (sky130_fd_sc_hd__xor2_2)
-                  0.22    0.26    6.07 ^ mprj/u_mac_wrap/_04514_/X (sky130_fd_sc_hd__xor2_2)
-     4    0.02                           mprj/u_mac_wrap/_01848_ (net)
-                  0.22    0.00    6.07 ^ mprj/u_mac_wrap/_04518_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.37 ^ mprj/u_mac_wrap/_04518_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01852_ (net)
-                  0.26    0.00    6.37 ^ mprj/u_mac_wrap/_04520_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.65 ^ mprj/u_mac_wrap/_04520_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01854_ (net)
-                  0.26    0.00    6.65 ^ mprj/u_mac_wrap/_04521_/B (sky130_fd_sc_hd__xor2_1)
-                  0.17    0.23    6.88 ^ mprj/u_mac_wrap/_04521_/X (sky130_fd_sc_hd__xor2_1)
-     2    0.01                           mprj/u_mac_wrap/_01855_ (net)
-                  0.17    0.00    6.88 ^ mprj/u_mac_wrap/_04526_/A2 (sky130_fd_sc_hd__a211o_1)
-                  0.09    0.19    7.07 ^ mprj/u_mac_wrap/_04526_/X (sky130_fd_sc_hd__a211o_1)
-     3    0.01                           mprj/u_mac_wrap/_01860_ (net)
-                  0.09    0.00    7.07 ^ mprj/u_mac_wrap/_04528_/B2 (sky130_fd_sc_hd__a221oi_1)
-                  0.10    0.10    7.16 v mprj/u_mac_wrap/_04528_/Y (sky130_fd_sc_hd__a221oi_1)
-     2    0.01                           mprj/u_mac_wrap/_01862_ (net)
-                  0.10    0.00    7.16 v mprj/u_mac_wrap/_04531_/B (sky130_fd_sc_hd__or4_1)
-                  0.10    0.56    7.72 v mprj/u_mac_wrap/_04531_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01865_ (net)
-                  0.10    0.00    7.72 v mprj/u_mac_wrap/_04554_/B1 (sky130_fd_sc_hd__o2111a_1)
-                  0.15    0.27    7.99 v mprj/u_mac_wrap/_04554_/X (sky130_fd_sc_hd__o2111a_1)
-     6    0.03                           mprj/u_mac_wrap/_01885_ (net)
-                  0.15    0.00    7.99 v mprj/u_mac_wrap/_04592_/A (sky130_fd_sc_hd__nor4b_2)
-                  0.42    0.50    8.49 ^ mprj/u_mac_wrap/_04592_/Y (sky130_fd_sc_hd__nor4b_2)
-     2    0.02                           mprj/u_mac_wrap/_01916_ (net)
-                  0.42    0.00    8.49 ^ mprj/u_mac_wrap/fanout254/A (sky130_fd_sc_hd__buf_4)
-                  0.21    0.33    8.82 ^ mprj/u_mac_wrap/fanout254/X (sky130_fd_sc_hd__buf_4)
-    20    0.07                           mprj/u_mac_wrap/net254 (net)
-                  0.21    0.01    8.83 ^ mprj/u_mac_wrap/_05718_/B (sky130_fd_sc_hd__nor2_1)
-                  0.10    0.14    8.97 v mprj/u_mac_wrap/_05718_/Y (sky130_fd_sc_hd__nor2_1)
-     2    0.02                           mprj/u_mac_wrap/_02580_ (net)
-                  0.10    0.00    8.97 v mprj/u_mac_wrap/fanout247/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.13    0.26    9.23 v mprj/u_mac_wrap/fanout247/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.06                           mprj/u_mac_wrap/net247 (net)
-                  0.13    0.00    9.23 v mprj/u_mac_wrap/fanout246/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.13    0.27    9.50 v mprj/u_mac_wrap/fanout246/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.06                           mprj/u_mac_wrap/net246 (net)
-                  0.13    0.00    9.50 v mprj/u_mac_wrap/_07030_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.34    9.84 v mprj/u_mac_wrap/_07030_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00889_ (net)
-                  0.05    0.00    9.84 v mprj/u_mac_wrap/_09389_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  9.84   data arrival time
-
-                         40.00   40.00   clock mac_tx_clk (rise edge)
-                          0.00   40.00   clock source latency
-                  1.00    0.00   40.00 ^ mprj_io[5] (inout)
-     1    6.12                           mprj_io[5] (net)
-                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[5] (net)
-                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00   41.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10   41.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00   41.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21   41.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21   41.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00   41.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15   41.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00   41.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37   42.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01   42.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32   42.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01   42.60 ^ mprj/u_mac_wrap/clkbuf_4_4_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.18   42.78 ^ mprj/u_mac_wrap/clkbuf_4_4_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     9    0.03                           mprj/u_mac_wrap/clknet_4_4_0_phy_tx_clk (net)
-                  0.07    0.00   42.78 ^ mprj/u_mac_wrap/_09389_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   42.53   clock uncertainty
-                          2.32   44.85   clock reconvergence pessimism
-                         -0.11   44.74   library setup time
-                                 44.74   data required time
------------------------------------------------------------------------------
-                                 44.74   data required time
-                                 -9.84   data arrival time
------------------------------------------------------------------------------
-                                 34.89   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_08892_
-            (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_08919_
-          (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Path Group: mac_tx_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1   11.12                           mprj_io[5] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[5] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.92 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.21    5.13 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    13    0.04                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
-                  0.09    0.00    5.13 ^ mprj/u_mac_wrap/_08892_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.08    0.43    5.56 v mprj/u_mac_wrap/_08892_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
-                  0.08    0.00    5.56 v mprj/u_mac_wrap/_04513_/A (sky130_fd_sc_hd__xnor2_2)
-                  0.23    0.26    5.82 ^ mprj/u_mac_wrap/_04513_/Y (sky130_fd_sc_hd__xnor2_2)
-     3    0.01                           mprj/u_mac_wrap/_01847_ (net)
-                  0.23    0.00    5.82 ^ mprj/u_mac_wrap/_04514_/B (sky130_fd_sc_hd__xor2_2)
-                  0.22    0.26    6.07 ^ mprj/u_mac_wrap/_04514_/X (sky130_fd_sc_hd__xor2_2)
-     4    0.02                           mprj/u_mac_wrap/_01848_ (net)
-                  0.22    0.00    6.07 ^ mprj/u_mac_wrap/_04518_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.37 ^ mprj/u_mac_wrap/_04518_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01852_ (net)
-                  0.26    0.00    6.37 ^ mprj/u_mac_wrap/_04520_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.65 ^ mprj/u_mac_wrap/_04520_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01854_ (net)
-                  0.26    0.00    6.65 ^ mprj/u_mac_wrap/_04521_/B (sky130_fd_sc_hd__xor2_1)
-                  0.17    0.23    6.88 ^ mprj/u_mac_wrap/_04521_/X (sky130_fd_sc_hd__xor2_1)
-     2    0.01                           mprj/u_mac_wrap/_01855_ (net)
-                  0.17    0.00    6.88 ^ mprj/u_mac_wrap/_04526_/A2 (sky130_fd_sc_hd__a211o_1)
-                  0.09    0.19    7.07 ^ mprj/u_mac_wrap/_04526_/X (sky130_fd_sc_hd__a211o_1)
-     3    0.01                           mprj/u_mac_wrap/_01860_ (net)
-                  0.09    0.00    7.07 ^ mprj/u_mac_wrap/_04528_/B2 (sky130_fd_sc_hd__a221oi_1)
-                  0.10    0.10    7.16 v mprj/u_mac_wrap/_04528_/Y (sky130_fd_sc_hd__a221oi_1)
-     2    0.01                           mprj/u_mac_wrap/_01862_ (net)
-                  0.10    0.00    7.16 v mprj/u_mac_wrap/_04531_/B (sky130_fd_sc_hd__or4_1)
-                  0.10    0.56    7.72 v mprj/u_mac_wrap/_04531_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01865_ (net)
-                  0.10    0.00    7.72 v mprj/u_mac_wrap/_04554_/B1 (sky130_fd_sc_hd__o2111a_1)
-                  0.15    0.27    7.99 v mprj/u_mac_wrap/_04554_/X (sky130_fd_sc_hd__o2111a_1)
-     6    0.03                           mprj/u_mac_wrap/_01885_ (net)
-                  0.15    0.00    7.99 v mprj/u_mac_wrap/_04592_/A (sky130_fd_sc_hd__nor4b_2)
-                  0.42    0.50    8.49 ^ mprj/u_mac_wrap/_04592_/Y (sky130_fd_sc_hd__nor4b_2)
-     2    0.02                           mprj/u_mac_wrap/_01916_ (net)
-                  0.42    0.00    8.49 ^ mprj/u_mac_wrap/fanout254/A (sky130_fd_sc_hd__buf_4)
-                  0.21    0.33    8.82 ^ mprj/u_mac_wrap/fanout254/X (sky130_fd_sc_hd__buf_4)
-    20    0.07                           mprj/u_mac_wrap/net254 (net)
-                  0.21    0.01    8.83 ^ mprj/u_mac_wrap/_05718_/B (sky130_fd_sc_hd__nor2_1)
-                  0.10    0.14    8.97 v mprj/u_mac_wrap/_05718_/Y (sky130_fd_sc_hd__nor2_1)
-     2    0.02                           mprj/u_mac_wrap/_02580_ (net)
-                  0.10    0.00    8.97 v mprj/u_mac_wrap/fanout247/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.13    0.26    9.23 v mprj/u_mac_wrap/fanout247/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.06                           mprj/u_mac_wrap/net247 (net)
-                  0.13    0.00    9.23 v mprj/u_mac_wrap/fanout246/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.13    0.27    9.50 v mprj/u_mac_wrap/fanout246/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.06                           mprj/u_mac_wrap/net246 (net)
-                  0.13    0.00    9.50 v mprj/u_mac_wrap/_05740_/A (sky130_fd_sc_hd__nor2_1)
-                  0.19    0.22    9.72 ^ mprj/u_mac_wrap/_05740_/Y (sky130_fd_sc_hd__nor2_1)
-     2    0.01                           mprj/u_mac_wrap/_02595_ (net)
-                  0.19    0.00    9.72 ^ mprj/u_mac_wrap/_05743_/B (sky130_fd_sc_hd__xnor2_1)
-                  0.12    0.14    9.86 ^ mprj/u_mac_wrap/_05743_/Y (sky130_fd_sc_hd__xnor2_1)
-     1    0.00                           mprj/u_mac_wrap/_00464_ (net)
-                  0.12    0.00    9.86 ^ mprj/u_mac_wrap/_08919_/D (sky130_fd_sc_hd__dfrtp_2)
-                                  9.86   data arrival time
-
-                         40.00   40.00   clock mac_tx_clk (rise edge)
-                          0.00   40.00   clock source latency
-                  1.00    0.00   40.00 ^ mprj_io[5] (inout)
-     1    6.12                           mprj_io[5] (net)
-                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[5] (net)
-                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00   41.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10   41.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00   41.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21   41.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21   41.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00   41.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15   41.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00   41.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37   42.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01   42.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32   42.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01   42.60 ^ mprj/u_mac_wrap/clkbuf_4_4_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.18   42.78 ^ mprj/u_mac_wrap/clkbuf_4_4_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     9    0.03                           mprj/u_mac_wrap/clknet_4_4_0_phy_tx_clk (net)
-                  0.07    0.00   42.78 ^ mprj/u_mac_wrap/_08919_/CLK (sky130_fd_sc_hd__dfrtp_2)
-                         -0.25   42.53   clock uncertainty
-                          2.32   44.85   clock reconvergence pessimism
-                         -0.08   44.77   library setup time
-                                 44.77   data required time
------------------------------------------------------------------------------
-                                 44.77   data required time
-                                 -9.86   data arrival time
------------------------------------------------------------------------------
-                                 34.91   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_08892_
-            (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_08899_
-          (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Path Group: mac_tx_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1   11.12                           mprj_io[5] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[5] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.92 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.21    5.13 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    13    0.04                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
-                  0.09    0.00    5.13 ^ mprj/u_mac_wrap/_08892_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.08    0.43    5.56 v mprj/u_mac_wrap/_08892_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
-                  0.08    0.00    5.56 v mprj/u_mac_wrap/_04513_/A (sky130_fd_sc_hd__xnor2_2)
-                  0.23    0.26    5.82 ^ mprj/u_mac_wrap/_04513_/Y (sky130_fd_sc_hd__xnor2_2)
-     3    0.01                           mprj/u_mac_wrap/_01847_ (net)
-                  0.23    0.00    5.82 ^ mprj/u_mac_wrap/_04514_/B (sky130_fd_sc_hd__xor2_2)
-                  0.22    0.26    6.07 ^ mprj/u_mac_wrap/_04514_/X (sky130_fd_sc_hd__xor2_2)
-     4    0.02                           mprj/u_mac_wrap/_01848_ (net)
-                  0.22    0.00    6.07 ^ mprj/u_mac_wrap/_04518_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.37 ^ mprj/u_mac_wrap/_04518_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01852_ (net)
-                  0.26    0.00    6.37 ^ mprj/u_mac_wrap/_04520_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.65 ^ mprj/u_mac_wrap/_04520_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01854_ (net)
-                  0.26    0.00    6.65 ^ mprj/u_mac_wrap/_04521_/B (sky130_fd_sc_hd__xor2_1)
-                  0.17    0.23    6.88 ^ mprj/u_mac_wrap/_04521_/X (sky130_fd_sc_hd__xor2_1)
-     2    0.01                           mprj/u_mac_wrap/_01855_ (net)
-                  0.17    0.00    6.88 ^ mprj/u_mac_wrap/_04526_/A2 (sky130_fd_sc_hd__a211o_1)
-                  0.09    0.19    7.07 ^ mprj/u_mac_wrap/_04526_/X (sky130_fd_sc_hd__a211o_1)
-     3    0.01                           mprj/u_mac_wrap/_01860_ (net)
-                  0.09    0.00    7.07 ^ mprj/u_mac_wrap/_04528_/B2 (sky130_fd_sc_hd__a221oi_1)
-                  0.10    0.10    7.16 v mprj/u_mac_wrap/_04528_/Y (sky130_fd_sc_hd__a221oi_1)
-     2    0.01                           mprj/u_mac_wrap/_01862_ (net)
-                  0.10    0.00    7.16 v mprj/u_mac_wrap/_04531_/B (sky130_fd_sc_hd__or4_1)
-                  0.10    0.56    7.72 v mprj/u_mac_wrap/_04531_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01865_ (net)
-                  0.10    0.00    7.72 v mprj/u_mac_wrap/_04554_/B1 (sky130_fd_sc_hd__o2111a_1)
-                  0.15    0.27    7.99 v mprj/u_mac_wrap/_04554_/X (sky130_fd_sc_hd__o2111a_1)
-     6    0.03                           mprj/u_mac_wrap/_01885_ (net)
-                  0.15    0.00    7.99 v mprj/u_mac_wrap/_04592_/A (sky130_fd_sc_hd__nor4b_2)
-                  0.42    0.50    8.49 ^ mprj/u_mac_wrap/_04592_/Y (sky130_fd_sc_hd__nor4b_2)
-     2    0.02                           mprj/u_mac_wrap/_01916_ (net)
-                  0.42    0.00    8.49 ^ mprj/u_mac_wrap/fanout254/A (sky130_fd_sc_hd__buf_4)
-                  0.21    0.33    8.82 ^ mprj/u_mac_wrap/fanout254/X (sky130_fd_sc_hd__buf_4)
-    20    0.07                           mprj/u_mac_wrap/net254 (net)
-                  0.21    0.01    8.83 ^ mprj/u_mac_wrap/_05718_/B (sky130_fd_sc_hd__nor2_1)
-                  0.10    0.14    8.97 v mprj/u_mac_wrap/_05718_/Y (sky130_fd_sc_hd__nor2_1)
-     2    0.02                           mprj/u_mac_wrap/_02580_ (net)
-                  0.10    0.00    8.97 v mprj/u_mac_wrap/fanout247/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.13    0.26    9.23 v mprj/u_mac_wrap/fanout247/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.06                           mprj/u_mac_wrap/net247 (net)
-                  0.13    0.00    9.23 v mprj/u_mac_wrap/fanout246/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.13    0.27    9.50 v mprj/u_mac_wrap/fanout246/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.06                           mprj/u_mac_wrap/net246 (net)
-                  0.13    0.00    9.50 v mprj/u_mac_wrap/_05719_/S (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.35    9.86 v mprj/u_mac_wrap/_05719_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00456_ (net)
-                  0.06    0.00    9.86 v mprj/u_mac_wrap/_08899_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  9.86   data arrival time
-
-                         40.00   40.00   clock mac_tx_clk (rise edge)
-                          0.00   40.00   clock source latency
-                  1.00    0.00   40.00 ^ mprj_io[5] (inout)
-     1    6.12                           mprj_io[5] (net)
-                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[5] (net)
-                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00   41.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10   41.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00   41.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21   41.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21   41.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00   41.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15   41.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00   41.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37   42.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01   42.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32   42.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01   42.60 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.20   42.80 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    13    0.04                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
-                  0.09    0.00   42.80 ^ mprj/u_mac_wrap/_08899_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   42.55   clock uncertainty
-                          2.33   44.88   clock reconvergence pessimism
-                         -0.11   44.77   library setup time
-                                 44.77   data required time
------------------------------------------------------------------------------
-                                 44.77   data required time
-                                 -9.86   data arrival time
------------------------------------------------------------------------------
-                                 34.91   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_08892_
-            (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_08918_
-          (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Path Group: mac_tx_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1   11.12                           mprj_io[5] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[5] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.92 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.21    5.13 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    13    0.04                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
-                  0.09    0.00    5.13 ^ mprj/u_mac_wrap/_08892_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.08    0.43    5.56 v mprj/u_mac_wrap/_08892_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
-                  0.08    0.00    5.56 v mprj/u_mac_wrap/_04513_/A (sky130_fd_sc_hd__xnor2_2)
-                  0.23    0.26    5.82 ^ mprj/u_mac_wrap/_04513_/Y (sky130_fd_sc_hd__xnor2_2)
-     3    0.01                           mprj/u_mac_wrap/_01847_ (net)
-                  0.23    0.00    5.82 ^ mprj/u_mac_wrap/_04514_/B (sky130_fd_sc_hd__xor2_2)
-                  0.22    0.26    6.07 ^ mprj/u_mac_wrap/_04514_/X (sky130_fd_sc_hd__xor2_2)
-     4    0.02                           mprj/u_mac_wrap/_01848_ (net)
-                  0.22    0.00    6.07 ^ mprj/u_mac_wrap/_04518_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.37 ^ mprj/u_mac_wrap/_04518_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01852_ (net)
-                  0.26    0.00    6.37 ^ mprj/u_mac_wrap/_04520_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.65 ^ mprj/u_mac_wrap/_04520_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01854_ (net)
-                  0.26    0.00    6.65 ^ mprj/u_mac_wrap/_04521_/B (sky130_fd_sc_hd__xor2_1)
-                  0.17    0.23    6.88 ^ mprj/u_mac_wrap/_04521_/X (sky130_fd_sc_hd__xor2_1)
-     2    0.01                           mprj/u_mac_wrap/_01855_ (net)
-                  0.17    0.00    6.88 ^ mprj/u_mac_wrap/_04526_/A2 (sky130_fd_sc_hd__a211o_1)
-                  0.09    0.19    7.07 ^ mprj/u_mac_wrap/_04526_/X (sky130_fd_sc_hd__a211o_1)
-     3    0.01                           mprj/u_mac_wrap/_01860_ (net)
-                  0.09    0.00    7.07 ^ mprj/u_mac_wrap/_04528_/B2 (sky130_fd_sc_hd__a221oi_1)
-                  0.10    0.10    7.16 v mprj/u_mac_wrap/_04528_/Y (sky130_fd_sc_hd__a221oi_1)
-     2    0.01                           mprj/u_mac_wrap/_01862_ (net)
-                  0.10    0.00    7.16 v mprj/u_mac_wrap/_04531_/B (sky130_fd_sc_hd__or4_1)
-                  0.10    0.56    7.72 v mprj/u_mac_wrap/_04531_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01865_ (net)
-                  0.10    0.00    7.72 v mprj/u_mac_wrap/_04554_/B1 (sky130_fd_sc_hd__o2111a_1)
-                  0.15    0.27    7.99 v mprj/u_mac_wrap/_04554_/X (sky130_fd_sc_hd__o2111a_1)
-     6    0.03                           mprj/u_mac_wrap/_01885_ (net)
-                  0.15    0.00    7.99 v mprj/u_mac_wrap/_04592_/A (sky130_fd_sc_hd__nor4b_2)
-                  0.42    0.50    8.49 ^ mprj/u_mac_wrap/_04592_/Y (sky130_fd_sc_hd__nor4b_2)
-     2    0.02                           mprj/u_mac_wrap/_01916_ (net)
-                  0.42    0.00    8.49 ^ mprj/u_mac_wrap/fanout254/A (sky130_fd_sc_hd__buf_4)
-                  0.21    0.33    8.82 ^ mprj/u_mac_wrap/fanout254/X (sky130_fd_sc_hd__buf_4)
-    20    0.07                           mprj/u_mac_wrap/net254 (net)
-                  0.21    0.01    8.83 ^ mprj/u_mac_wrap/_05718_/B (sky130_fd_sc_hd__nor2_1)
-                  0.10    0.14    8.97 v mprj/u_mac_wrap/_05718_/Y (sky130_fd_sc_hd__nor2_1)
-     2    0.02                           mprj/u_mac_wrap/_02580_ (net)
-                  0.10    0.00    8.97 v mprj/u_mac_wrap/fanout247/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.13    0.26    9.23 v mprj/u_mac_wrap/fanout247/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.06                           mprj/u_mac_wrap/net247 (net)
-                  0.13    0.00    9.23 v mprj/u_mac_wrap/fanout246/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.13    0.27    9.50 v mprj/u_mac_wrap/fanout246/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.06                           mprj/u_mac_wrap/net246 (net)
-                  0.13    0.00    9.50 v mprj/u_mac_wrap/_05740_/A (sky130_fd_sc_hd__nor2_1)
-                  0.19    0.22    9.72 ^ mprj/u_mac_wrap/_05740_/Y (sky130_fd_sc_hd__nor2_1)
-     2    0.01                           mprj/u_mac_wrap/_02595_ (net)
-                  0.19    0.00    9.72 ^ mprj/u_mac_wrap/_05741_/B1 (sky130_fd_sc_hd__a21oi_1)
-                  0.06    0.06    9.78 v mprj/u_mac_wrap/_05741_/Y (sky130_fd_sc_hd__a21oi_1)
+                  0.19    0.00   11.86 ^ mprj/u_mac_wrap/_05794_/A_N (sky130_fd_sc_hd__and2b_1)
+                  0.05    0.23   12.10 v mprj/u_mac_wrap/_05794_/X (sky130_fd_sc_hd__and2b_1)
+     2    0.01                           mprj/u_mac_wrap/_02625_ (net)
+                  0.05    0.00   12.10 v mprj/u_mac_wrap/_05797_/B1 (sky130_fd_sc_hd__o22a_1)
+                  0.04    0.18   12.28 v mprj/u_mac_wrap/_05797_/X (sky130_fd_sc_hd__o22a_1)
      1    0.00                           mprj/u_mac_wrap/_00463_ (net)
-                  0.06    0.00    9.78 v mprj/u_mac_wrap/_08918_/D (sky130_fd_sc_hd__dfrtp_4)
-                                  9.78   data arrival time
+                  0.04    0.00   12.28 v mprj/u_mac_wrap/_08968_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 12.28   data arrival time
 
                          40.00   40.00   clock mac_tx_clk (rise edge)
                           0.00   40.00   clock source latency
@@ -141396,36 +159348,57 @@
                   0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[5] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21   41.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00   41.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15   41.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00   41.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37   42.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01   42.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32   42.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01   42.60 ^ mprj/u_mac_wrap/clkbuf_4_4_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.18   42.78 ^ mprj/u_mac_wrap/clkbuf_4_4_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     9    0.03                           mprj/u_mac_wrap/clknet_4_4_0_phy_tx_clk (net)
-                  0.07    0.00   42.78 ^ mprj/u_mac_wrap/_08918_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                         -0.25   42.53   clock uncertainty
-                          2.32   44.85   clock reconvergence pessimism
-                         -0.12   44.73   library setup time
-                                 44.73   data required time
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15   41.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00   41.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27   41.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02   41.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43   42.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09   42.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34   42.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00   42.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15   43.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00   43.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42   43.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01   43.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34   43.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01   43.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20   44.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00   44.12 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.17   44.29 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00   44.29 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.24   44.53 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01   44.54 ^ mprj/u_mac_wrap/clkbuf_4_5_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.19   44.73 ^ mprj/u_mac_wrap/clkbuf_4_5_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.03                           mprj/u_mac_wrap/clknet_4_5_0_phy_tx_clk (net)
+                  0.07    0.00   44.73 ^ mprj/u_mac_wrap/_08968_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   44.48   clock uncertainty
+                          2.47   46.95   clock reconvergence pessimism
+                         -0.11   46.85   library setup time
+                                 46.85   data required time
 -----------------------------------------------------------------------------
-                                 44.73   data required time
-                                 -9.78   data arrival time
+                                 46.85   data required time
+                                -12.28   data arrival time
 -----------------------------------------------------------------------------
-                                 34.95   slack (MET)
+                                 34.57   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_08892_
+Startpoint: mprj/u_mac_wrap/_08942_
             (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_09365_
+Endpoint: mprj/u_mac_wrap/_08970_
           (rising edge-triggered flip-flop clocked by mac_tx_clk)
 Path Group: mac_tx_clk
 Path Type: max
@@ -141449,71 +159422,98 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.92 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.21    5.13 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    13    0.04                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
-                  0.09    0.00    5.13 ^ mprj/u_mac_wrap/_08892_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.08    0.43    5.56 v mprj/u_mac_wrap/_08892_/Q (sky130_fd_sc_hd__dfrtp_1)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.01 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.26    7.28 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.08                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
+                  0.14    0.00    7.28 ^ mprj/u_mac_wrap/_08942_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.45    7.73 v mprj/u_mac_wrap/_08942_/Q (sky130_fd_sc_hd__dfrtp_1)
      2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
-                  0.08    0.00    5.56 v mprj/u_mac_wrap/_04513_/A (sky130_fd_sc_hd__xnor2_2)
-                  0.23    0.26    5.82 ^ mprj/u_mac_wrap/_04513_/Y (sky130_fd_sc_hd__xnor2_2)
-     3    0.01                           mprj/u_mac_wrap/_01847_ (net)
-                  0.23    0.00    5.82 ^ mprj/u_mac_wrap/_04514_/B (sky130_fd_sc_hd__xor2_2)
-                  0.22    0.26    6.07 ^ mprj/u_mac_wrap/_04514_/X (sky130_fd_sc_hd__xor2_2)
-     4    0.02                           mprj/u_mac_wrap/_01848_ (net)
-                  0.22    0.00    6.07 ^ mprj/u_mac_wrap/_04518_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.37 ^ mprj/u_mac_wrap/_04518_/X (sky130_fd_sc_hd__xor2_1)
+                  0.08    0.00    7.73 v mprj/u_mac_wrap/_04543_/A (sky130_fd_sc_hd__xnor2_2)
+                  0.22    0.25    7.98 ^ mprj/u_mac_wrap/_04543_/Y (sky130_fd_sc_hd__xnor2_2)
      3    0.01                           mprj/u_mac_wrap/_01852_ (net)
-                  0.26    0.00    6.37 ^ mprj/u_mac_wrap/_04520_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.65 ^ mprj/u_mac_wrap/_04520_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01854_ (net)
-                  0.26    0.00    6.65 ^ mprj/u_mac_wrap/_04521_/B (sky130_fd_sc_hd__xor2_1)
-                  0.17    0.23    6.88 ^ mprj/u_mac_wrap/_04521_/X (sky130_fd_sc_hd__xor2_1)
-     2    0.01                           mprj/u_mac_wrap/_01855_ (net)
-                  0.17    0.00    6.88 ^ mprj/u_mac_wrap/_04526_/A2 (sky130_fd_sc_hd__a211o_1)
-                  0.09    0.19    7.07 ^ mprj/u_mac_wrap/_04526_/X (sky130_fd_sc_hd__a211o_1)
-     3    0.01                           mprj/u_mac_wrap/_01860_ (net)
-                  0.09    0.00    7.07 ^ mprj/u_mac_wrap/_04528_/B2 (sky130_fd_sc_hd__a221oi_1)
-                  0.10    0.10    7.16 v mprj/u_mac_wrap/_04528_/Y (sky130_fd_sc_hd__a221oi_1)
-     2    0.01                           mprj/u_mac_wrap/_01862_ (net)
-                  0.10    0.00    7.16 v mprj/u_mac_wrap/_04531_/B (sky130_fd_sc_hd__or4_1)
-                  0.10    0.56    7.72 v mprj/u_mac_wrap/_04531_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01865_ (net)
-                  0.10    0.00    7.72 v mprj/u_mac_wrap/_04554_/B1 (sky130_fd_sc_hd__o2111a_1)
-                  0.15    0.27    7.99 v mprj/u_mac_wrap/_04554_/X (sky130_fd_sc_hd__o2111a_1)
-     6    0.03                           mprj/u_mac_wrap/_01885_ (net)
-                  0.15    0.00    7.99 v mprj/u_mac_wrap/_04592_/A (sky130_fd_sc_hd__nor4b_2)
-                  0.42    0.50    8.49 ^ mprj/u_mac_wrap/_04592_/Y (sky130_fd_sc_hd__nor4b_2)
-     2    0.02                           mprj/u_mac_wrap/_01916_ (net)
-                  0.42    0.00    8.49 ^ mprj/u_mac_wrap/fanout254/A (sky130_fd_sc_hd__buf_4)
-                  0.21    0.33    8.82 ^ mprj/u_mac_wrap/fanout254/X (sky130_fd_sc_hd__buf_4)
-    20    0.07                           mprj/u_mac_wrap/net254 (net)
-                  0.21    0.00    8.83 ^ mprj/u_mac_wrap/_06698_/B (sky130_fd_sc_hd__or2_1)
-                  0.18    0.24    9.06 ^ mprj/u_mac_wrap/_06698_/X (sky130_fd_sc_hd__or2_1)
-     3    0.02                           mprj/u_mac_wrap/_03166_ (net)
-                  0.18    0.00    9.06 ^ mprj/u_mac_wrap/fanout245/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.25    9.31 ^ mprj/u_mac_wrap/fanout245/X (sky130_fd_sc_hd__buf_2)
-     7    0.03                           mprj/u_mac_wrap/net245 (net)
-                  0.17    0.00    9.31 ^ mprj/u_mac_wrap/fanout244/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.15    0.27    9.58 ^ mprj/u_mac_wrap/fanout244/X (sky130_fd_sc_hd__clkbuf_4)
-    10    0.05                           mprj/u_mac_wrap/net244 (net)
-                  0.15    0.00    9.58 ^ mprj/u_mac_wrap/_06773_/B1 (sky130_fd_sc_hd__o32a_1)
-                  0.05    0.18    9.76 ^ mprj/u_mac_wrap/_06773_/X (sky130_fd_sc_hd__o32a_1)
-     1    0.00                           mprj/u_mac_wrap/_00865_ (net)
-                  0.05    0.00    9.76 ^ mprj/u_mac_wrap/_09365_/D (sky130_fd_sc_hd__dfstp_1)
-                                  9.76   data arrival time
+                  0.22    0.00    7.98 ^ mprj/u_mac_wrap/_04544_/B (sky130_fd_sc_hd__xor2_2)
+                  0.25    0.27    8.25 ^ mprj/u_mac_wrap/_04544_/X (sky130_fd_sc_hd__xor2_2)
+     4    0.02                           mprj/u_mac_wrap/_01853_ (net)
+                  0.25    0.00    8.25 ^ mprj/u_mac_wrap/_04548_/B (sky130_fd_sc_hd__xor2_1)
+                  0.28    0.30    8.56 ^ mprj/u_mac_wrap/_04548_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01857_ (net)
+                  0.28    0.00    8.56 ^ mprj/u_mac_wrap/_04550_/B (sky130_fd_sc_hd__xor2_1)
+                  0.26    0.29    8.85 ^ mprj/u_mac_wrap/_04550_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01859_ (net)
+                  0.26    0.00    8.85 ^ mprj/u_mac_wrap/_04551_/B (sky130_fd_sc_hd__xor2_1)
+                  0.17    0.23    9.07 ^ mprj/u_mac_wrap/_04551_/X (sky130_fd_sc_hd__xor2_1)
+     2    0.01                           mprj/u_mac_wrap/_01860_ (net)
+                  0.17    0.00    9.07 ^ mprj/u_mac_wrap/_04556_/A2 (sky130_fd_sc_hd__a211o_1)
+                  0.11    0.21    9.28 ^ mprj/u_mac_wrap/_04556_/X (sky130_fd_sc_hd__a211o_1)
+     3    0.01                           mprj/u_mac_wrap/_01865_ (net)
+                  0.11    0.00    9.28 ^ mprj/u_mac_wrap/_04558_/B2 (sky130_fd_sc_hd__a221oi_2)
+                  0.08    0.08    9.36 v mprj/u_mac_wrap/_04558_/Y (sky130_fd_sc_hd__a221oi_2)
+     2    0.01                           mprj/u_mac_wrap/_01867_ (net)
+                  0.08    0.00    9.36 v mprj/u_mac_wrap/_04561_/B (sky130_fd_sc_hd__or4_1)
+                  0.10    0.55    9.91 v mprj/u_mac_wrap/_04561_/X (sky130_fd_sc_hd__or4_1)
+     2    0.00                           mprj/u_mac_wrap/_01870_ (net)
+                  0.10    0.00    9.91 v mprj/u_mac_wrap/_04583_/B1 (sky130_fd_sc_hd__o2111a_1)
+                  0.11    0.23   10.14 v mprj/u_mac_wrap/_04583_/X (sky130_fd_sc_hd__o2111a_1)
+     4    0.02                           mprj/u_mac_wrap/_01889_ (net)
+                  0.11    0.00   10.14 v mprj/u_mac_wrap/_04618_/A_N (sky130_fd_sc_hd__and4bb_2)
+                  0.18    0.44   10.57 ^ mprj/u_mac_wrap/_04618_/X (sky130_fd_sc_hd__and4bb_2)
+     4    0.03                           mprj/u_mac_wrap/_01917_ (net)
+                  0.18    0.00   10.57 ^ mprj/u_mac_wrap/fanout251/A (sky130_fd_sc_hd__buf_4)
+                  0.22    0.29   10.86 ^ mprj/u_mac_wrap/fanout251/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_mac_wrap/net251 (net)
+                  0.22    0.00   10.86 ^ mprj/u_mac_wrap/_05773_/B1 (sky130_fd_sc_hd__a31oi_1)
+                  0.06    0.07   10.93 v mprj/u_mac_wrap/_05773_/Y (sky130_fd_sc_hd__a31oi_1)
+     1    0.00                           mprj/u_mac_wrap/_02610_ (net)
+                  0.06    0.00   10.93 v mprj/u_mac_wrap/fanout249/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.12    0.23   11.16 v mprj/u_mac_wrap/fanout249/X (sky130_fd_sc_hd__clkbuf_4)
+    16    0.06                           mprj/u_mac_wrap/net249 (net)
+                  0.12    0.00   11.16 v mprj/u_mac_wrap/fanout248/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.23   11.39 v mprj/u_mac_wrap/fanout248/X (sky130_fd_sc_hd__buf_2)
+    12    0.04                           mprj/u_mac_wrap/net248 (net)
+                  0.11    0.00   11.39 v mprj/u_mac_wrap/fanout247/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.12    0.25   11.65 v mprj/u_mac_wrap/fanout247/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.06                           mprj/u_mac_wrap/net247 (net)
+                  0.12    0.00   11.65 v mprj/u_mac_wrap/_05798_/B (sky130_fd_sc_hd__or3_1)
+                  0.10    0.43   12.08 v mprj/u_mac_wrap/_05798_/X (sky130_fd_sc_hd__or3_1)
+     3    0.01                           mprj/u_mac_wrap/_02627_ (net)
+                  0.10    0.00   12.08 v mprj/u_mac_wrap/_05802_/B (sky130_fd_sc_hd__xnor2_1)
+                  0.06    0.15   12.24 v mprj/u_mac_wrap/_05802_/Y (sky130_fd_sc_hd__xnor2_1)
+     1    0.00                           mprj/u_mac_wrap/_00465_ (net)
+                  0.06    0.00   12.24 v mprj/u_mac_wrap/_08970_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 12.24   data arrival time
 
                          40.00   40.00   clock mac_tx_clk (rise edge)
                           0.00   40.00   clock source latency
@@ -141532,36 +159532,57 @@
                   0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[5] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21   41.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00   41.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15   41.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00   41.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37   42.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01   42.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32   42.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.00   42.60 ^ mprj/u_mac_wrap/clkbuf_4_13_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.18   42.78 ^ mprj/u_mac_wrap/clkbuf_4_13_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     7    0.03                           mprj/u_mac_wrap/clknet_4_13_0_phy_tx_clk (net)
-                  0.06    0.00   42.78 ^ mprj/u_mac_wrap/_09365_/CLK (sky130_fd_sc_hd__dfstp_1)
-                         -0.25   42.53   clock uncertainty
-                          2.32   44.84   clock reconvergence pessimism
-                         -0.05   44.79   library setup time
-                                 44.79   data required time
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15   41.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00   41.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27   41.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02   41.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43   42.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09   42.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34   42.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00   42.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15   43.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00   43.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42   43.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01   43.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34   43.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01   43.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20   44.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00   44.12 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.17   44.29 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00   44.29 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.24   44.53 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01   44.54 ^ mprj/u_mac_wrap/clkbuf_4_5_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.19   44.73 ^ mprj/u_mac_wrap/clkbuf_4_5_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.03                           mprj/u_mac_wrap/clknet_4_5_0_phy_tx_clk (net)
+                  0.07    0.00   44.73 ^ mprj/u_mac_wrap/_08970_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   44.48   clock uncertainty
+                          2.47   46.95   clock reconvergence pessimism
+                         -0.12   46.84   library setup time
+                                 46.84   data required time
 -----------------------------------------------------------------------------
-                                 44.79   data required time
-                                 -9.76   data arrival time
+                                 46.84   data required time
+                                -12.24   data arrival time
 -----------------------------------------------------------------------------
-                                 35.03   slack (MET)
+                                 34.60   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_08892_
+Startpoint: mprj/u_mac_wrap/_08942_
             (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_09378_
+Endpoint: mprj/u_mac_wrap/_08969_
           (rising edge-triggered flip-flop clocked by mac_tx_clk)
 Path Group: mac_tx_clk
 Path Type: max
@@ -141585,71 +159606,98 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.92 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.21    5.13 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    13    0.04                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
-                  0.09    0.00    5.13 ^ mprj/u_mac_wrap/_08892_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.08    0.43    5.56 v mprj/u_mac_wrap/_08892_/Q (sky130_fd_sc_hd__dfrtp_1)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.01 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.26    7.28 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.08                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
+                  0.14    0.00    7.28 ^ mprj/u_mac_wrap/_08942_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.45    7.73 v mprj/u_mac_wrap/_08942_/Q (sky130_fd_sc_hd__dfrtp_1)
      2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
-                  0.08    0.00    5.56 v mprj/u_mac_wrap/_04513_/A (sky130_fd_sc_hd__xnor2_2)
-                  0.23    0.26    5.82 ^ mprj/u_mac_wrap/_04513_/Y (sky130_fd_sc_hd__xnor2_2)
-     3    0.01                           mprj/u_mac_wrap/_01847_ (net)
-                  0.23    0.00    5.82 ^ mprj/u_mac_wrap/_04514_/B (sky130_fd_sc_hd__xor2_2)
-                  0.22    0.26    6.07 ^ mprj/u_mac_wrap/_04514_/X (sky130_fd_sc_hd__xor2_2)
-     4    0.02                           mprj/u_mac_wrap/_01848_ (net)
-                  0.22    0.00    6.07 ^ mprj/u_mac_wrap/_04518_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.37 ^ mprj/u_mac_wrap/_04518_/X (sky130_fd_sc_hd__xor2_1)
+                  0.08    0.00    7.73 v mprj/u_mac_wrap/_04543_/A (sky130_fd_sc_hd__xnor2_2)
+                  0.22    0.25    7.98 ^ mprj/u_mac_wrap/_04543_/Y (sky130_fd_sc_hd__xnor2_2)
      3    0.01                           mprj/u_mac_wrap/_01852_ (net)
-                  0.26    0.00    6.37 ^ mprj/u_mac_wrap/_04520_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.65 ^ mprj/u_mac_wrap/_04520_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01854_ (net)
-                  0.26    0.00    6.65 ^ mprj/u_mac_wrap/_04521_/B (sky130_fd_sc_hd__xor2_1)
-                  0.17    0.23    6.88 ^ mprj/u_mac_wrap/_04521_/X (sky130_fd_sc_hd__xor2_1)
-     2    0.01                           mprj/u_mac_wrap/_01855_ (net)
-                  0.17    0.00    6.88 ^ mprj/u_mac_wrap/_04526_/A2 (sky130_fd_sc_hd__a211o_1)
-                  0.09    0.19    7.07 ^ mprj/u_mac_wrap/_04526_/X (sky130_fd_sc_hd__a211o_1)
-     3    0.01                           mprj/u_mac_wrap/_01860_ (net)
-                  0.09    0.00    7.07 ^ mprj/u_mac_wrap/_04528_/B2 (sky130_fd_sc_hd__a221oi_1)
-                  0.10    0.10    7.16 v mprj/u_mac_wrap/_04528_/Y (sky130_fd_sc_hd__a221oi_1)
-     2    0.01                           mprj/u_mac_wrap/_01862_ (net)
-                  0.10    0.00    7.16 v mprj/u_mac_wrap/_04531_/B (sky130_fd_sc_hd__or4_1)
-                  0.10    0.56    7.72 v mprj/u_mac_wrap/_04531_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01865_ (net)
-                  0.10    0.00    7.72 v mprj/u_mac_wrap/_04554_/B1 (sky130_fd_sc_hd__o2111a_1)
-                  0.15    0.27    7.99 v mprj/u_mac_wrap/_04554_/X (sky130_fd_sc_hd__o2111a_1)
-     6    0.03                           mprj/u_mac_wrap/_01885_ (net)
-                  0.15    0.00    7.99 v mprj/u_mac_wrap/_04592_/A (sky130_fd_sc_hd__nor4b_2)
-                  0.42    0.50    8.49 ^ mprj/u_mac_wrap/_04592_/Y (sky130_fd_sc_hd__nor4b_2)
-     2    0.02                           mprj/u_mac_wrap/_01916_ (net)
-                  0.42    0.00    8.49 ^ mprj/u_mac_wrap/fanout254/A (sky130_fd_sc_hd__buf_4)
-                  0.21    0.33    8.82 ^ mprj/u_mac_wrap/fanout254/X (sky130_fd_sc_hd__buf_4)
-    20    0.07                           mprj/u_mac_wrap/net254 (net)
-                  0.21    0.00    8.83 ^ mprj/u_mac_wrap/_06698_/B (sky130_fd_sc_hd__or2_1)
-                  0.18    0.24    9.06 ^ mprj/u_mac_wrap/_06698_/X (sky130_fd_sc_hd__or2_1)
-     3    0.02                           mprj/u_mac_wrap/_03166_ (net)
-                  0.18    0.00    9.06 ^ mprj/u_mac_wrap/fanout245/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.25    9.31 ^ mprj/u_mac_wrap/fanout245/X (sky130_fd_sc_hd__buf_2)
-     7    0.03                           mprj/u_mac_wrap/net245 (net)
-                  0.17    0.00    9.31 ^ mprj/u_mac_wrap/fanout244/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.15    0.27    9.58 ^ mprj/u_mac_wrap/fanout244/X (sky130_fd_sc_hd__clkbuf_4)
-    10    0.05                           mprj/u_mac_wrap/net244 (net)
-                  0.15    0.00    9.58 ^ mprj/u_mac_wrap/_06832_/B1 (sky130_fd_sc_hd__o32a_1)
-                  0.05    0.18    9.76 ^ mprj/u_mac_wrap/_06832_/X (sky130_fd_sc_hd__o32a_1)
-     1    0.00                           mprj/u_mac_wrap/_00878_ (net)
-                  0.05    0.00    9.76 ^ mprj/u_mac_wrap/_09378_/D (sky130_fd_sc_hd__dfstp_1)
-                                  9.76   data arrival time
+                  0.22    0.00    7.98 ^ mprj/u_mac_wrap/_04544_/B (sky130_fd_sc_hd__xor2_2)
+                  0.25    0.27    8.25 ^ mprj/u_mac_wrap/_04544_/X (sky130_fd_sc_hd__xor2_2)
+     4    0.02                           mprj/u_mac_wrap/_01853_ (net)
+                  0.25    0.00    8.25 ^ mprj/u_mac_wrap/_04548_/B (sky130_fd_sc_hd__xor2_1)
+                  0.28    0.30    8.56 ^ mprj/u_mac_wrap/_04548_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01857_ (net)
+                  0.28    0.00    8.56 ^ mprj/u_mac_wrap/_04550_/B (sky130_fd_sc_hd__xor2_1)
+                  0.26    0.29    8.85 ^ mprj/u_mac_wrap/_04550_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01859_ (net)
+                  0.26    0.00    8.85 ^ mprj/u_mac_wrap/_04551_/B (sky130_fd_sc_hd__xor2_1)
+                  0.17    0.23    9.07 ^ mprj/u_mac_wrap/_04551_/X (sky130_fd_sc_hd__xor2_1)
+     2    0.01                           mprj/u_mac_wrap/_01860_ (net)
+                  0.17    0.00    9.07 ^ mprj/u_mac_wrap/_04556_/A2 (sky130_fd_sc_hd__a211o_1)
+                  0.11    0.21    9.28 ^ mprj/u_mac_wrap/_04556_/X (sky130_fd_sc_hd__a211o_1)
+     3    0.01                           mprj/u_mac_wrap/_01865_ (net)
+                  0.11    0.00    9.28 ^ mprj/u_mac_wrap/_04558_/B2 (sky130_fd_sc_hd__a221oi_2)
+                  0.08    0.08    9.36 v mprj/u_mac_wrap/_04558_/Y (sky130_fd_sc_hd__a221oi_2)
+     2    0.01                           mprj/u_mac_wrap/_01867_ (net)
+                  0.08    0.00    9.36 v mprj/u_mac_wrap/_04561_/B (sky130_fd_sc_hd__or4_1)
+                  0.10    0.55    9.91 v mprj/u_mac_wrap/_04561_/X (sky130_fd_sc_hd__or4_1)
+     2    0.00                           mprj/u_mac_wrap/_01870_ (net)
+                  0.10    0.00    9.91 v mprj/u_mac_wrap/_04583_/B1 (sky130_fd_sc_hd__o2111a_1)
+                  0.11    0.23   10.14 v mprj/u_mac_wrap/_04583_/X (sky130_fd_sc_hd__o2111a_1)
+     4    0.02                           mprj/u_mac_wrap/_01889_ (net)
+                  0.11    0.00   10.14 v mprj/u_mac_wrap/_04618_/A_N (sky130_fd_sc_hd__and4bb_2)
+                  0.18    0.44   10.57 ^ mprj/u_mac_wrap/_04618_/X (sky130_fd_sc_hd__and4bb_2)
+     4    0.03                           mprj/u_mac_wrap/_01917_ (net)
+                  0.18    0.00   10.57 ^ mprj/u_mac_wrap/fanout251/A (sky130_fd_sc_hd__buf_4)
+                  0.22    0.29   10.86 ^ mprj/u_mac_wrap/fanout251/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_mac_wrap/net251 (net)
+                  0.22    0.00   10.86 ^ mprj/u_mac_wrap/_05773_/B1 (sky130_fd_sc_hd__a31oi_1)
+                  0.06    0.07   10.93 v mprj/u_mac_wrap/_05773_/Y (sky130_fd_sc_hd__a31oi_1)
+     1    0.00                           mprj/u_mac_wrap/_02610_ (net)
+                  0.06    0.00   10.93 v mprj/u_mac_wrap/fanout249/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.12    0.23   11.16 v mprj/u_mac_wrap/fanout249/X (sky130_fd_sc_hd__clkbuf_4)
+    16    0.06                           mprj/u_mac_wrap/net249 (net)
+                  0.12    0.00   11.16 v mprj/u_mac_wrap/fanout248/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.23   11.39 v mprj/u_mac_wrap/fanout248/X (sky130_fd_sc_hd__buf_2)
+    12    0.04                           mprj/u_mac_wrap/net248 (net)
+                  0.11    0.00   11.39 v mprj/u_mac_wrap/fanout247/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.12    0.25   11.65 v mprj/u_mac_wrap/fanout247/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.06                           mprj/u_mac_wrap/net247 (net)
+                  0.12    0.00   11.65 v mprj/u_mac_wrap/_05798_/B (sky130_fd_sc_hd__or3_1)
+                  0.10    0.43   12.08 v mprj/u_mac_wrap/_05798_/X (sky130_fd_sc_hd__or3_1)
+     3    0.01                           mprj/u_mac_wrap/_02627_ (net)
+                  0.10    0.00   12.08 v mprj/u_mac_wrap/_05800_/A (sky130_fd_sc_hd__and2_1)
+                  0.04    0.16   12.25 v mprj/u_mac_wrap/_05800_/X (sky130_fd_sc_hd__and2_1)
+     1    0.00                           mprj/u_mac_wrap/_00464_ (net)
+                  0.04    0.00   12.25 v mprj/u_mac_wrap/_08969_/D (sky130_fd_sc_hd__dfrtp_2)
+                                 12.25   data arrival time
 
                          40.00   40.00   clock mac_tx_clk (rise edge)
                           0.00   40.00   clock source latency
@@ -141668,36 +159716,57 @@
                   0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[5] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21   41.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00   41.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15   41.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00   41.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37   42.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01   42.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32   42.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.00   42.59 ^ mprj/u_mac_wrap/clkbuf_4_15_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.18   42.78 ^ mprj/u_mac_wrap/clkbuf_4_15_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    10    0.03                           mprj/u_mac_wrap/clknet_4_15_0_phy_tx_clk (net)
-                  0.07    0.00   42.78 ^ mprj/u_mac_wrap/_09378_/CLK (sky130_fd_sc_hd__dfstp_1)
-                         -0.25   42.53   clock uncertainty
-                          2.32   44.85   clock reconvergence pessimism
-                         -0.05   44.79   library setup time
-                                 44.79   data required time
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15   41.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00   41.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27   41.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02   41.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43   42.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09   42.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34   42.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00   42.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15   43.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00   43.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42   43.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01   43.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34   43.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01   43.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20   44.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00   44.12 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.17   44.29 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00   44.29 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.24   44.53 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01   44.54 ^ mprj/u_mac_wrap/clkbuf_4_5_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.19   44.73 ^ mprj/u_mac_wrap/clkbuf_4_5_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.03                           mprj/u_mac_wrap/clknet_4_5_0_phy_tx_clk (net)
+                  0.07    0.00   44.73 ^ mprj/u_mac_wrap/_08969_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                         -0.25   44.48   clock uncertainty
+                          2.47   46.95   clock reconvergence pessimism
+                         -0.11   46.85   library setup time
+                                 46.85   data required time
 -----------------------------------------------------------------------------
-                                 44.79   data required time
-                                 -9.76   data arrival time
+                                 46.85   data required time
+                                -12.25   data arrival time
 -----------------------------------------------------------------------------
-                                 35.03   slack (MET)
+                                 34.60   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_08892_
+Startpoint: mprj/u_mac_wrap/_08942_
             (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_09373_
+Endpoint: mprj/u_mac_wrap/_09402_
           (rising edge-triggered flip-flop clocked by mac_tx_clk)
 Path Group: mac_tx_clk
 Path Type: max
@@ -141721,343 +159790,89 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.92 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.21    5.13 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    13    0.04                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
-                  0.09    0.00    5.13 ^ mprj/u_mac_wrap/_08892_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.08    0.43    5.56 v mprj/u_mac_wrap/_08892_/Q (sky130_fd_sc_hd__dfrtp_1)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.01 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.26    7.28 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.08                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
+                  0.14    0.00    7.28 ^ mprj/u_mac_wrap/_08942_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.45    7.73 v mprj/u_mac_wrap/_08942_/Q (sky130_fd_sc_hd__dfrtp_1)
      2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
-                  0.08    0.00    5.56 v mprj/u_mac_wrap/_04513_/A (sky130_fd_sc_hd__xnor2_2)
-                  0.23    0.26    5.82 ^ mprj/u_mac_wrap/_04513_/Y (sky130_fd_sc_hd__xnor2_2)
-     3    0.01                           mprj/u_mac_wrap/_01847_ (net)
-                  0.23    0.00    5.82 ^ mprj/u_mac_wrap/_04514_/B (sky130_fd_sc_hd__xor2_2)
-                  0.22    0.26    6.07 ^ mprj/u_mac_wrap/_04514_/X (sky130_fd_sc_hd__xor2_2)
-     4    0.02                           mprj/u_mac_wrap/_01848_ (net)
-                  0.22    0.00    6.07 ^ mprj/u_mac_wrap/_04518_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.37 ^ mprj/u_mac_wrap/_04518_/X (sky130_fd_sc_hd__xor2_1)
+                  0.08    0.00    7.73 v mprj/u_mac_wrap/_04543_/A (sky130_fd_sc_hd__xnor2_2)
+                  0.22    0.25    7.98 ^ mprj/u_mac_wrap/_04543_/Y (sky130_fd_sc_hd__xnor2_2)
      3    0.01                           mprj/u_mac_wrap/_01852_ (net)
-                  0.26    0.00    6.37 ^ mprj/u_mac_wrap/_04520_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.65 ^ mprj/u_mac_wrap/_04520_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01854_ (net)
-                  0.26    0.00    6.65 ^ mprj/u_mac_wrap/_04521_/B (sky130_fd_sc_hd__xor2_1)
-                  0.17    0.23    6.88 ^ mprj/u_mac_wrap/_04521_/X (sky130_fd_sc_hd__xor2_1)
-     2    0.01                           mprj/u_mac_wrap/_01855_ (net)
-                  0.17    0.00    6.88 ^ mprj/u_mac_wrap/_04526_/A2 (sky130_fd_sc_hd__a211o_1)
-                  0.09    0.19    7.07 ^ mprj/u_mac_wrap/_04526_/X (sky130_fd_sc_hd__a211o_1)
-     3    0.01                           mprj/u_mac_wrap/_01860_ (net)
-                  0.09    0.00    7.07 ^ mprj/u_mac_wrap/_04528_/B2 (sky130_fd_sc_hd__a221oi_1)
-                  0.10    0.10    7.16 v mprj/u_mac_wrap/_04528_/Y (sky130_fd_sc_hd__a221oi_1)
-     2    0.01                           mprj/u_mac_wrap/_01862_ (net)
-                  0.10    0.00    7.16 v mprj/u_mac_wrap/_04531_/B (sky130_fd_sc_hd__or4_1)
-                  0.10    0.56    7.72 v mprj/u_mac_wrap/_04531_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01865_ (net)
-                  0.10    0.00    7.72 v mprj/u_mac_wrap/_04554_/B1 (sky130_fd_sc_hd__o2111a_1)
-                  0.15    0.27    7.99 v mprj/u_mac_wrap/_04554_/X (sky130_fd_sc_hd__o2111a_1)
-     6    0.03                           mprj/u_mac_wrap/_01885_ (net)
-                  0.15    0.00    7.99 v mprj/u_mac_wrap/_04592_/A (sky130_fd_sc_hd__nor4b_2)
-                  0.42    0.50    8.49 ^ mprj/u_mac_wrap/_04592_/Y (sky130_fd_sc_hd__nor4b_2)
-     2    0.02                           mprj/u_mac_wrap/_01916_ (net)
-                  0.42    0.00    8.49 ^ mprj/u_mac_wrap/fanout254/A (sky130_fd_sc_hd__buf_4)
-                  0.21    0.33    8.82 ^ mprj/u_mac_wrap/fanout254/X (sky130_fd_sc_hd__buf_4)
-    20    0.07                           mprj/u_mac_wrap/net254 (net)
-                  0.21    0.00    8.83 ^ mprj/u_mac_wrap/_06698_/B (sky130_fd_sc_hd__or2_1)
-                  0.18    0.24    9.06 ^ mprj/u_mac_wrap/_06698_/X (sky130_fd_sc_hd__or2_1)
-     3    0.02                           mprj/u_mac_wrap/_03166_ (net)
-                  0.18    0.00    9.06 ^ mprj/u_mac_wrap/fanout245/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.25    9.31 ^ mprj/u_mac_wrap/fanout245/X (sky130_fd_sc_hd__buf_2)
-     7    0.03                           mprj/u_mac_wrap/net245 (net)
-                  0.17    0.00    9.31 ^ mprj/u_mac_wrap/fanout244/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.15    0.27    9.58 ^ mprj/u_mac_wrap/fanout244/X (sky130_fd_sc_hd__clkbuf_4)
-    10    0.05                           mprj/u_mac_wrap/net244 (net)
-                  0.15    0.00    9.58 ^ mprj/u_mac_wrap/_06815_/B1 (sky130_fd_sc_hd__o32a_1)
-                  0.05    0.18    9.76 ^ mprj/u_mac_wrap/_06815_/X (sky130_fd_sc_hd__o32a_1)
-     1    0.00                           mprj/u_mac_wrap/_00873_ (net)
-                  0.05    0.00    9.76 ^ mprj/u_mac_wrap/_09373_/D (sky130_fd_sc_hd__dfstp_1)
-                                  9.76   data arrival time
-
-                         40.00   40.00   clock mac_tx_clk (rise edge)
-                          0.00   40.00   clock source latency
-                  1.00    0.00   40.00 ^ mprj_io[5] (inout)
-     1    6.12                           mprj_io[5] (net)
-                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[5] (net)
-                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00   41.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10   41.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00   41.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21   41.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21   41.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00   41.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15   41.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00   41.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37   42.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01   42.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32   42.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.00   42.59 ^ mprj/u_mac_wrap/clkbuf_4_15_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.18   42.78 ^ mprj/u_mac_wrap/clkbuf_4_15_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    10    0.03                           mprj/u_mac_wrap/clknet_4_15_0_phy_tx_clk (net)
-                  0.07    0.00   42.78 ^ mprj/u_mac_wrap/_09373_/CLK (sky130_fd_sc_hd__dfstp_1)
-                         -0.25   42.53   clock uncertainty
-                          2.32   44.85   clock reconvergence pessimism
-                         -0.05   44.79   library setup time
-                                 44.79   data required time
------------------------------------------------------------------------------
-                                 44.79   data required time
-                                 -9.76   data arrival time
------------------------------------------------------------------------------
-                                 35.04   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_08892_
-            (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_09362_
-          (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Path Group: mac_tx_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1   11.12                           mprj_io[5] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[5] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.92 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.21    5.13 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    13    0.04                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
-                  0.09    0.00    5.13 ^ mprj/u_mac_wrap/_08892_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.08    0.43    5.56 v mprj/u_mac_wrap/_08892_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
-                  0.08    0.00    5.56 v mprj/u_mac_wrap/_04513_/A (sky130_fd_sc_hd__xnor2_2)
-                  0.23    0.26    5.82 ^ mprj/u_mac_wrap/_04513_/Y (sky130_fd_sc_hd__xnor2_2)
-     3    0.01                           mprj/u_mac_wrap/_01847_ (net)
-                  0.23    0.00    5.82 ^ mprj/u_mac_wrap/_04514_/B (sky130_fd_sc_hd__xor2_2)
-                  0.22    0.26    6.07 ^ mprj/u_mac_wrap/_04514_/X (sky130_fd_sc_hd__xor2_2)
-     4    0.02                           mprj/u_mac_wrap/_01848_ (net)
-                  0.22    0.00    6.07 ^ mprj/u_mac_wrap/_04518_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.37 ^ mprj/u_mac_wrap/_04518_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01852_ (net)
-                  0.26    0.00    6.37 ^ mprj/u_mac_wrap/_04520_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.65 ^ mprj/u_mac_wrap/_04520_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01854_ (net)
-                  0.26    0.00    6.65 ^ mprj/u_mac_wrap/_04521_/B (sky130_fd_sc_hd__xor2_1)
-                  0.17    0.23    6.88 ^ mprj/u_mac_wrap/_04521_/X (sky130_fd_sc_hd__xor2_1)
-     2    0.01                           mprj/u_mac_wrap/_01855_ (net)
-                  0.17    0.00    6.88 ^ mprj/u_mac_wrap/_04526_/A2 (sky130_fd_sc_hd__a211o_1)
-                  0.09    0.19    7.07 ^ mprj/u_mac_wrap/_04526_/X (sky130_fd_sc_hd__a211o_1)
-     3    0.01                           mprj/u_mac_wrap/_01860_ (net)
-                  0.09    0.00    7.07 ^ mprj/u_mac_wrap/_04528_/B2 (sky130_fd_sc_hd__a221oi_1)
-                  0.10    0.10    7.16 v mprj/u_mac_wrap/_04528_/Y (sky130_fd_sc_hd__a221oi_1)
-     2    0.01                           mprj/u_mac_wrap/_01862_ (net)
-                  0.10    0.00    7.16 v mprj/u_mac_wrap/_04531_/B (sky130_fd_sc_hd__or4_1)
-                  0.10    0.56    7.72 v mprj/u_mac_wrap/_04531_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01865_ (net)
-                  0.10    0.00    7.72 v mprj/u_mac_wrap/_04554_/B1 (sky130_fd_sc_hd__o2111a_1)
-                  0.15    0.27    7.99 v mprj/u_mac_wrap/_04554_/X (sky130_fd_sc_hd__o2111a_1)
-     6    0.03                           mprj/u_mac_wrap/_01885_ (net)
-                  0.15    0.00    7.99 v mprj/u_mac_wrap/_04592_/A (sky130_fd_sc_hd__nor4b_2)
-                  0.42    0.50    8.49 ^ mprj/u_mac_wrap/_04592_/Y (sky130_fd_sc_hd__nor4b_2)
-     2    0.02                           mprj/u_mac_wrap/_01916_ (net)
-                  0.42    0.00    8.49 ^ mprj/u_mac_wrap/fanout254/A (sky130_fd_sc_hd__buf_4)
-                  0.21    0.33    8.82 ^ mprj/u_mac_wrap/fanout254/X (sky130_fd_sc_hd__buf_4)
-    20    0.07                           mprj/u_mac_wrap/net254 (net)
-                  0.21    0.00    8.83 ^ mprj/u_mac_wrap/_06698_/B (sky130_fd_sc_hd__or2_1)
-                  0.18    0.24    9.06 ^ mprj/u_mac_wrap/_06698_/X (sky130_fd_sc_hd__or2_1)
-     3    0.02                           mprj/u_mac_wrap/_03166_ (net)
-                  0.18    0.00    9.06 ^ mprj/u_mac_wrap/fanout245/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.25    9.31 ^ mprj/u_mac_wrap/fanout245/X (sky130_fd_sc_hd__buf_2)
-     7    0.03                           mprj/u_mac_wrap/net245 (net)
-                  0.17    0.00    9.31 ^ mprj/u_mac_wrap/fanout244/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.15    0.27    9.58 ^ mprj/u_mac_wrap/fanout244/X (sky130_fd_sc_hd__clkbuf_4)
-    10    0.05                           mprj/u_mac_wrap/net244 (net)
-                  0.15    0.00    9.58 ^ mprj/u_mac_wrap/_06757_/B1 (sky130_fd_sc_hd__o32a_1)
-                  0.05    0.17    9.75 ^ mprj/u_mac_wrap/_06757_/X (sky130_fd_sc_hd__o32a_1)
-     1    0.00                           mprj/u_mac_wrap/_00862_ (net)
-                  0.05    0.00    9.75 ^ mprj/u_mac_wrap/_09362_/D (sky130_fd_sc_hd__dfstp_1)
-                                  9.75   data arrival time
-
-                         40.00   40.00   clock mac_tx_clk (rise edge)
-                          0.00   40.00   clock source latency
-                  1.00    0.00   40.00 ^ mprj_io[5] (inout)
-     1    6.12                           mprj_io[5] (net)
-                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[5] (net)
-                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00   41.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10   41.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00   41.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21   41.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21   41.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00   41.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15   41.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00   41.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37   42.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01   42.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32   42.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.00   42.59 ^ mprj/u_mac_wrap/clkbuf_4_15_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.18   42.78 ^ mprj/u_mac_wrap/clkbuf_4_15_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    10    0.03                           mprj/u_mac_wrap/clknet_4_15_0_phy_tx_clk (net)
-                  0.07    0.00   42.78 ^ mprj/u_mac_wrap/_09362_/CLK (sky130_fd_sc_hd__dfstp_1)
-                         -0.25   42.53   clock uncertainty
-                          2.32   44.85   clock reconvergence pessimism
-                         -0.05   44.80   library setup time
-                                 44.80   data required time
------------------------------------------------------------------------------
-                                 44.80   data required time
-                                 -9.75   data arrival time
------------------------------------------------------------------------------
-                                 35.04   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_08892_
-            (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_09352_
-          (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Path Group: mac_tx_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1   11.12                           mprj_io[5] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[5] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.92 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.21    5.13 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    13    0.04                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
-                  0.09    0.00    5.13 ^ mprj/u_mac_wrap/_08892_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.08    0.43    5.56 v mprj/u_mac_wrap/_08892_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
-                  0.08    0.00    5.56 v mprj/u_mac_wrap/_04513_/A (sky130_fd_sc_hd__xnor2_2)
-                  0.23    0.26    5.82 ^ mprj/u_mac_wrap/_04513_/Y (sky130_fd_sc_hd__xnor2_2)
-     3    0.01                           mprj/u_mac_wrap/_01847_ (net)
-                  0.23    0.00    5.82 ^ mprj/u_mac_wrap/_04514_/B (sky130_fd_sc_hd__xor2_2)
-                  0.22    0.26    6.07 ^ mprj/u_mac_wrap/_04514_/X (sky130_fd_sc_hd__xor2_2)
-     4    0.02                           mprj/u_mac_wrap/_01848_ (net)
-                  0.22    0.00    6.07 ^ mprj/u_mac_wrap/_04518_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.37 ^ mprj/u_mac_wrap/_04518_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01852_ (net)
-                  0.26    0.00    6.37 ^ mprj/u_mac_wrap/_04520_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.65 ^ mprj/u_mac_wrap/_04520_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01854_ (net)
-                  0.26    0.00    6.65 ^ mprj/u_mac_wrap/_04521_/B (sky130_fd_sc_hd__xor2_1)
-                  0.17    0.23    6.88 ^ mprj/u_mac_wrap/_04521_/X (sky130_fd_sc_hd__xor2_1)
-     2    0.01                           mprj/u_mac_wrap/_01855_ (net)
-                  0.17    0.00    6.88 ^ mprj/u_mac_wrap/_04526_/A2 (sky130_fd_sc_hd__a211o_1)
-                  0.09    0.19    7.07 ^ mprj/u_mac_wrap/_04526_/X (sky130_fd_sc_hd__a211o_1)
-     3    0.01                           mprj/u_mac_wrap/_01860_ (net)
-                  0.09    0.00    7.07 ^ mprj/u_mac_wrap/_04528_/B2 (sky130_fd_sc_hd__a221oi_1)
-                  0.10    0.10    7.16 v mprj/u_mac_wrap/_04528_/Y (sky130_fd_sc_hd__a221oi_1)
-     2    0.01                           mprj/u_mac_wrap/_01862_ (net)
-                  0.10    0.00    7.16 v mprj/u_mac_wrap/_04531_/B (sky130_fd_sc_hd__or4_1)
-                  0.10    0.56    7.72 v mprj/u_mac_wrap/_04531_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01865_ (net)
-                  0.10    0.00    7.72 v mprj/u_mac_wrap/_04554_/B1 (sky130_fd_sc_hd__o2111a_1)
-                  0.15    0.27    7.99 v mprj/u_mac_wrap/_04554_/X (sky130_fd_sc_hd__o2111a_1)
-     6    0.03                           mprj/u_mac_wrap/_01885_ (net)
-                  0.15    0.00    7.99 v mprj/u_mac_wrap/_04592_/A (sky130_fd_sc_hd__nor4b_2)
-                  0.42    0.50    8.49 ^ mprj/u_mac_wrap/_04592_/Y (sky130_fd_sc_hd__nor4b_2)
-     2    0.02                           mprj/u_mac_wrap/_01916_ (net)
-                  0.42    0.00    8.49 ^ mprj/u_mac_wrap/fanout254/A (sky130_fd_sc_hd__buf_4)
-                  0.21    0.33    8.82 ^ mprj/u_mac_wrap/fanout254/X (sky130_fd_sc_hd__buf_4)
-    20    0.07                           mprj/u_mac_wrap/net254 (net)
-                  0.21    0.00    8.83 ^ mprj/u_mac_wrap/_06698_/B (sky130_fd_sc_hd__or2_1)
-                  0.18    0.24    9.06 ^ mprj/u_mac_wrap/_06698_/X (sky130_fd_sc_hd__or2_1)
-     3    0.02                           mprj/u_mac_wrap/_03166_ (net)
-                  0.18    0.00    9.06 ^ mprj/u_mac_wrap/fanout245/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.25    9.31 ^ mprj/u_mac_wrap/fanout245/X (sky130_fd_sc_hd__buf_2)
-     7    0.03                           mprj/u_mac_wrap/net245 (net)
-                  0.17    0.00    9.31 ^ mprj/u_mac_wrap/fanout244/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.15    0.27    9.58 ^ mprj/u_mac_wrap/fanout244/X (sky130_fd_sc_hd__clkbuf_4)
-    10    0.05                           mprj/u_mac_wrap/net244 (net)
-                  0.15    0.00    9.58 ^ mprj/u_mac_wrap/_06714_/B1 (sky130_fd_sc_hd__o32a_1)
-                  0.05    0.18    9.75 ^ mprj/u_mac_wrap/_06714_/X (sky130_fd_sc_hd__o32a_1)
+                  0.22    0.00    7.98 ^ mprj/u_mac_wrap/_04544_/B (sky130_fd_sc_hd__xor2_2)
+                  0.25    0.27    8.25 ^ mprj/u_mac_wrap/_04544_/X (sky130_fd_sc_hd__xor2_2)
+     4    0.02                           mprj/u_mac_wrap/_01853_ (net)
+                  0.25    0.00    8.25 ^ mprj/u_mac_wrap/_04548_/B (sky130_fd_sc_hd__xor2_1)
+                  0.28    0.30    8.56 ^ mprj/u_mac_wrap/_04548_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01857_ (net)
+                  0.28    0.00    8.56 ^ mprj/u_mac_wrap/_04550_/B (sky130_fd_sc_hd__xor2_1)
+                  0.26    0.29    8.85 ^ mprj/u_mac_wrap/_04550_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01859_ (net)
+                  0.26    0.00    8.85 ^ mprj/u_mac_wrap/_04551_/B (sky130_fd_sc_hd__xor2_1)
+                  0.09    0.13    8.98 v mprj/u_mac_wrap/_04551_/X (sky130_fd_sc_hd__xor2_1)
+     2    0.01                           mprj/u_mac_wrap/_01860_ (net)
+                  0.09    0.00    8.98 v mprj/u_mac_wrap/_04556_/A2 (sky130_fd_sc_hd__a211o_1)
+                  0.08    0.36    9.34 v mprj/u_mac_wrap/_04556_/X (sky130_fd_sc_hd__a211o_1)
+     3    0.01                           mprj/u_mac_wrap/_01865_ (net)
+                  0.08    0.00    9.34 v mprj/u_mac_wrap/_04567_/C (sky130_fd_sc_hd__or4_1)
+                  0.13    0.56    9.89 v mprj/u_mac_wrap/_04567_/X (sky130_fd_sc_hd__or4_1)
+     2    0.01                           mprj/u_mac_wrap/_01876_ (net)
+                  0.13    0.00    9.89 v mprj/u_mac_wrap/_04568_/A (sky130_fd_sc_hd__inv_2)
+                  0.04    0.07    9.97 ^ mprj/u_mac_wrap/_04568_/Y (sky130_fd_sc_hd__inv_2)
+     1    0.00                           mprj/u_mac_wrap/_01877_ (net)
+                  0.04    0.00    9.97 ^ mprj/u_mac_wrap/_04583_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.18    0.30   10.27 ^ mprj/u_mac_wrap/_04583_/X (sky130_fd_sc_hd__o2111a_1)
+     4    0.02                           mprj/u_mac_wrap/_01889_ (net)
+                  0.18    0.00   10.27 ^ mprj/u_mac_wrap/_04618_/A_N (sky130_fd_sc_hd__and4bb_2)
+                  0.10    0.33   10.59 v mprj/u_mac_wrap/_04618_/X (sky130_fd_sc_hd__and4bb_2)
+     4    0.03                           mprj/u_mac_wrap/_01917_ (net)
+                  0.10    0.00   10.60 v mprj/u_mac_wrap/fanout251/A (sky130_fd_sc_hd__buf_4)
+                  0.10    0.24   10.83 v mprj/u_mac_wrap/fanout251/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_mac_wrap/net251 (net)
+                  0.10    0.00   10.84 v mprj/u_mac_wrap/_06760_/A_N (sky130_fd_sc_hd__nand2b_2)
+                  0.14    0.29   11.13 v mprj/u_mac_wrap/_06760_/Y (sky130_fd_sc_hd__nand2b_2)
+     8    0.03                           mprj/u_mac_wrap/_03203_ (net)
+                  0.14    0.00   11.13 v mprj/u_mac_wrap/fanout241/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.12    0.27   11.40 v mprj/u_mac_wrap/fanout241/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.06                           mprj/u_mac_wrap/net241 (net)
+                  0.12    0.00   11.40 v mprj/u_mac_wrap/_06773_/A1 (sky130_fd_sc_hd__o32a_1)
+                  0.05    0.38   11.78 v mprj/u_mac_wrap/_06773_/X (sky130_fd_sc_hd__o32a_1)
      1    0.00                           mprj/u_mac_wrap/_00852_ (net)
-                  0.05    0.00    9.75 ^ mprj/u_mac_wrap/_09352_/D (sky130_fd_sc_hd__dfstp_2)
-                                  9.75   data arrival time
+                  0.05    0.00   11.78 v mprj/u_mac_wrap/_09402_/D (sky130_fd_sc_hd__dfstp_2)
+                                 11.78   data arrival time
 
                          40.00   40.00   clock mac_tx_clk (rise edge)
                           0.00   40.00   clock source latency
@@ -142076,36 +159891,51 @@
                   0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[5] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21   41.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00   41.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15   41.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00   41.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37   42.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01   42.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32   42.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.00   42.60 ^ mprj/u_mac_wrap/clkbuf_4_7_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.19   42.78 ^ mprj/u_mac_wrap/clkbuf_4_7_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     9    0.03                           mprj/u_mac_wrap/clknet_4_7_0_phy_tx_clk (net)
-                  0.07    0.00   42.78 ^ mprj/u_mac_wrap/_09352_/CLK (sky130_fd_sc_hd__dfstp_2)
-                         -0.25   42.53   clock uncertainty
-                          2.32   44.85   clock reconvergence pessimism
-                         -0.05   44.80   library setup time
-                                 44.80   data required time
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15   41.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00   41.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27   41.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02   41.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43   42.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09   42.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34   42.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00   42.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15   43.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00   43.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42   43.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01   43.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34   43.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01   43.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20   44.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00   44.13 ^ mprj/u_mac_wrap/clkbuf_4_13_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14   44.26 ^ mprj/u_mac_wrap/clkbuf_4_13_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     6    0.02                           mprj/u_mac_wrap/clknet_4_13_0_phy_tx_clk (net)
+                  0.05    0.00   44.27 ^ mprj/u_mac_wrap/_09402_/CLK (sky130_fd_sc_hd__dfstp_2)
+                         -0.25   44.02   clock uncertainty
+                          2.44   46.45   clock reconvergence pessimism
+                         -0.07   46.38   library setup time
+                                 46.38   data required time
 -----------------------------------------------------------------------------
-                                 44.80   data required time
-                                 -9.75   data arrival time
+                                 46.38   data required time
+                                -11.78   data arrival time
 -----------------------------------------------------------------------------
-                                 35.04   slack (MET)
+                                 34.60   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_08892_
+Startpoint: mprj/u_mac_wrap/_08942_
             (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_09368_
+Endpoint: mprj/u_mac_wrap/_09420_
           (rising edge-triggered flip-flop clocked by mac_tx_clk)
 Path Group: mac_tx_clk
 Path Type: max
@@ -142129,615 +159959,89 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.92 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.21    5.13 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    13    0.04                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
-                  0.09    0.00    5.13 ^ mprj/u_mac_wrap/_08892_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.08    0.43    5.56 v mprj/u_mac_wrap/_08892_/Q (sky130_fd_sc_hd__dfrtp_1)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.01 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.26    7.28 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.08                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
+                  0.14    0.00    7.28 ^ mprj/u_mac_wrap/_08942_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.45    7.73 v mprj/u_mac_wrap/_08942_/Q (sky130_fd_sc_hd__dfrtp_1)
      2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
-                  0.08    0.00    5.56 v mprj/u_mac_wrap/_04513_/A (sky130_fd_sc_hd__xnor2_2)
-                  0.23    0.26    5.82 ^ mprj/u_mac_wrap/_04513_/Y (sky130_fd_sc_hd__xnor2_2)
-     3    0.01                           mprj/u_mac_wrap/_01847_ (net)
-                  0.23    0.00    5.82 ^ mprj/u_mac_wrap/_04514_/B (sky130_fd_sc_hd__xor2_2)
-                  0.22    0.26    6.07 ^ mprj/u_mac_wrap/_04514_/X (sky130_fd_sc_hd__xor2_2)
-     4    0.02                           mprj/u_mac_wrap/_01848_ (net)
-                  0.22    0.00    6.07 ^ mprj/u_mac_wrap/_04518_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.37 ^ mprj/u_mac_wrap/_04518_/X (sky130_fd_sc_hd__xor2_1)
+                  0.08    0.00    7.73 v mprj/u_mac_wrap/_04543_/A (sky130_fd_sc_hd__xnor2_2)
+                  0.22    0.25    7.98 ^ mprj/u_mac_wrap/_04543_/Y (sky130_fd_sc_hd__xnor2_2)
      3    0.01                           mprj/u_mac_wrap/_01852_ (net)
-                  0.26    0.00    6.37 ^ mprj/u_mac_wrap/_04520_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.65 ^ mprj/u_mac_wrap/_04520_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01854_ (net)
-                  0.26    0.00    6.65 ^ mprj/u_mac_wrap/_04521_/B (sky130_fd_sc_hd__xor2_1)
-                  0.17    0.23    6.88 ^ mprj/u_mac_wrap/_04521_/X (sky130_fd_sc_hd__xor2_1)
-     2    0.01                           mprj/u_mac_wrap/_01855_ (net)
-                  0.17    0.00    6.88 ^ mprj/u_mac_wrap/_04526_/A2 (sky130_fd_sc_hd__a211o_1)
-                  0.09    0.19    7.07 ^ mprj/u_mac_wrap/_04526_/X (sky130_fd_sc_hd__a211o_1)
-     3    0.01                           mprj/u_mac_wrap/_01860_ (net)
-                  0.09    0.00    7.07 ^ mprj/u_mac_wrap/_04528_/B2 (sky130_fd_sc_hd__a221oi_1)
-                  0.10    0.10    7.16 v mprj/u_mac_wrap/_04528_/Y (sky130_fd_sc_hd__a221oi_1)
-     2    0.01                           mprj/u_mac_wrap/_01862_ (net)
-                  0.10    0.00    7.16 v mprj/u_mac_wrap/_04531_/B (sky130_fd_sc_hd__or4_1)
-                  0.10    0.56    7.72 v mprj/u_mac_wrap/_04531_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01865_ (net)
-                  0.10    0.00    7.72 v mprj/u_mac_wrap/_04554_/B1 (sky130_fd_sc_hd__o2111a_1)
-                  0.15    0.27    7.99 v mprj/u_mac_wrap/_04554_/X (sky130_fd_sc_hd__o2111a_1)
-     6    0.03                           mprj/u_mac_wrap/_01885_ (net)
-                  0.15    0.00    7.99 v mprj/u_mac_wrap/_04592_/A (sky130_fd_sc_hd__nor4b_2)
-                  0.42    0.50    8.49 ^ mprj/u_mac_wrap/_04592_/Y (sky130_fd_sc_hd__nor4b_2)
-     2    0.02                           mprj/u_mac_wrap/_01916_ (net)
-                  0.42    0.00    8.49 ^ mprj/u_mac_wrap/fanout254/A (sky130_fd_sc_hd__buf_4)
-                  0.21    0.33    8.82 ^ mprj/u_mac_wrap/fanout254/X (sky130_fd_sc_hd__buf_4)
-    20    0.07                           mprj/u_mac_wrap/net254 (net)
-                  0.21    0.00    8.83 ^ mprj/u_mac_wrap/_06698_/B (sky130_fd_sc_hd__or2_1)
-                  0.18    0.24    9.06 ^ mprj/u_mac_wrap/_06698_/X (sky130_fd_sc_hd__or2_1)
-     3    0.02                           mprj/u_mac_wrap/_03166_ (net)
-                  0.18    0.00    9.06 ^ mprj/u_mac_wrap/fanout245/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.25    9.31 ^ mprj/u_mac_wrap/fanout245/X (sky130_fd_sc_hd__buf_2)
-     7    0.03                           mprj/u_mac_wrap/net245 (net)
-                  0.17    0.00    9.31 ^ mprj/u_mac_wrap/fanout244/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.15    0.27    9.58 ^ mprj/u_mac_wrap/fanout244/X (sky130_fd_sc_hd__clkbuf_4)
-    10    0.05                           mprj/u_mac_wrap/net244 (net)
-                  0.15    0.00    9.58 ^ mprj/u_mac_wrap/_06788_/A2 (sky130_fd_sc_hd__o22a_1)
-                  0.05    0.16    9.74 ^ mprj/u_mac_wrap/_06788_/X (sky130_fd_sc_hd__o22a_1)
-     1    0.00                           mprj/u_mac_wrap/_00868_ (net)
-                  0.05    0.00    9.74 ^ mprj/u_mac_wrap/_09368_/D (sky130_fd_sc_hd__dfstp_1)
-                                  9.74   data arrival time
-
-                         40.00   40.00   clock mac_tx_clk (rise edge)
-                          0.00   40.00   clock source latency
-                  1.00    0.00   40.00 ^ mprj_io[5] (inout)
-     1    6.12                           mprj_io[5] (net)
-                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[5] (net)
-                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00   41.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10   41.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00   41.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21   41.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21   41.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00   41.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15   41.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00   41.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37   42.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01   42.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32   42.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.00   42.60 ^ mprj/u_mac_wrap/clkbuf_4_13_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.18   42.78 ^ mprj/u_mac_wrap/clkbuf_4_13_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     7    0.03                           mprj/u_mac_wrap/clknet_4_13_0_phy_tx_clk (net)
-                  0.06    0.00   42.78 ^ mprj/u_mac_wrap/_09368_/CLK (sky130_fd_sc_hd__dfstp_1)
-                         -0.25   42.53   clock uncertainty
-                          2.32   44.84   clock reconvergence pessimism
-                         -0.05   44.79   library setup time
-                                 44.79   data required time
------------------------------------------------------------------------------
-                                 44.79   data required time
-                                 -9.74   data arrival time
------------------------------------------------------------------------------
-                                 35.05   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_08892_
-            (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_09360_
-          (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Path Group: mac_tx_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1   11.12                           mprj_io[5] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[5] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.92 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.21    5.13 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    13    0.04                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
-                  0.09    0.00    5.13 ^ mprj/u_mac_wrap/_08892_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.08    0.43    5.56 v mprj/u_mac_wrap/_08892_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
-                  0.08    0.00    5.56 v mprj/u_mac_wrap/_04513_/A (sky130_fd_sc_hd__xnor2_2)
-                  0.23    0.26    5.82 ^ mprj/u_mac_wrap/_04513_/Y (sky130_fd_sc_hd__xnor2_2)
-     3    0.01                           mprj/u_mac_wrap/_01847_ (net)
-                  0.23    0.00    5.82 ^ mprj/u_mac_wrap/_04514_/B (sky130_fd_sc_hd__xor2_2)
-                  0.22    0.26    6.07 ^ mprj/u_mac_wrap/_04514_/X (sky130_fd_sc_hd__xor2_2)
-     4    0.02                           mprj/u_mac_wrap/_01848_ (net)
-                  0.22    0.00    6.07 ^ mprj/u_mac_wrap/_04518_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.37 ^ mprj/u_mac_wrap/_04518_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01852_ (net)
-                  0.26    0.00    6.37 ^ mprj/u_mac_wrap/_04520_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.65 ^ mprj/u_mac_wrap/_04520_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01854_ (net)
-                  0.26    0.00    6.65 ^ mprj/u_mac_wrap/_04521_/B (sky130_fd_sc_hd__xor2_1)
-                  0.17    0.23    6.88 ^ mprj/u_mac_wrap/_04521_/X (sky130_fd_sc_hd__xor2_1)
-     2    0.01                           mprj/u_mac_wrap/_01855_ (net)
-                  0.17    0.00    6.88 ^ mprj/u_mac_wrap/_04526_/A2 (sky130_fd_sc_hd__a211o_1)
-                  0.09    0.19    7.07 ^ mprj/u_mac_wrap/_04526_/X (sky130_fd_sc_hd__a211o_1)
-     3    0.01                           mprj/u_mac_wrap/_01860_ (net)
-                  0.09    0.00    7.07 ^ mprj/u_mac_wrap/_04528_/B2 (sky130_fd_sc_hd__a221oi_1)
-                  0.10    0.10    7.16 v mprj/u_mac_wrap/_04528_/Y (sky130_fd_sc_hd__a221oi_1)
-     2    0.01                           mprj/u_mac_wrap/_01862_ (net)
-                  0.10    0.00    7.16 v mprj/u_mac_wrap/_04531_/B (sky130_fd_sc_hd__or4_1)
-                  0.10    0.56    7.72 v mprj/u_mac_wrap/_04531_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01865_ (net)
-                  0.10    0.00    7.72 v mprj/u_mac_wrap/_04554_/B1 (sky130_fd_sc_hd__o2111a_1)
-                  0.15    0.27    7.99 v mprj/u_mac_wrap/_04554_/X (sky130_fd_sc_hd__o2111a_1)
-     6    0.03                           mprj/u_mac_wrap/_01885_ (net)
-                  0.15    0.00    7.99 v mprj/u_mac_wrap/_04592_/A (sky130_fd_sc_hd__nor4b_2)
-                  0.42    0.50    8.49 ^ mprj/u_mac_wrap/_04592_/Y (sky130_fd_sc_hd__nor4b_2)
-     2    0.02                           mprj/u_mac_wrap/_01916_ (net)
-                  0.42    0.00    8.49 ^ mprj/u_mac_wrap/fanout254/A (sky130_fd_sc_hd__buf_4)
-                  0.21    0.33    8.82 ^ mprj/u_mac_wrap/fanout254/X (sky130_fd_sc_hd__buf_4)
-    20    0.07                           mprj/u_mac_wrap/net254 (net)
-                  0.21    0.00    8.83 ^ mprj/u_mac_wrap/_06698_/B (sky130_fd_sc_hd__or2_1)
-                  0.18    0.24    9.06 ^ mprj/u_mac_wrap/_06698_/X (sky130_fd_sc_hd__or2_1)
-     3    0.02                           mprj/u_mac_wrap/_03166_ (net)
-                  0.18    0.00    9.06 ^ mprj/u_mac_wrap/fanout245/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.25    9.31 ^ mprj/u_mac_wrap/fanout245/X (sky130_fd_sc_hd__buf_2)
-     7    0.03                           mprj/u_mac_wrap/net245 (net)
-                  0.17    0.00    9.31 ^ mprj/u_mac_wrap/fanout244/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.15    0.27    9.58 ^ mprj/u_mac_wrap/fanout244/X (sky130_fd_sc_hd__clkbuf_4)
-    10    0.05                           mprj/u_mac_wrap/net244 (net)
-                  0.15    0.00    9.58 ^ mprj/u_mac_wrap/_06751_/A2 (sky130_fd_sc_hd__o22a_1)
-                  0.05    0.16    9.74 ^ mprj/u_mac_wrap/_06751_/X (sky130_fd_sc_hd__o22a_1)
-     1    0.00                           mprj/u_mac_wrap/_00860_ (net)
-                  0.05    0.00    9.74 ^ mprj/u_mac_wrap/_09360_/D (sky130_fd_sc_hd__dfstp_1)
-                                  9.74   data arrival time
-
-                         40.00   40.00   clock mac_tx_clk (rise edge)
-                          0.00   40.00   clock source latency
-                  1.00    0.00   40.00 ^ mprj_io[5] (inout)
-     1    6.12                           mprj_io[5] (net)
-                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[5] (net)
-                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00   41.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10   41.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00   41.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21   41.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21   41.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00   41.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15   41.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00   41.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37   42.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01   42.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32   42.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.00   42.60 ^ mprj/u_mac_wrap/clkbuf_4_13_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.18   42.78 ^ mprj/u_mac_wrap/clkbuf_4_13_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     7    0.03                           mprj/u_mac_wrap/clknet_4_13_0_phy_tx_clk (net)
-                  0.06    0.00   42.78 ^ mprj/u_mac_wrap/_09360_/CLK (sky130_fd_sc_hd__dfstp_1)
-                         -0.25   42.53   clock uncertainty
-                          2.32   44.84   clock reconvergence pessimism
-                         -0.05   44.79   library setup time
-                                 44.79   data required time
------------------------------------------------------------------------------
-                                 44.79   data required time
-                                 -9.74   data arrival time
------------------------------------------------------------------------------
-                                 35.05   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_08892_
-            (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_09354_
-          (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Path Group: mac_tx_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1   11.12                           mprj_io[5] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[5] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.92 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.21    5.13 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    13    0.04                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
-                  0.09    0.00    5.13 ^ mprj/u_mac_wrap/_08892_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.08    0.43    5.56 v mprj/u_mac_wrap/_08892_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
-                  0.08    0.00    5.56 v mprj/u_mac_wrap/_04513_/A (sky130_fd_sc_hd__xnor2_2)
-                  0.23    0.26    5.82 ^ mprj/u_mac_wrap/_04513_/Y (sky130_fd_sc_hd__xnor2_2)
-     3    0.01                           mprj/u_mac_wrap/_01847_ (net)
-                  0.23    0.00    5.82 ^ mprj/u_mac_wrap/_04514_/B (sky130_fd_sc_hd__xor2_2)
-                  0.22    0.26    6.07 ^ mprj/u_mac_wrap/_04514_/X (sky130_fd_sc_hd__xor2_2)
-     4    0.02                           mprj/u_mac_wrap/_01848_ (net)
-                  0.22    0.00    6.07 ^ mprj/u_mac_wrap/_04518_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.37 ^ mprj/u_mac_wrap/_04518_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01852_ (net)
-                  0.26    0.00    6.37 ^ mprj/u_mac_wrap/_04520_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.65 ^ mprj/u_mac_wrap/_04520_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01854_ (net)
-                  0.26    0.00    6.65 ^ mprj/u_mac_wrap/_04521_/B (sky130_fd_sc_hd__xor2_1)
-                  0.17    0.23    6.88 ^ mprj/u_mac_wrap/_04521_/X (sky130_fd_sc_hd__xor2_1)
-     2    0.01                           mprj/u_mac_wrap/_01855_ (net)
-                  0.17    0.00    6.88 ^ mprj/u_mac_wrap/_04526_/A2 (sky130_fd_sc_hd__a211o_1)
-                  0.09    0.19    7.07 ^ mprj/u_mac_wrap/_04526_/X (sky130_fd_sc_hd__a211o_1)
-     3    0.01                           mprj/u_mac_wrap/_01860_ (net)
-                  0.09    0.00    7.07 ^ mprj/u_mac_wrap/_04528_/B2 (sky130_fd_sc_hd__a221oi_1)
-                  0.10    0.10    7.16 v mprj/u_mac_wrap/_04528_/Y (sky130_fd_sc_hd__a221oi_1)
-     2    0.01                           mprj/u_mac_wrap/_01862_ (net)
-                  0.10    0.00    7.16 v mprj/u_mac_wrap/_04531_/B (sky130_fd_sc_hd__or4_1)
-                  0.10    0.56    7.72 v mprj/u_mac_wrap/_04531_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01865_ (net)
-                  0.10    0.00    7.72 v mprj/u_mac_wrap/_04554_/B1 (sky130_fd_sc_hd__o2111a_1)
-                  0.15    0.27    7.99 v mprj/u_mac_wrap/_04554_/X (sky130_fd_sc_hd__o2111a_1)
-     6    0.03                           mprj/u_mac_wrap/_01885_ (net)
-                  0.15    0.00    7.99 v mprj/u_mac_wrap/_04592_/A (sky130_fd_sc_hd__nor4b_2)
-                  0.42    0.50    8.49 ^ mprj/u_mac_wrap/_04592_/Y (sky130_fd_sc_hd__nor4b_2)
-     2    0.02                           mprj/u_mac_wrap/_01916_ (net)
-                  0.42    0.00    8.49 ^ mprj/u_mac_wrap/fanout254/A (sky130_fd_sc_hd__buf_4)
-                  0.21    0.33    8.82 ^ mprj/u_mac_wrap/fanout254/X (sky130_fd_sc_hd__buf_4)
-    20    0.07                           mprj/u_mac_wrap/net254 (net)
-                  0.21    0.00    8.83 ^ mprj/u_mac_wrap/_06698_/B (sky130_fd_sc_hd__or2_1)
-                  0.18    0.24    9.06 ^ mprj/u_mac_wrap/_06698_/X (sky130_fd_sc_hd__or2_1)
-     3    0.02                           mprj/u_mac_wrap/_03166_ (net)
-                  0.18    0.00    9.06 ^ mprj/u_mac_wrap/fanout245/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.25    9.31 ^ mprj/u_mac_wrap/fanout245/X (sky130_fd_sc_hd__buf_2)
-     7    0.03                           mprj/u_mac_wrap/net245 (net)
-                  0.17    0.00    9.31 ^ mprj/u_mac_wrap/fanout244/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.15    0.27    9.58 ^ mprj/u_mac_wrap/fanout244/X (sky130_fd_sc_hd__clkbuf_4)
-    10    0.05                           mprj/u_mac_wrap/net244 (net)
-                  0.15    0.00    9.58 ^ mprj/u_mac_wrap/_06725_/A2 (sky130_fd_sc_hd__o22a_1)
-                  0.04    0.15    9.74 ^ mprj/u_mac_wrap/_06725_/X (sky130_fd_sc_hd__o22a_1)
-     1    0.00                           mprj/u_mac_wrap/_00854_ (net)
-                  0.04    0.00    9.74 ^ mprj/u_mac_wrap/_09354_/D (sky130_fd_sc_hd__dfstp_2)
-                                  9.74   data arrival time
-
-                         40.00   40.00   clock mac_tx_clk (rise edge)
-                          0.00   40.00   clock source latency
-                  1.00    0.00   40.00 ^ mprj_io[5] (inout)
-     1    6.12                           mprj_io[5] (net)
-                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[5] (net)
-                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00   41.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10   41.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00   41.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21   41.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21   41.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00   41.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15   41.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00   41.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37   42.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01   42.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32   42.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.00   42.60 ^ mprj/u_mac_wrap/clkbuf_4_13_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.18   42.78 ^ mprj/u_mac_wrap/clkbuf_4_13_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     7    0.03                           mprj/u_mac_wrap/clknet_4_13_0_phy_tx_clk (net)
-                  0.06    0.00   42.78 ^ mprj/u_mac_wrap/_09354_/CLK (sky130_fd_sc_hd__dfstp_2)
-                         -0.25   42.53   clock uncertainty
-                          2.32   44.84   clock reconvergence pessimism
-                         -0.05   44.79   library setup time
-                                 44.79   data required time
------------------------------------------------------------------------------
-                                 44.79   data required time
-                                 -9.74   data arrival time
------------------------------------------------------------------------------
-                                 35.06   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_08892_
-            (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_09390_
-          (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Path Group: mac_tx_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1   11.12                           mprj_io[5] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[5] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.92 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.21    5.13 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    13    0.04                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
-                  0.09    0.00    5.13 ^ mprj/u_mac_wrap/_08892_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.08    0.43    5.56 v mprj/u_mac_wrap/_08892_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
-                  0.08    0.00    5.56 v mprj/u_mac_wrap/_04513_/A (sky130_fd_sc_hd__xnor2_2)
-                  0.23    0.26    5.82 ^ mprj/u_mac_wrap/_04513_/Y (sky130_fd_sc_hd__xnor2_2)
-     3    0.01                           mprj/u_mac_wrap/_01847_ (net)
-                  0.23    0.00    5.82 ^ mprj/u_mac_wrap/_04514_/B (sky130_fd_sc_hd__xor2_2)
-                  0.22    0.26    6.07 ^ mprj/u_mac_wrap/_04514_/X (sky130_fd_sc_hd__xor2_2)
-     4    0.02                           mprj/u_mac_wrap/_01848_ (net)
-                  0.22    0.00    6.07 ^ mprj/u_mac_wrap/_04518_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.37 ^ mprj/u_mac_wrap/_04518_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01852_ (net)
-                  0.26    0.00    6.37 ^ mprj/u_mac_wrap/_04520_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.65 ^ mprj/u_mac_wrap/_04520_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01854_ (net)
-                  0.26    0.00    6.65 ^ mprj/u_mac_wrap/_04521_/B (sky130_fd_sc_hd__xor2_1)
-                  0.17    0.23    6.88 ^ mprj/u_mac_wrap/_04521_/X (sky130_fd_sc_hd__xor2_1)
-     2    0.01                           mprj/u_mac_wrap/_01855_ (net)
-                  0.17    0.00    6.88 ^ mprj/u_mac_wrap/_04526_/A2 (sky130_fd_sc_hd__a211o_1)
-                  0.09    0.19    7.07 ^ mprj/u_mac_wrap/_04526_/X (sky130_fd_sc_hd__a211o_1)
-     3    0.01                           mprj/u_mac_wrap/_01860_ (net)
-                  0.09    0.00    7.07 ^ mprj/u_mac_wrap/_04528_/B2 (sky130_fd_sc_hd__a221oi_1)
-                  0.10    0.10    7.16 v mprj/u_mac_wrap/_04528_/Y (sky130_fd_sc_hd__a221oi_1)
-     2    0.01                           mprj/u_mac_wrap/_01862_ (net)
-                  0.10    0.00    7.16 v mprj/u_mac_wrap/_04531_/B (sky130_fd_sc_hd__or4_1)
-                  0.10    0.56    7.72 v mprj/u_mac_wrap/_04531_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01865_ (net)
-                  0.10    0.00    7.72 v mprj/u_mac_wrap/_04554_/B1 (sky130_fd_sc_hd__o2111a_1)
-                  0.15    0.27    7.99 v mprj/u_mac_wrap/_04554_/X (sky130_fd_sc_hd__o2111a_1)
-     6    0.03                           mprj/u_mac_wrap/_01885_ (net)
-                  0.15    0.00    7.99 v mprj/u_mac_wrap/_04592_/A (sky130_fd_sc_hd__nor4b_2)
-                  0.42    0.50    8.49 ^ mprj/u_mac_wrap/_04592_/Y (sky130_fd_sc_hd__nor4b_2)
-     2    0.02                           mprj/u_mac_wrap/_01916_ (net)
-                  0.42    0.00    8.49 ^ mprj/u_mac_wrap/fanout254/A (sky130_fd_sc_hd__buf_4)
-                  0.21    0.33    8.82 ^ mprj/u_mac_wrap/fanout254/X (sky130_fd_sc_hd__buf_4)
-    20    0.07                           mprj/u_mac_wrap/net254 (net)
-                  0.21    0.01    8.83 ^ mprj/u_mac_wrap/_05718_/B (sky130_fd_sc_hd__nor2_1)
-                  0.10    0.14    8.97 v mprj/u_mac_wrap/_05718_/Y (sky130_fd_sc_hd__nor2_1)
-     2    0.02                           mprj/u_mac_wrap/_02580_ (net)
-                  0.10    0.00    8.97 v mprj/u_mac_wrap/fanout247/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.13    0.26    9.23 v mprj/u_mac_wrap/fanout247/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.06                           mprj/u_mac_wrap/net247 (net)
-                  0.13    0.00    9.23 v mprj/u_mac_wrap/_07054_/A1 (sky130_fd_sc_hd__o32a_1)
-                  0.10    0.45    9.67 v mprj/u_mac_wrap/_07054_/X (sky130_fd_sc_hd__o32a_1)
-     1    0.01                           mprj/u_mac_wrap/_03482_ (net)
-                  0.10    0.00    9.67 v mprj/u_mac_wrap/_07055_/B (sky130_fd_sc_hd__nor2_1)
-                  0.07    0.10    9.78 ^ mprj/u_mac_wrap/_07055_/Y (sky130_fd_sc_hd__nor2_1)
-     1    0.00                           mprj/u_mac_wrap/_00890_ (net)
-                  0.07    0.00    9.78 ^ mprj/u_mac_wrap/_09390_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  9.78   data arrival time
-
-                         40.00   40.00   clock mac_tx_clk (rise edge)
-                          0.00   40.00   clock source latency
-                  1.00    0.00   40.00 ^ mprj_io[5] (inout)
-     1    6.12                           mprj_io[5] (net)
-                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[5] (net)
-                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00   41.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10   41.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00   41.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21   41.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21   41.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00   41.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15   41.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00   41.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37   42.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01   42.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32   42.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01   42.60 ^ mprj/u_mac_wrap/clkbuf_4_3_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.12    0.22   42.82 ^ mprj/u_mac_wrap/clkbuf_4_3_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    28    0.07                           mprj/u_mac_wrap/clknet_4_3_0_phy_tx_clk (net)
-                  0.12    0.00   42.82 ^ mprj/u_mac_wrap/_09390_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   42.57   clock uncertainty
-                          2.32   44.89   clock reconvergence pessimism
-                         -0.05   44.83   library setup time
-                                 44.83   data required time
------------------------------------------------------------------------------
-                                 44.83   data required time
-                                 -9.78   data arrival time
------------------------------------------------------------------------------
-                                 35.06   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_08892_
-            (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_09370_
-          (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Path Group: mac_tx_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1   11.12                           mprj_io[5] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[5] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.92 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.21    5.13 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    13    0.04                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
-                  0.09    0.00    5.13 ^ mprj/u_mac_wrap/_08892_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.08    0.43    5.56 v mprj/u_mac_wrap/_08892_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
-                  0.08    0.00    5.56 v mprj/u_mac_wrap/_04513_/A (sky130_fd_sc_hd__xnor2_2)
-                  0.23    0.26    5.82 ^ mprj/u_mac_wrap/_04513_/Y (sky130_fd_sc_hd__xnor2_2)
-     3    0.01                           mprj/u_mac_wrap/_01847_ (net)
-                  0.23    0.00    5.82 ^ mprj/u_mac_wrap/_04514_/B (sky130_fd_sc_hd__xor2_2)
-                  0.22    0.26    6.07 ^ mprj/u_mac_wrap/_04514_/X (sky130_fd_sc_hd__xor2_2)
-     4    0.02                           mprj/u_mac_wrap/_01848_ (net)
-                  0.22    0.00    6.07 ^ mprj/u_mac_wrap/_04518_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.37 ^ mprj/u_mac_wrap/_04518_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01852_ (net)
-                  0.26    0.00    6.37 ^ mprj/u_mac_wrap/_04520_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.65 ^ mprj/u_mac_wrap/_04520_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01854_ (net)
-                  0.26    0.00    6.65 ^ mprj/u_mac_wrap/_04521_/B (sky130_fd_sc_hd__xor2_1)
-                  0.17    0.23    6.88 ^ mprj/u_mac_wrap/_04521_/X (sky130_fd_sc_hd__xor2_1)
-     2    0.01                           mprj/u_mac_wrap/_01855_ (net)
-                  0.17    0.00    6.88 ^ mprj/u_mac_wrap/_04526_/A2 (sky130_fd_sc_hd__a211o_1)
-                  0.09    0.19    7.07 ^ mprj/u_mac_wrap/_04526_/X (sky130_fd_sc_hd__a211o_1)
-     3    0.01                           mprj/u_mac_wrap/_01860_ (net)
-                  0.09    0.00    7.07 ^ mprj/u_mac_wrap/_04528_/B2 (sky130_fd_sc_hd__a221oi_1)
-                  0.10    0.10    7.16 v mprj/u_mac_wrap/_04528_/Y (sky130_fd_sc_hd__a221oi_1)
-     2    0.01                           mprj/u_mac_wrap/_01862_ (net)
-                  0.10    0.00    7.16 v mprj/u_mac_wrap/_04531_/B (sky130_fd_sc_hd__or4_1)
-                  0.10    0.56    7.72 v mprj/u_mac_wrap/_04531_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01865_ (net)
-                  0.10    0.00    7.72 v mprj/u_mac_wrap/_04554_/B1 (sky130_fd_sc_hd__o2111a_1)
-                  0.15    0.27    7.99 v mprj/u_mac_wrap/_04554_/X (sky130_fd_sc_hd__o2111a_1)
-     6    0.03                           mprj/u_mac_wrap/_01885_ (net)
-                  0.15    0.00    7.99 v mprj/u_mac_wrap/_04592_/A (sky130_fd_sc_hd__nor4b_2)
-                  0.42    0.50    8.49 ^ mprj/u_mac_wrap/_04592_/Y (sky130_fd_sc_hd__nor4b_2)
-     2    0.02                           mprj/u_mac_wrap/_01916_ (net)
-                  0.42    0.00    8.49 ^ mprj/u_mac_wrap/fanout254/A (sky130_fd_sc_hd__buf_4)
-                  0.21    0.33    8.82 ^ mprj/u_mac_wrap/fanout254/X (sky130_fd_sc_hd__buf_4)
-    20    0.07                           mprj/u_mac_wrap/net254 (net)
-                  0.21    0.00    8.83 ^ mprj/u_mac_wrap/_06698_/B (sky130_fd_sc_hd__or2_1)
-                  0.18    0.24    9.06 ^ mprj/u_mac_wrap/_06698_/X (sky130_fd_sc_hd__or2_1)
-     3    0.02                           mprj/u_mac_wrap/_03166_ (net)
-                  0.18    0.00    9.06 ^ mprj/u_mac_wrap/fanout245/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.25    9.31 ^ mprj/u_mac_wrap/fanout245/X (sky130_fd_sc_hd__buf_2)
-     7    0.03                           mprj/u_mac_wrap/net245 (net)
-                  0.17    0.00    9.31 ^ mprj/u_mac_wrap/fanout244/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.15    0.27    9.58 ^ mprj/u_mac_wrap/fanout244/X (sky130_fd_sc_hd__clkbuf_4)
-    10    0.05                           mprj/u_mac_wrap/net244 (net)
-                  0.15    0.00    9.58 ^ mprj/u_mac_wrap/_06801_/A2 (sky130_fd_sc_hd__o22a_1)
-                  0.05    0.16    9.74 ^ mprj/u_mac_wrap/_06801_/X (sky130_fd_sc_hd__o22a_1)
+                  0.22    0.00    7.98 ^ mprj/u_mac_wrap/_04544_/B (sky130_fd_sc_hd__xor2_2)
+                  0.25    0.27    8.25 ^ mprj/u_mac_wrap/_04544_/X (sky130_fd_sc_hd__xor2_2)
+     4    0.02                           mprj/u_mac_wrap/_01853_ (net)
+                  0.25    0.00    8.25 ^ mprj/u_mac_wrap/_04548_/B (sky130_fd_sc_hd__xor2_1)
+                  0.28    0.30    8.56 ^ mprj/u_mac_wrap/_04548_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01857_ (net)
+                  0.28    0.00    8.56 ^ mprj/u_mac_wrap/_04550_/B (sky130_fd_sc_hd__xor2_1)
+                  0.26    0.29    8.85 ^ mprj/u_mac_wrap/_04550_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01859_ (net)
+                  0.26    0.00    8.85 ^ mprj/u_mac_wrap/_04551_/B (sky130_fd_sc_hd__xor2_1)
+                  0.09    0.13    8.98 v mprj/u_mac_wrap/_04551_/X (sky130_fd_sc_hd__xor2_1)
+     2    0.01                           mprj/u_mac_wrap/_01860_ (net)
+                  0.09    0.00    8.98 v mprj/u_mac_wrap/_04556_/A2 (sky130_fd_sc_hd__a211o_1)
+                  0.08    0.36    9.34 v mprj/u_mac_wrap/_04556_/X (sky130_fd_sc_hd__a211o_1)
+     3    0.01                           mprj/u_mac_wrap/_01865_ (net)
+                  0.08    0.00    9.34 v mprj/u_mac_wrap/_04567_/C (sky130_fd_sc_hd__or4_1)
+                  0.13    0.56    9.89 v mprj/u_mac_wrap/_04567_/X (sky130_fd_sc_hd__or4_1)
+     2    0.01                           mprj/u_mac_wrap/_01876_ (net)
+                  0.13    0.00    9.89 v mprj/u_mac_wrap/_04568_/A (sky130_fd_sc_hd__inv_2)
+                  0.04    0.07    9.97 ^ mprj/u_mac_wrap/_04568_/Y (sky130_fd_sc_hd__inv_2)
+     1    0.00                           mprj/u_mac_wrap/_01877_ (net)
+                  0.04    0.00    9.97 ^ mprj/u_mac_wrap/_04583_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.18    0.30   10.27 ^ mprj/u_mac_wrap/_04583_/X (sky130_fd_sc_hd__o2111a_1)
+     4    0.02                           mprj/u_mac_wrap/_01889_ (net)
+                  0.18    0.00   10.27 ^ mprj/u_mac_wrap/_04618_/A_N (sky130_fd_sc_hd__and4bb_2)
+                  0.10    0.33   10.59 v mprj/u_mac_wrap/_04618_/X (sky130_fd_sc_hd__and4bb_2)
+     4    0.03                           mprj/u_mac_wrap/_01917_ (net)
+                  0.10    0.00   10.60 v mprj/u_mac_wrap/fanout251/A (sky130_fd_sc_hd__buf_4)
+                  0.10    0.24   10.83 v mprj/u_mac_wrap/fanout251/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_mac_wrap/net251 (net)
+                  0.10    0.00   10.84 v mprj/u_mac_wrap/_06760_/A_N (sky130_fd_sc_hd__nand2b_2)
+                  0.14    0.29   11.13 v mprj/u_mac_wrap/_06760_/Y (sky130_fd_sc_hd__nand2b_2)
+     8    0.03                           mprj/u_mac_wrap/_03203_ (net)
+                  0.14    0.00   11.13 v mprj/u_mac_wrap/fanout241/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.12    0.27   11.40 v mprj/u_mac_wrap/fanout241/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.06                           mprj/u_mac_wrap/net241 (net)
+                  0.12    0.00   11.40 v mprj/u_mac_wrap/_06861_/A1 (sky130_fd_sc_hd__o32a_1)
+                  0.05    0.38   11.78 v mprj/u_mac_wrap/_06861_/X (sky130_fd_sc_hd__o32a_1)
      1    0.00                           mprj/u_mac_wrap/_00870_ (net)
-                  0.05    0.00    9.74 ^ mprj/u_mac_wrap/_09370_/D (sky130_fd_sc_hd__dfstp_1)
-                                  9.74   data arrival time
+                  0.05    0.00   11.78 v mprj/u_mac_wrap/_09420_/D (sky130_fd_sc_hd__dfstp_1)
+                                 11.78   data arrival time
 
                          40.00   40.00   clock mac_tx_clk (rise edge)
                           0.00   40.00   clock source latency
@@ -142756,36 +160060,51 @@
                   0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[5] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21   41.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00   41.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15   41.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00   41.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37   42.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01   42.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32   42.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.00   42.59 ^ mprj/u_mac_wrap/clkbuf_4_15_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.18   42.78 ^ mprj/u_mac_wrap/clkbuf_4_15_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    10    0.03                           mprj/u_mac_wrap/clknet_4_15_0_phy_tx_clk (net)
-                  0.07    0.00   42.78 ^ mprj/u_mac_wrap/_09370_/CLK (sky130_fd_sc_hd__dfstp_1)
-                         -0.25   42.53   clock uncertainty
-                          2.32   44.85   clock reconvergence pessimism
-                         -0.05   44.80   library setup time
-                                 44.80   data required time
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15   41.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00   41.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27   41.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02   41.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43   42.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09   42.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34   42.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00   42.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15   43.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00   43.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42   43.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01   43.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34   43.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01   43.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20   44.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00   44.13 ^ mprj/u_mac_wrap/clkbuf_4_13_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14   44.26 ^ mprj/u_mac_wrap/clkbuf_4_13_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     6    0.02                           mprj/u_mac_wrap/clknet_4_13_0_phy_tx_clk (net)
+                  0.05    0.00   44.27 ^ mprj/u_mac_wrap/_09420_/CLK (sky130_fd_sc_hd__dfstp_1)
+                         -0.25   44.02   clock uncertainty
+                          2.44   46.45   clock reconvergence pessimism
+                         -0.07   46.38   library setup time
+                                 46.38   data required time
 -----------------------------------------------------------------------------
-                                 44.80   data required time
-                                 -9.74   data arrival time
+                                 46.38   data required time
+                                -11.78   data arrival time
 -----------------------------------------------------------------------------
-                                 35.06   slack (MET)
+                                 34.61   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_08892_
+Startpoint: mprj/u_mac_wrap/_08942_
             (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_09376_
+Endpoint: mprj/u_mac_wrap/_09429_
           (rising edge-triggered flip-flop clocked by mac_tx_clk)
 Path Group: mac_tx_clk
 Path Type: max
@@ -142809,71 +160128,89 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.92 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.21    5.13 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    13    0.04                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
-                  0.09    0.00    5.13 ^ mprj/u_mac_wrap/_08892_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.08    0.43    5.56 v mprj/u_mac_wrap/_08892_/Q (sky130_fd_sc_hd__dfrtp_1)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.01 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.26    7.28 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.08                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
+                  0.14    0.00    7.28 ^ mprj/u_mac_wrap/_08942_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.45    7.73 v mprj/u_mac_wrap/_08942_/Q (sky130_fd_sc_hd__dfrtp_1)
      2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
-                  0.08    0.00    5.56 v mprj/u_mac_wrap/_04513_/A (sky130_fd_sc_hd__xnor2_2)
-                  0.23    0.26    5.82 ^ mprj/u_mac_wrap/_04513_/Y (sky130_fd_sc_hd__xnor2_2)
-     3    0.01                           mprj/u_mac_wrap/_01847_ (net)
-                  0.23    0.00    5.82 ^ mprj/u_mac_wrap/_04514_/B (sky130_fd_sc_hd__xor2_2)
-                  0.22    0.26    6.07 ^ mprj/u_mac_wrap/_04514_/X (sky130_fd_sc_hd__xor2_2)
-     4    0.02                           mprj/u_mac_wrap/_01848_ (net)
-                  0.22    0.00    6.07 ^ mprj/u_mac_wrap/_04518_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.37 ^ mprj/u_mac_wrap/_04518_/X (sky130_fd_sc_hd__xor2_1)
+                  0.08    0.00    7.73 v mprj/u_mac_wrap/_04543_/A (sky130_fd_sc_hd__xnor2_2)
+                  0.22    0.25    7.98 ^ mprj/u_mac_wrap/_04543_/Y (sky130_fd_sc_hd__xnor2_2)
      3    0.01                           mprj/u_mac_wrap/_01852_ (net)
-                  0.26    0.00    6.37 ^ mprj/u_mac_wrap/_04520_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.65 ^ mprj/u_mac_wrap/_04520_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01854_ (net)
-                  0.26    0.00    6.65 ^ mprj/u_mac_wrap/_04521_/B (sky130_fd_sc_hd__xor2_1)
-                  0.17    0.23    6.88 ^ mprj/u_mac_wrap/_04521_/X (sky130_fd_sc_hd__xor2_1)
-     2    0.01                           mprj/u_mac_wrap/_01855_ (net)
-                  0.17    0.00    6.88 ^ mprj/u_mac_wrap/_04526_/A2 (sky130_fd_sc_hd__a211o_1)
-                  0.09    0.19    7.07 ^ mprj/u_mac_wrap/_04526_/X (sky130_fd_sc_hd__a211o_1)
-     3    0.01                           mprj/u_mac_wrap/_01860_ (net)
-                  0.09    0.00    7.07 ^ mprj/u_mac_wrap/_04528_/B2 (sky130_fd_sc_hd__a221oi_1)
-                  0.10    0.10    7.16 v mprj/u_mac_wrap/_04528_/Y (sky130_fd_sc_hd__a221oi_1)
-     2    0.01                           mprj/u_mac_wrap/_01862_ (net)
-                  0.10    0.00    7.16 v mprj/u_mac_wrap/_04531_/B (sky130_fd_sc_hd__or4_1)
-                  0.10    0.56    7.72 v mprj/u_mac_wrap/_04531_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01865_ (net)
-                  0.10    0.00    7.72 v mprj/u_mac_wrap/_04554_/B1 (sky130_fd_sc_hd__o2111a_1)
-                  0.15    0.27    7.99 v mprj/u_mac_wrap/_04554_/X (sky130_fd_sc_hd__o2111a_1)
-     6    0.03                           mprj/u_mac_wrap/_01885_ (net)
-                  0.15    0.00    7.99 v mprj/u_mac_wrap/_04592_/A (sky130_fd_sc_hd__nor4b_2)
-                  0.42    0.50    8.49 ^ mprj/u_mac_wrap/_04592_/Y (sky130_fd_sc_hd__nor4b_2)
-     2    0.02                           mprj/u_mac_wrap/_01916_ (net)
-                  0.42    0.00    8.49 ^ mprj/u_mac_wrap/fanout254/A (sky130_fd_sc_hd__buf_4)
-                  0.21    0.33    8.82 ^ mprj/u_mac_wrap/fanout254/X (sky130_fd_sc_hd__buf_4)
-    20    0.07                           mprj/u_mac_wrap/net254 (net)
-                  0.21    0.00    8.83 ^ mprj/u_mac_wrap/_06698_/B (sky130_fd_sc_hd__or2_1)
-                  0.18    0.24    9.06 ^ mprj/u_mac_wrap/_06698_/X (sky130_fd_sc_hd__or2_1)
-     3    0.02                           mprj/u_mac_wrap/_03166_ (net)
-                  0.18    0.00    9.06 ^ mprj/u_mac_wrap/fanout245/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.25    9.31 ^ mprj/u_mac_wrap/fanout245/X (sky130_fd_sc_hd__buf_2)
-     7    0.03                           mprj/u_mac_wrap/net245 (net)
-                  0.17    0.00    9.31 ^ mprj/u_mac_wrap/fanout244/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.15    0.27    9.58 ^ mprj/u_mac_wrap/fanout244/X (sky130_fd_sc_hd__clkbuf_4)
-    10    0.05                           mprj/u_mac_wrap/net244 (net)
-                  0.15    0.00    9.58 ^ mprj/u_mac_wrap/_06825_/A2 (sky130_fd_sc_hd__o22a_1)
-                  0.04    0.15    9.73 ^ mprj/u_mac_wrap/_06825_/X (sky130_fd_sc_hd__o22a_1)
-     1    0.00                           mprj/u_mac_wrap/_00876_ (net)
-                  0.04    0.00    9.73 ^ mprj/u_mac_wrap/_09376_/D (sky130_fd_sc_hd__dfstp_1)
-                                  9.73   data arrival time
+                  0.22    0.00    7.98 ^ mprj/u_mac_wrap/_04544_/B (sky130_fd_sc_hd__xor2_2)
+                  0.25    0.27    8.25 ^ mprj/u_mac_wrap/_04544_/X (sky130_fd_sc_hd__xor2_2)
+     4    0.02                           mprj/u_mac_wrap/_01853_ (net)
+                  0.25    0.00    8.25 ^ mprj/u_mac_wrap/_04548_/B (sky130_fd_sc_hd__xor2_1)
+                  0.28    0.30    8.56 ^ mprj/u_mac_wrap/_04548_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01857_ (net)
+                  0.28    0.00    8.56 ^ mprj/u_mac_wrap/_04550_/B (sky130_fd_sc_hd__xor2_1)
+                  0.26    0.29    8.85 ^ mprj/u_mac_wrap/_04550_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01859_ (net)
+                  0.26    0.00    8.85 ^ mprj/u_mac_wrap/_04551_/B (sky130_fd_sc_hd__xor2_1)
+                  0.09    0.13    8.98 v mprj/u_mac_wrap/_04551_/X (sky130_fd_sc_hd__xor2_1)
+     2    0.01                           mprj/u_mac_wrap/_01860_ (net)
+                  0.09    0.00    8.98 v mprj/u_mac_wrap/_04556_/A2 (sky130_fd_sc_hd__a211o_1)
+                  0.08    0.36    9.34 v mprj/u_mac_wrap/_04556_/X (sky130_fd_sc_hd__a211o_1)
+     3    0.01                           mprj/u_mac_wrap/_01865_ (net)
+                  0.08    0.00    9.34 v mprj/u_mac_wrap/_04567_/C (sky130_fd_sc_hd__or4_1)
+                  0.13    0.56    9.89 v mprj/u_mac_wrap/_04567_/X (sky130_fd_sc_hd__or4_1)
+     2    0.01                           mprj/u_mac_wrap/_01876_ (net)
+                  0.13    0.00    9.89 v mprj/u_mac_wrap/_04568_/A (sky130_fd_sc_hd__inv_2)
+                  0.04    0.07    9.97 ^ mprj/u_mac_wrap/_04568_/Y (sky130_fd_sc_hd__inv_2)
+     1    0.00                           mprj/u_mac_wrap/_01877_ (net)
+                  0.04    0.00    9.97 ^ mprj/u_mac_wrap/_04583_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.18    0.30   10.27 ^ mprj/u_mac_wrap/_04583_/X (sky130_fd_sc_hd__o2111a_1)
+     4    0.02                           mprj/u_mac_wrap/_01889_ (net)
+                  0.18    0.00   10.27 ^ mprj/u_mac_wrap/_04618_/A_N (sky130_fd_sc_hd__and4bb_2)
+                  0.10    0.33   10.59 v mprj/u_mac_wrap/_04618_/X (sky130_fd_sc_hd__and4bb_2)
+     4    0.03                           mprj/u_mac_wrap/_01917_ (net)
+                  0.10    0.00   10.60 v mprj/u_mac_wrap/fanout251/A (sky130_fd_sc_hd__buf_4)
+                  0.10    0.24   10.83 v mprj/u_mac_wrap/fanout251/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_mac_wrap/net251 (net)
+                  0.10    0.00   10.84 v mprj/u_mac_wrap/_06760_/A_N (sky130_fd_sc_hd__nand2b_2)
+                  0.14    0.29   11.13 v mprj/u_mac_wrap/_06760_/Y (sky130_fd_sc_hd__nand2b_2)
+     8    0.03                           mprj/u_mac_wrap/_03203_ (net)
+                  0.14    0.00   11.13 v mprj/u_mac_wrap/fanout241/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.12    0.27   11.40 v mprj/u_mac_wrap/fanout241/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.06                           mprj/u_mac_wrap/net241 (net)
+                  0.12    0.00   11.40 v mprj/u_mac_wrap/_06896_/A1 (sky130_fd_sc_hd__o32a_1)
+                  0.06    0.38   11.78 v mprj/u_mac_wrap/_06896_/X (sky130_fd_sc_hd__o32a_1)
+     1    0.00                           mprj/u_mac_wrap/_00879_ (net)
+                  0.06    0.00   11.78 v mprj/u_mac_wrap/_09429_/D (sky130_fd_sc_hd__dfstp_1)
+                                 11.78   data arrival time
 
                          40.00   40.00   clock mac_tx_clk (rise edge)
                           0.00   40.00   clock source latency
@@ -142892,36 +160229,51 @@
                   0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[5] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21   41.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00   41.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15   41.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00   41.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37   42.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01   42.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32   42.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.00   42.60 ^ mprj/u_mac_wrap/clkbuf_4_13_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.18   42.78 ^ mprj/u_mac_wrap/clkbuf_4_13_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     7    0.03                           mprj/u_mac_wrap/clknet_4_13_0_phy_tx_clk (net)
-                  0.06    0.00   42.78 ^ mprj/u_mac_wrap/_09376_/CLK (sky130_fd_sc_hd__dfstp_1)
-                         -0.25   42.53   clock uncertainty
-                          2.32   44.84   clock reconvergence pessimism
-                         -0.05   44.79   library setup time
-                                 44.79   data required time
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15   41.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00   41.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27   41.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02   41.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43   42.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09   42.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34   42.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00   42.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15   43.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00   43.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42   43.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01   43.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34   43.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01   43.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20   44.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00   44.13 ^ mprj/u_mac_wrap/clkbuf_4_12_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16   44.28 ^ mprj/u_mac_wrap/clkbuf_4_12_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     9    0.04                           mprj/u_mac_wrap/clknet_4_12_0_phy_tx_clk (net)
+                  0.08    0.00   44.28 ^ mprj/u_mac_wrap/_09429_/CLK (sky130_fd_sc_hd__dfstp_1)
+                         -0.25   44.03   clock uncertainty
+                          2.44   46.47   clock reconvergence pessimism
+                         -0.07   46.41   library setup time
+                                 46.41   data required time
 -----------------------------------------------------------------------------
-                                 44.79   data required time
-                                 -9.73   data arrival time
+                                 46.41   data required time
+                                -11.78   data arrival time
 -----------------------------------------------------------------------------
-                                 35.06   slack (MET)
+                                 34.62   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_08892_
+Startpoint: mprj/u_mac_wrap/_08942_
             (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_08917_
+Endpoint: mprj/u_mac_wrap/_09436_
           (rising edge-triggered flip-flop clocked by mac_tx_clk)
 Path Group: mac_tx_clk
 Path Type: max
@@ -142945,464 +160297,95 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.92 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.21    5.13 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    13    0.04                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
-                  0.09    0.00    5.13 ^ mprj/u_mac_wrap/_08892_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.08    0.43    5.56 v mprj/u_mac_wrap/_08892_/Q (sky130_fd_sc_hd__dfrtp_1)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.01 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.26    7.28 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.08                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
+                  0.14    0.00    7.28 ^ mprj/u_mac_wrap/_08942_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.45    7.73 v mprj/u_mac_wrap/_08942_/Q (sky130_fd_sc_hd__dfrtp_1)
      2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
-                  0.08    0.00    5.56 v mprj/u_mac_wrap/_04513_/A (sky130_fd_sc_hd__xnor2_2)
-                  0.23    0.26    5.82 ^ mprj/u_mac_wrap/_04513_/Y (sky130_fd_sc_hd__xnor2_2)
-     3    0.01                           mprj/u_mac_wrap/_01847_ (net)
-                  0.23    0.00    5.82 ^ mprj/u_mac_wrap/_04514_/B (sky130_fd_sc_hd__xor2_2)
-                  0.22    0.26    6.07 ^ mprj/u_mac_wrap/_04514_/X (sky130_fd_sc_hd__xor2_2)
-     4    0.02                           mprj/u_mac_wrap/_01848_ (net)
-                  0.22    0.00    6.07 ^ mprj/u_mac_wrap/_04518_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.37 ^ mprj/u_mac_wrap/_04518_/X (sky130_fd_sc_hd__xor2_1)
+                  0.08    0.00    7.73 v mprj/u_mac_wrap/_04543_/A (sky130_fd_sc_hd__xnor2_2)
+                  0.22    0.25    7.98 ^ mprj/u_mac_wrap/_04543_/Y (sky130_fd_sc_hd__xnor2_2)
      3    0.01                           mprj/u_mac_wrap/_01852_ (net)
-                  0.26    0.00    6.37 ^ mprj/u_mac_wrap/_04520_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.65 ^ mprj/u_mac_wrap/_04520_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01854_ (net)
-                  0.26    0.00    6.65 ^ mprj/u_mac_wrap/_04521_/B (sky130_fd_sc_hd__xor2_1)
-                  0.17    0.23    6.88 ^ mprj/u_mac_wrap/_04521_/X (sky130_fd_sc_hd__xor2_1)
-     2    0.01                           mprj/u_mac_wrap/_01855_ (net)
-                  0.17    0.00    6.88 ^ mprj/u_mac_wrap/_04526_/A2 (sky130_fd_sc_hd__a211o_1)
-                  0.09    0.19    7.07 ^ mprj/u_mac_wrap/_04526_/X (sky130_fd_sc_hd__a211o_1)
-     3    0.01                           mprj/u_mac_wrap/_01860_ (net)
-                  0.09    0.00    7.07 ^ mprj/u_mac_wrap/_04528_/B2 (sky130_fd_sc_hd__a221oi_1)
-                  0.10    0.10    7.16 v mprj/u_mac_wrap/_04528_/Y (sky130_fd_sc_hd__a221oi_1)
-     2    0.01                           mprj/u_mac_wrap/_01862_ (net)
-                  0.10    0.00    7.16 v mprj/u_mac_wrap/_04531_/B (sky130_fd_sc_hd__or4_1)
-                  0.10    0.56    7.72 v mprj/u_mac_wrap/_04531_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01865_ (net)
-                  0.10    0.00    7.72 v mprj/u_mac_wrap/_04554_/B1 (sky130_fd_sc_hd__o2111a_1)
-                  0.15    0.27    7.99 v mprj/u_mac_wrap/_04554_/X (sky130_fd_sc_hd__o2111a_1)
-     6    0.03                           mprj/u_mac_wrap/_01885_ (net)
-                  0.15    0.00    7.99 v mprj/u_mac_wrap/_04592_/A (sky130_fd_sc_hd__nor4b_2)
-                  0.42    0.50    8.49 ^ mprj/u_mac_wrap/_04592_/Y (sky130_fd_sc_hd__nor4b_2)
-     2    0.02                           mprj/u_mac_wrap/_01916_ (net)
-                  0.42    0.00    8.49 ^ mprj/u_mac_wrap/fanout254/A (sky130_fd_sc_hd__buf_4)
-                  0.21    0.33    8.82 ^ mprj/u_mac_wrap/fanout254/X (sky130_fd_sc_hd__buf_4)
-    20    0.07                           mprj/u_mac_wrap/net254 (net)
-                  0.21    0.01    8.83 ^ mprj/u_mac_wrap/_05718_/B (sky130_fd_sc_hd__nor2_1)
-                  0.10    0.14    8.97 v mprj/u_mac_wrap/_05718_/Y (sky130_fd_sc_hd__nor2_1)
-     2    0.02                           mprj/u_mac_wrap/_02580_ (net)
-                  0.10    0.00    8.97 v mprj/u_mac_wrap/fanout247/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.13    0.26    9.23 v mprj/u_mac_wrap/fanout247/X (sky130_fd_sc_hd__clkbuf_4)
+                  0.22    0.00    7.98 ^ mprj/u_mac_wrap/_04544_/B (sky130_fd_sc_hd__xor2_2)
+                  0.25    0.27    8.25 ^ mprj/u_mac_wrap/_04544_/X (sky130_fd_sc_hd__xor2_2)
+     4    0.02                           mprj/u_mac_wrap/_01853_ (net)
+                  0.25    0.00    8.25 ^ mprj/u_mac_wrap/_04548_/B (sky130_fd_sc_hd__xor2_1)
+                  0.28    0.30    8.56 ^ mprj/u_mac_wrap/_04548_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01857_ (net)
+                  0.28    0.00    8.56 ^ mprj/u_mac_wrap/_04550_/B (sky130_fd_sc_hd__xor2_1)
+                  0.26    0.29    8.85 ^ mprj/u_mac_wrap/_04550_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01859_ (net)
+                  0.26    0.00    8.85 ^ mprj/u_mac_wrap/_04551_/B (sky130_fd_sc_hd__xor2_1)
+                  0.09    0.13    8.98 v mprj/u_mac_wrap/_04551_/X (sky130_fd_sc_hd__xor2_1)
+     2    0.01                           mprj/u_mac_wrap/_01860_ (net)
+                  0.09    0.00    8.98 v mprj/u_mac_wrap/_04556_/A2 (sky130_fd_sc_hd__a211o_1)
+                  0.08    0.36    9.34 v mprj/u_mac_wrap/_04556_/X (sky130_fd_sc_hd__a211o_1)
+     3    0.01                           mprj/u_mac_wrap/_01865_ (net)
+                  0.08    0.00    9.34 v mprj/u_mac_wrap/_04567_/C (sky130_fd_sc_hd__or4_1)
+                  0.13    0.56    9.89 v mprj/u_mac_wrap/_04567_/X (sky130_fd_sc_hd__or4_1)
+     2    0.01                           mprj/u_mac_wrap/_01876_ (net)
+                  0.13    0.00    9.89 v mprj/u_mac_wrap/_04568_/A (sky130_fd_sc_hd__inv_2)
+                  0.04    0.07    9.97 ^ mprj/u_mac_wrap/_04568_/Y (sky130_fd_sc_hd__inv_2)
+     1    0.00                           mprj/u_mac_wrap/_01877_ (net)
+                  0.04    0.00    9.97 ^ mprj/u_mac_wrap/_04583_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.18    0.30   10.27 ^ mprj/u_mac_wrap/_04583_/X (sky130_fd_sc_hd__o2111a_1)
+     4    0.02                           mprj/u_mac_wrap/_01889_ (net)
+                  0.18    0.00   10.27 ^ mprj/u_mac_wrap/_04618_/A_N (sky130_fd_sc_hd__and4bb_2)
+                  0.10    0.33   10.59 v mprj/u_mac_wrap/_04618_/X (sky130_fd_sc_hd__and4bb_2)
+     4    0.03                           mprj/u_mac_wrap/_01917_ (net)
+                  0.10    0.00   10.60 v mprj/u_mac_wrap/fanout251/A (sky130_fd_sc_hd__buf_4)
+                  0.10    0.24   10.83 v mprj/u_mac_wrap/fanout251/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_mac_wrap/net251 (net)
+                  0.10    0.00   10.83 v mprj/u_mac_wrap/_05773_/B1 (sky130_fd_sc_hd__a31oi_1)
+                  0.13    0.16   10.99 ^ mprj/u_mac_wrap/_05773_/Y (sky130_fd_sc_hd__a31oi_1)
+     1    0.00                           mprj/u_mac_wrap/_02610_ (net)
+                  0.13    0.00   10.99 ^ mprj/u_mac_wrap/fanout249/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.18    0.27   11.26 ^ mprj/u_mac_wrap/fanout249/X (sky130_fd_sc_hd__clkbuf_4)
+    16    0.06                           mprj/u_mac_wrap/net249 (net)
+                  0.18    0.00   11.26 ^ mprj/u_mac_wrap/fanout248/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.29   11.55 ^ mprj/u_mac_wrap/fanout248/X (sky130_fd_sc_hd__buf_2)
+    12    0.04                           mprj/u_mac_wrap/net248 (net)
+                  0.22    0.00   11.55 ^ mprj/u_mac_wrap/fanout247/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.19    0.31   11.86 ^ mprj/u_mac_wrap/fanout247/X (sky130_fd_sc_hd__clkbuf_4)
     20    0.06                           mprj/u_mac_wrap/net247 (net)
-                  0.13    0.00    9.23 v mprj/u_mac_wrap/fanout246/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.13    0.27    9.50 v mprj/u_mac_wrap/fanout246/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.06                           mprj/u_mac_wrap/net246 (net)
-                  0.13    0.00    9.50 v mprj/u_mac_wrap/_05739_/B (sky130_fd_sc_hd__xnor2_1)
-                  0.06    0.16    9.67 v mprj/u_mac_wrap/_05739_/Y (sky130_fd_sc_hd__xnor2_1)
-     1    0.00                           mprj/u_mac_wrap/_00462_ (net)
-                  0.06    0.00    9.67 v mprj/u_mac_wrap/_08917_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  9.67   data arrival time
-
-                         40.00   40.00   clock mac_tx_clk (rise edge)
-                          0.00   40.00   clock source latency
-                  1.00    0.00   40.00 ^ mprj_io[5] (inout)
-     1    6.12                           mprj_io[5] (net)
-                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[5] (net)
-                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00   41.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10   41.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00   41.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21   41.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21   41.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00   41.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15   41.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00   41.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37   42.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01   42.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32   42.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01   42.60 ^ mprj/u_mac_wrap/clkbuf_4_5_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.18   42.78 ^ mprj/u_mac_wrap/clkbuf_4_5_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     8    0.03                           mprj/u_mac_wrap/clknet_4_5_0_phy_tx_clk (net)
-                  0.06    0.00   42.78 ^ mprj/u_mac_wrap/_08917_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   42.53   clock uncertainty
-                          2.32   44.85   clock reconvergence pessimism
-                         -0.12   44.73   library setup time
-                                 44.73   data required time
------------------------------------------------------------------------------
-                                 44.73   data required time
-                                 -9.67   data arrival time
------------------------------------------------------------------------------
-                                 35.06   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_08892_
-            (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_09832_
-          (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Path Group: mac_tx_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1   11.12                           mprj_io[5] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[5] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.92 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.21    5.13 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    13    0.04                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
-                  0.09    0.00    5.13 ^ mprj/u_mac_wrap/_08892_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.08    0.43    5.56 v mprj/u_mac_wrap/_08892_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
-                  0.08    0.00    5.56 v mprj/u_mac_wrap/_04513_/A (sky130_fd_sc_hd__xnor2_2)
-                  0.23    0.26    5.82 ^ mprj/u_mac_wrap/_04513_/Y (sky130_fd_sc_hd__xnor2_2)
-     3    0.01                           mprj/u_mac_wrap/_01847_ (net)
-                  0.23    0.00    5.82 ^ mprj/u_mac_wrap/_04514_/B (sky130_fd_sc_hd__xor2_2)
-                  0.22    0.26    6.07 ^ mprj/u_mac_wrap/_04514_/X (sky130_fd_sc_hd__xor2_2)
-     4    0.02                           mprj/u_mac_wrap/_01848_ (net)
-                  0.22    0.00    6.07 ^ mprj/u_mac_wrap/_04518_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.37 ^ mprj/u_mac_wrap/_04518_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01852_ (net)
-                  0.26    0.00    6.37 ^ mprj/u_mac_wrap/_04520_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.65 ^ mprj/u_mac_wrap/_04520_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01854_ (net)
-                  0.26    0.00    6.65 ^ mprj/u_mac_wrap/_04521_/B (sky130_fd_sc_hd__xor2_1)
-                  0.17    0.23    6.88 ^ mprj/u_mac_wrap/_04521_/X (sky130_fd_sc_hd__xor2_1)
-     2    0.01                           mprj/u_mac_wrap/_01855_ (net)
-                  0.17    0.00    6.88 ^ mprj/u_mac_wrap/_04526_/A2 (sky130_fd_sc_hd__a211o_1)
-                  0.09    0.19    7.07 ^ mprj/u_mac_wrap/_04526_/X (sky130_fd_sc_hd__a211o_1)
-     3    0.01                           mprj/u_mac_wrap/_01860_ (net)
-                  0.09    0.00    7.07 ^ mprj/u_mac_wrap/_04528_/B2 (sky130_fd_sc_hd__a221oi_1)
-                  0.10    0.10    7.16 v mprj/u_mac_wrap/_04528_/Y (sky130_fd_sc_hd__a221oi_1)
-     2    0.01                           mprj/u_mac_wrap/_01862_ (net)
-                  0.10    0.00    7.16 v mprj/u_mac_wrap/_04531_/B (sky130_fd_sc_hd__or4_1)
-                  0.10    0.56    7.72 v mprj/u_mac_wrap/_04531_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01865_ (net)
-                  0.10    0.00    7.72 v mprj/u_mac_wrap/_04554_/B1 (sky130_fd_sc_hd__o2111a_1)
-                  0.15    0.27    7.99 v mprj/u_mac_wrap/_04554_/X (sky130_fd_sc_hd__o2111a_1)
-     6    0.03                           mprj/u_mac_wrap/_01885_ (net)
-                  0.15    0.00    7.99 v mprj/u_mac_wrap/_04593_/A (sky130_fd_sc_hd__or4b_4)
-                  0.16    0.72    8.71 v mprj/u_mac_wrap/_04593_/X (sky130_fd_sc_hd__or4b_4)
-    14    0.06                           mprj/u_mac_wrap/_01917_ (net)
-                  0.16    0.00    8.71 v mprj/u_mac_wrap/_07802_/A1 (sky130_fd_sc_hd__o311a_2)
-                  0.11    0.53    9.24 v mprj/u_mac_wrap/_07802_/X (sky130_fd_sc_hd__o311a_2)
-     7    0.03                           mprj/u_mac_wrap/_03848_ (net)
-                  0.11    0.00    9.24 v mprj/u_mac_wrap/_07804_/A_N (sky130_fd_sc_hd__and3b_1)
-                  0.10    0.28    9.52 ^ mprj/u_mac_wrap/_07804_/X (sky130_fd_sc_hd__and3b_1)
-     2    0.01                           mprj/u_mac_wrap/_03849_ (net)
-                  0.10    0.00    9.52 ^ mprj/u_mac_wrap/_07808_/B (sky130_fd_sc_hd__xor2_1)
-                  0.11    0.15    9.67 ^ mprj/u_mac_wrap/_07808_/X (sky130_fd_sc_hd__xor2_1)
-     1    0.00                           mprj/u_mac_wrap/_01274_ (net)
-                  0.11    0.00    9.67 ^ mprj/u_mac_wrap/_09832_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  9.67   data arrival time
-
-                         40.00   40.00   clock mac_tx_clk (rise edge)
-                          0.00   40.00   clock source latency
-                  1.00    0.00   40.00 ^ mprj_io[5] (inout)
-     1    6.12                           mprj_io[5] (net)
-                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[5] (net)
-                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00   41.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10   41.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00   41.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21   41.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21   41.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00   41.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15   41.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00   41.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37   42.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01   42.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32   42.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.00   42.59 ^ mprj/u_mac_wrap/clkbuf_4_15_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.18   42.78 ^ mprj/u_mac_wrap/clkbuf_4_15_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    10    0.03                           mprj/u_mac_wrap/clknet_4_15_0_phy_tx_clk (net)
-                  0.07    0.00   42.78 ^ mprj/u_mac_wrap/_09832_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   42.53   clock uncertainty
-                          2.32   44.85   clock reconvergence pessimism
-                         -0.07   44.78   library setup time
-                                 44.78   data required time
------------------------------------------------------------------------------
-                                 44.78   data required time
-                                 -9.67   data arrival time
------------------------------------------------------------------------------
-                                 35.11   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_08892_
-            (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_09831_
-          (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Path Group: mac_tx_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1   11.12                           mprj_io[5] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[5] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.92 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.21    5.13 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    13    0.04                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
-                  0.09    0.00    5.13 ^ mprj/u_mac_wrap/_08892_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.08    0.43    5.56 v mprj/u_mac_wrap/_08892_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
-                  0.08    0.00    5.56 v mprj/u_mac_wrap/_04513_/A (sky130_fd_sc_hd__xnor2_2)
-                  0.23    0.26    5.82 ^ mprj/u_mac_wrap/_04513_/Y (sky130_fd_sc_hd__xnor2_2)
-     3    0.01                           mprj/u_mac_wrap/_01847_ (net)
-                  0.23    0.00    5.82 ^ mprj/u_mac_wrap/_04514_/B (sky130_fd_sc_hd__xor2_2)
-                  0.22    0.26    6.07 ^ mprj/u_mac_wrap/_04514_/X (sky130_fd_sc_hd__xor2_2)
-     4    0.02                           mprj/u_mac_wrap/_01848_ (net)
-                  0.22    0.00    6.07 ^ mprj/u_mac_wrap/_04518_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.37 ^ mprj/u_mac_wrap/_04518_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01852_ (net)
-                  0.26    0.00    6.37 ^ mprj/u_mac_wrap/_04520_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.65 ^ mprj/u_mac_wrap/_04520_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01854_ (net)
-                  0.26    0.00    6.65 ^ mprj/u_mac_wrap/_04521_/B (sky130_fd_sc_hd__xor2_1)
-                  0.17    0.23    6.88 ^ mprj/u_mac_wrap/_04521_/X (sky130_fd_sc_hd__xor2_1)
-     2    0.01                           mprj/u_mac_wrap/_01855_ (net)
-                  0.17    0.00    6.88 ^ mprj/u_mac_wrap/_04526_/A2 (sky130_fd_sc_hd__a211o_1)
-                  0.09    0.19    7.07 ^ mprj/u_mac_wrap/_04526_/X (sky130_fd_sc_hd__a211o_1)
-     3    0.01                           mprj/u_mac_wrap/_01860_ (net)
-                  0.09    0.00    7.07 ^ mprj/u_mac_wrap/_04528_/B2 (sky130_fd_sc_hd__a221oi_1)
-                  0.10    0.10    7.16 v mprj/u_mac_wrap/_04528_/Y (sky130_fd_sc_hd__a221oi_1)
-     2    0.01                           mprj/u_mac_wrap/_01862_ (net)
-                  0.10    0.00    7.16 v mprj/u_mac_wrap/_04531_/B (sky130_fd_sc_hd__or4_1)
-                  0.10    0.56    7.72 v mprj/u_mac_wrap/_04531_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01865_ (net)
-                  0.10    0.00    7.72 v mprj/u_mac_wrap/_04554_/B1 (sky130_fd_sc_hd__o2111a_1)
-                  0.15    0.27    7.99 v mprj/u_mac_wrap/_04554_/X (sky130_fd_sc_hd__o2111a_1)
-     6    0.03                           mprj/u_mac_wrap/_01885_ (net)
-                  0.15    0.00    7.99 v mprj/u_mac_wrap/_04593_/A (sky130_fd_sc_hd__or4b_4)
-                  0.16    0.72    8.71 v mprj/u_mac_wrap/_04593_/X (sky130_fd_sc_hd__or4b_4)
-    14    0.06                           mprj/u_mac_wrap/_01917_ (net)
-                  0.16    0.00    8.71 v mprj/u_mac_wrap/_07802_/A1 (sky130_fd_sc_hd__o311a_2)
-                  0.11    0.53    9.24 v mprj/u_mac_wrap/_07802_/X (sky130_fd_sc_hd__o311a_2)
-     7    0.03                           mprj/u_mac_wrap/_03848_ (net)
-                  0.11    0.00    9.24 v mprj/u_mac_wrap/_07804_/A_N (sky130_fd_sc_hd__and3b_1)
-                  0.10    0.28    9.52 ^ mprj/u_mac_wrap/_07804_/X (sky130_fd_sc_hd__and3b_1)
-     2    0.01                           mprj/u_mac_wrap/_03849_ (net)
-                  0.10    0.00    9.52 ^ mprj/u_mac_wrap/_07806_/A (sky130_fd_sc_hd__nor2_1)
-                  0.04    0.06    9.58 v mprj/u_mac_wrap/_07806_/Y (sky130_fd_sc_hd__nor2_1)
-     1    0.00                           mprj/u_mac_wrap/_01273_ (net)
-                  0.04    0.00    9.58 v mprj/u_mac_wrap/_09831_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  9.58   data arrival time
-
-                         40.00   40.00   clock mac_tx_clk (rise edge)
-                          0.00   40.00   clock source latency
-                  1.00    0.00   40.00 ^ mprj_io[5] (inout)
-     1    6.12                           mprj_io[5] (net)
-                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[5] (net)
-                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00   41.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10   41.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00   41.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21   41.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21   41.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00   41.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15   41.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00   41.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37   42.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01   42.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32   42.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.00   42.59 ^ mprj/u_mac_wrap/clkbuf_4_15_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.18   42.78 ^ mprj/u_mac_wrap/clkbuf_4_15_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    10    0.03                           mprj/u_mac_wrap/clknet_4_15_0_phy_tx_clk (net)
-                  0.07    0.00   42.78 ^ mprj/u_mac_wrap/_09831_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   42.53   clock uncertainty
-                          2.32   44.85   clock reconvergence pessimism
-                         -0.10   44.74   library setup time
-                                 44.74   data required time
------------------------------------------------------------------------------
-                                 44.74   data required time
-                                 -9.58   data arrival time
------------------------------------------------------------------------------
-                                 35.16   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_08892_
-            (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_09386_
-          (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Path Group: mac_tx_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1   11.12                           mprj_io[5] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[5] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.92 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.21    5.13 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    13    0.04                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
-                  0.09    0.00    5.13 ^ mprj/u_mac_wrap/_08892_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.08    0.43    5.56 v mprj/u_mac_wrap/_08892_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
-                  0.08    0.00    5.56 v mprj/u_mac_wrap/_04513_/A (sky130_fd_sc_hd__xnor2_2)
-                  0.23    0.26    5.82 ^ mprj/u_mac_wrap/_04513_/Y (sky130_fd_sc_hd__xnor2_2)
-     3    0.01                           mprj/u_mac_wrap/_01847_ (net)
-                  0.23    0.00    5.82 ^ mprj/u_mac_wrap/_04514_/B (sky130_fd_sc_hd__xor2_2)
-                  0.22    0.26    6.07 ^ mprj/u_mac_wrap/_04514_/X (sky130_fd_sc_hd__xor2_2)
-     4    0.02                           mprj/u_mac_wrap/_01848_ (net)
-                  0.22    0.00    6.07 ^ mprj/u_mac_wrap/_04518_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.37 ^ mprj/u_mac_wrap/_04518_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01852_ (net)
-                  0.26    0.00    6.37 ^ mprj/u_mac_wrap/_04520_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.65 ^ mprj/u_mac_wrap/_04520_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01854_ (net)
-                  0.26    0.00    6.65 ^ mprj/u_mac_wrap/_04521_/B (sky130_fd_sc_hd__xor2_1)
-                  0.17    0.23    6.88 ^ mprj/u_mac_wrap/_04521_/X (sky130_fd_sc_hd__xor2_1)
-     2    0.01                           mprj/u_mac_wrap/_01855_ (net)
-                  0.17    0.00    6.88 ^ mprj/u_mac_wrap/_04526_/A2 (sky130_fd_sc_hd__a211o_1)
-                  0.09    0.19    7.07 ^ mprj/u_mac_wrap/_04526_/X (sky130_fd_sc_hd__a211o_1)
-     3    0.01                           mprj/u_mac_wrap/_01860_ (net)
-                  0.09    0.00    7.07 ^ mprj/u_mac_wrap/_04528_/B2 (sky130_fd_sc_hd__a221oi_1)
-                  0.10    0.10    7.16 v mprj/u_mac_wrap/_04528_/Y (sky130_fd_sc_hd__a221oi_1)
-     2    0.01                           mprj/u_mac_wrap/_01862_ (net)
-                  0.10    0.00    7.16 v mprj/u_mac_wrap/_04531_/B (sky130_fd_sc_hd__or4_1)
-                  0.10    0.56    7.72 v mprj/u_mac_wrap/_04531_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01865_ (net)
-                  0.10    0.00    7.72 v mprj/u_mac_wrap/_04554_/B1 (sky130_fd_sc_hd__o2111a_1)
-                  0.15    0.27    7.99 v mprj/u_mac_wrap/_04554_/X (sky130_fd_sc_hd__o2111a_1)
-     6    0.03                           mprj/u_mac_wrap/_01885_ (net)
-                  0.15    0.00    7.99 v mprj/u_mac_wrap/_04592_/A (sky130_fd_sc_hd__nor4b_2)
-                  0.42    0.50    8.49 ^ mprj/u_mac_wrap/_04592_/Y (sky130_fd_sc_hd__nor4b_2)
-     2    0.02                           mprj/u_mac_wrap/_01916_ (net)
-                  0.42    0.00    8.49 ^ mprj/u_mac_wrap/fanout254/A (sky130_fd_sc_hd__buf_4)
-                  0.21    0.33    8.82 ^ mprj/u_mac_wrap/fanout254/X (sky130_fd_sc_hd__buf_4)
-    20    0.07                           mprj/u_mac_wrap/net254 (net)
-                  0.21    0.01    8.83 ^ mprj/u_mac_wrap/_05718_/B (sky130_fd_sc_hd__nor2_1)
-                  0.10    0.14    8.97 v mprj/u_mac_wrap/_05718_/Y (sky130_fd_sc_hd__nor2_1)
-     2    0.02                           mprj/u_mac_wrap/_02580_ (net)
-                  0.10    0.00    8.97 v mprj/u_mac_wrap/fanout247/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.13    0.26    9.23 v mprj/u_mac_wrap/fanout247/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.06                           mprj/u_mac_wrap/net247 (net)
-                  0.13    0.00    9.23 v mprj/u_mac_wrap/_06958_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.34    9.57 v mprj/u_mac_wrap/_06958_/X (sky130_fd_sc_hd__mux2_1)
+                  0.19    0.00   11.86 ^ mprj/u_mac_wrap/_07019_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.35   12.21 v mprj/u_mac_wrap/_07019_/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mac_wrap/_00886_ (net)
-                  0.05    0.00    9.57 v mprj/u_mac_wrap/_09386_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  9.57   data arrival time
+                  0.05    0.00   12.21 v mprj/u_mac_wrap/_09436_/D (sky130_fd_sc_hd__dfrtp_2)
+                                 12.21   data arrival time
 
                          40.00   40.00   clock mac_tx_clk (rise edge)
                           0.00   40.00   clock source latency
@@ -143421,36 +160404,57 @@
                   0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[5] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21   41.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00   41.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15   41.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00   41.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37   42.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01   42.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32   42.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01   42.60 ^ mprj/u_mac_wrap/clkbuf_4_4_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.18   42.78 ^ mprj/u_mac_wrap/clkbuf_4_4_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     9    0.03                           mprj/u_mac_wrap/clknet_4_4_0_phy_tx_clk (net)
-                  0.07    0.00   42.78 ^ mprj/u_mac_wrap/_09386_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   42.53   clock uncertainty
-                          2.32   44.85   clock reconvergence pessimism
-                         -0.11   44.74   library setup time
-                                 44.74   data required time
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15   41.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00   41.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27   41.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02   41.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43   42.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09   42.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34   42.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00   42.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15   43.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00   43.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42   43.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01   43.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34   43.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01   43.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20   44.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00   44.12 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.17   44.29 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00   44.29 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.24   44.53 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01   44.54 ^ mprj/u_mac_wrap/clkbuf_4_5_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.19   44.73 ^ mprj/u_mac_wrap/clkbuf_4_5_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.03                           mprj/u_mac_wrap/clknet_4_5_0_phy_tx_clk (net)
+                  0.07    0.00   44.73 ^ mprj/u_mac_wrap/_09436_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                         -0.25   44.48   clock uncertainty
+                          2.47   46.95   clock reconvergence pessimism
+                         -0.11   46.84   library setup time
+                                 46.84   data required time
 -----------------------------------------------------------------------------
-                                 44.74   data required time
-                                 -9.57   data arrival time
+                                 46.84   data required time
+                                -12.21   data arrival time
 -----------------------------------------------------------------------------
-                                 35.17   slack (MET)
+                                 34.63   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_08892_
+Startpoint: mprj/u_mac_wrap/_08942_
             (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_08902_
+Endpoint: mprj/u_mac_wrap/_09421_
           (rising edge-triggered flip-flop clocked by mac_tx_clk)
 Path Group: mac_tx_clk
 Path Type: max
@@ -143474,68 +160478,264 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.92 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.21    5.13 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    13    0.04                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
-                  0.09    0.00    5.13 ^ mprj/u_mac_wrap/_08892_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.08    0.43    5.56 v mprj/u_mac_wrap/_08892_/Q (sky130_fd_sc_hd__dfrtp_1)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.01 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.26    7.28 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.08                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
+                  0.14    0.00    7.28 ^ mprj/u_mac_wrap/_08942_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.45    7.73 v mprj/u_mac_wrap/_08942_/Q (sky130_fd_sc_hd__dfrtp_1)
      2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
-                  0.08    0.00    5.56 v mprj/u_mac_wrap/_04513_/A (sky130_fd_sc_hd__xnor2_2)
-                  0.23    0.26    5.82 ^ mprj/u_mac_wrap/_04513_/Y (sky130_fd_sc_hd__xnor2_2)
-     3    0.01                           mprj/u_mac_wrap/_01847_ (net)
-                  0.23    0.00    5.82 ^ mprj/u_mac_wrap/_04514_/B (sky130_fd_sc_hd__xor2_2)
-                  0.22    0.26    6.07 ^ mprj/u_mac_wrap/_04514_/X (sky130_fd_sc_hd__xor2_2)
-     4    0.02                           mprj/u_mac_wrap/_01848_ (net)
-                  0.22    0.00    6.07 ^ mprj/u_mac_wrap/_04518_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.37 ^ mprj/u_mac_wrap/_04518_/X (sky130_fd_sc_hd__xor2_1)
+                  0.08    0.00    7.73 v mprj/u_mac_wrap/_04543_/A (sky130_fd_sc_hd__xnor2_2)
+                  0.22    0.25    7.98 ^ mprj/u_mac_wrap/_04543_/Y (sky130_fd_sc_hd__xnor2_2)
      3    0.01                           mprj/u_mac_wrap/_01852_ (net)
-                  0.26    0.00    6.37 ^ mprj/u_mac_wrap/_04520_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.65 ^ mprj/u_mac_wrap/_04520_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01854_ (net)
-                  0.26    0.00    6.65 ^ mprj/u_mac_wrap/_04521_/B (sky130_fd_sc_hd__xor2_1)
-                  0.17    0.23    6.88 ^ mprj/u_mac_wrap/_04521_/X (sky130_fd_sc_hd__xor2_1)
-     2    0.01                           mprj/u_mac_wrap/_01855_ (net)
-                  0.17    0.00    6.88 ^ mprj/u_mac_wrap/_04526_/A2 (sky130_fd_sc_hd__a211o_1)
-                  0.09    0.19    7.07 ^ mprj/u_mac_wrap/_04526_/X (sky130_fd_sc_hd__a211o_1)
-     3    0.01                           mprj/u_mac_wrap/_01860_ (net)
-                  0.09    0.00    7.07 ^ mprj/u_mac_wrap/_04528_/B2 (sky130_fd_sc_hd__a221oi_1)
-                  0.10    0.10    7.16 v mprj/u_mac_wrap/_04528_/Y (sky130_fd_sc_hd__a221oi_1)
-     2    0.01                           mprj/u_mac_wrap/_01862_ (net)
-                  0.10    0.00    7.16 v mprj/u_mac_wrap/_04531_/B (sky130_fd_sc_hd__or4_1)
-                  0.10    0.56    7.72 v mprj/u_mac_wrap/_04531_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01865_ (net)
-                  0.10    0.00    7.72 v mprj/u_mac_wrap/_04554_/B1 (sky130_fd_sc_hd__o2111a_1)
-                  0.15    0.27    7.99 v mprj/u_mac_wrap/_04554_/X (sky130_fd_sc_hd__o2111a_1)
-     6    0.03                           mprj/u_mac_wrap/_01885_ (net)
-                  0.15    0.00    7.99 v mprj/u_mac_wrap/_04592_/A (sky130_fd_sc_hd__nor4b_2)
-                  0.42    0.50    8.49 ^ mprj/u_mac_wrap/_04592_/Y (sky130_fd_sc_hd__nor4b_2)
-     2    0.02                           mprj/u_mac_wrap/_01916_ (net)
-                  0.42    0.00    8.49 ^ mprj/u_mac_wrap/fanout254/A (sky130_fd_sc_hd__buf_4)
-                  0.21    0.33    8.82 ^ mprj/u_mac_wrap/fanout254/X (sky130_fd_sc_hd__buf_4)
-    20    0.07                           mprj/u_mac_wrap/net254 (net)
-                  0.21    0.01    8.83 ^ mprj/u_mac_wrap/_05718_/B (sky130_fd_sc_hd__nor2_1)
-                  0.10    0.14    8.97 v mprj/u_mac_wrap/_05718_/Y (sky130_fd_sc_hd__nor2_1)
-     2    0.02                           mprj/u_mac_wrap/_02580_ (net)
-                  0.10    0.00    8.97 v mprj/u_mac_wrap/fanout247/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.13    0.26    9.23 v mprj/u_mac_wrap/fanout247/X (sky130_fd_sc_hd__clkbuf_4)
+                  0.22    0.00    7.98 ^ mprj/u_mac_wrap/_04544_/B (sky130_fd_sc_hd__xor2_2)
+                  0.25    0.27    8.25 ^ mprj/u_mac_wrap/_04544_/X (sky130_fd_sc_hd__xor2_2)
+     4    0.02                           mprj/u_mac_wrap/_01853_ (net)
+                  0.25    0.00    8.25 ^ mprj/u_mac_wrap/_04548_/B (sky130_fd_sc_hd__xor2_1)
+                  0.28    0.30    8.56 ^ mprj/u_mac_wrap/_04548_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01857_ (net)
+                  0.28    0.00    8.56 ^ mprj/u_mac_wrap/_04550_/B (sky130_fd_sc_hd__xor2_1)
+                  0.26    0.29    8.85 ^ mprj/u_mac_wrap/_04550_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01859_ (net)
+                  0.26    0.00    8.85 ^ mprj/u_mac_wrap/_04551_/B (sky130_fd_sc_hd__xor2_1)
+                  0.09    0.13    8.98 v mprj/u_mac_wrap/_04551_/X (sky130_fd_sc_hd__xor2_1)
+     2    0.01                           mprj/u_mac_wrap/_01860_ (net)
+                  0.09    0.00    8.98 v mprj/u_mac_wrap/_04556_/A2 (sky130_fd_sc_hd__a211o_1)
+                  0.08    0.36    9.34 v mprj/u_mac_wrap/_04556_/X (sky130_fd_sc_hd__a211o_1)
+     3    0.01                           mprj/u_mac_wrap/_01865_ (net)
+                  0.08    0.00    9.34 v mprj/u_mac_wrap/_04567_/C (sky130_fd_sc_hd__or4_1)
+                  0.13    0.56    9.89 v mprj/u_mac_wrap/_04567_/X (sky130_fd_sc_hd__or4_1)
+     2    0.01                           mprj/u_mac_wrap/_01876_ (net)
+                  0.13    0.00    9.89 v mprj/u_mac_wrap/_04568_/A (sky130_fd_sc_hd__inv_2)
+                  0.04    0.07    9.97 ^ mprj/u_mac_wrap/_04568_/Y (sky130_fd_sc_hd__inv_2)
+     1    0.00                           mprj/u_mac_wrap/_01877_ (net)
+                  0.04    0.00    9.97 ^ mprj/u_mac_wrap/_04583_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.18    0.30   10.27 ^ mprj/u_mac_wrap/_04583_/X (sky130_fd_sc_hd__o2111a_1)
+     4    0.02                           mprj/u_mac_wrap/_01889_ (net)
+                  0.18    0.00   10.27 ^ mprj/u_mac_wrap/_04618_/A_N (sky130_fd_sc_hd__and4bb_2)
+                  0.10    0.33   10.59 v mprj/u_mac_wrap/_04618_/X (sky130_fd_sc_hd__and4bb_2)
+     4    0.03                           mprj/u_mac_wrap/_01917_ (net)
+                  0.10    0.00   10.60 v mprj/u_mac_wrap/fanout251/A (sky130_fd_sc_hd__buf_4)
+                  0.10    0.24   10.83 v mprj/u_mac_wrap/fanout251/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_mac_wrap/net251 (net)
+                  0.10    0.00   10.84 v mprj/u_mac_wrap/_06760_/A_N (sky130_fd_sc_hd__nand2b_2)
+                  0.14    0.29   11.13 v mprj/u_mac_wrap/_06760_/Y (sky130_fd_sc_hd__nand2b_2)
+     8    0.03                           mprj/u_mac_wrap/_03203_ (net)
+                  0.14    0.00   11.13 v mprj/u_mac_wrap/fanout241/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.12    0.27   11.40 v mprj/u_mac_wrap/fanout241/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.06                           mprj/u_mac_wrap/net241 (net)
+                  0.12    0.00   11.40 v mprj/u_mac_wrap/_06867_/A1 (sky130_fd_sc_hd__o32a_1)
+                  0.05    0.38   11.78 v mprj/u_mac_wrap/_06867_/X (sky130_fd_sc_hd__o32a_1)
+     1    0.00                           mprj/u_mac_wrap/_00871_ (net)
+                  0.05    0.00   11.78 v mprj/u_mac_wrap/_09421_/D (sky130_fd_sc_hd__dfstp_1)
+                                 11.78   data arrival time
+
+                         40.00   40.00   clock mac_tx_clk (rise edge)
+                          0.00   40.00   clock source latency
+                  1.00    0.00   40.00 ^ mprj_io[5] (inout)
+     1    6.12                           mprj_io[5] (net)
+                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[5] (net)
+                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00   41.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10   41.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00   41.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21   41.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15   41.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00   41.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27   41.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02   41.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43   42.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09   42.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34   42.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00   42.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15   43.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00   43.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42   43.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01   43.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34   43.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01   43.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20   44.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00   44.13 ^ mprj/u_mac_wrap/clkbuf_4_12_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16   44.28 ^ mprj/u_mac_wrap/clkbuf_4_12_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     9    0.04                           mprj/u_mac_wrap/clknet_4_12_0_phy_tx_clk (net)
+                  0.08    0.00   44.28 ^ mprj/u_mac_wrap/_09421_/CLK (sky130_fd_sc_hd__dfstp_1)
+                         -0.25   44.03   clock uncertainty
+                          2.44   46.47   clock reconvergence pessimism
+                         -0.06   46.41   library setup time
+                                 46.41   data required time
+-----------------------------------------------------------------------------
+                                 46.41   data required time
+                                -11.78   data arrival time
+-----------------------------------------------------------------------------
+                                 34.63   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08942_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj/u_mac_wrap/_09434_
+          (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Path Group: mac_tx_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1   11.12                           mprj_io[5] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[5] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.01 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.26    7.28 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.08                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
+                  0.14    0.00    7.28 ^ mprj/u_mac_wrap/_08942_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.45    7.73 v mprj/u_mac_wrap/_08942_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
+                  0.08    0.00    7.73 v mprj/u_mac_wrap/_04543_/A (sky130_fd_sc_hd__xnor2_2)
+                  0.22    0.25    7.98 ^ mprj/u_mac_wrap/_04543_/Y (sky130_fd_sc_hd__xnor2_2)
+     3    0.01                           mprj/u_mac_wrap/_01852_ (net)
+                  0.22    0.00    7.98 ^ mprj/u_mac_wrap/_04544_/B (sky130_fd_sc_hd__xor2_2)
+                  0.25    0.27    8.25 ^ mprj/u_mac_wrap/_04544_/X (sky130_fd_sc_hd__xor2_2)
+     4    0.02                           mprj/u_mac_wrap/_01853_ (net)
+                  0.25    0.00    8.25 ^ mprj/u_mac_wrap/_04548_/B (sky130_fd_sc_hd__xor2_1)
+                  0.28    0.30    8.56 ^ mprj/u_mac_wrap/_04548_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01857_ (net)
+                  0.28    0.00    8.56 ^ mprj/u_mac_wrap/_04550_/B (sky130_fd_sc_hd__xor2_1)
+                  0.26    0.29    8.85 ^ mprj/u_mac_wrap/_04550_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01859_ (net)
+                  0.26    0.00    8.85 ^ mprj/u_mac_wrap/_04551_/B (sky130_fd_sc_hd__xor2_1)
+                  0.09    0.13    8.98 v mprj/u_mac_wrap/_04551_/X (sky130_fd_sc_hd__xor2_1)
+     2    0.01                           mprj/u_mac_wrap/_01860_ (net)
+                  0.09    0.00    8.98 v mprj/u_mac_wrap/_04556_/A2 (sky130_fd_sc_hd__a211o_1)
+                  0.08    0.36    9.34 v mprj/u_mac_wrap/_04556_/X (sky130_fd_sc_hd__a211o_1)
+     3    0.01                           mprj/u_mac_wrap/_01865_ (net)
+                  0.08    0.00    9.34 v mprj/u_mac_wrap/_04567_/C (sky130_fd_sc_hd__or4_1)
+                  0.13    0.56    9.89 v mprj/u_mac_wrap/_04567_/X (sky130_fd_sc_hd__or4_1)
+     2    0.01                           mprj/u_mac_wrap/_01876_ (net)
+                  0.13    0.00    9.89 v mprj/u_mac_wrap/_04568_/A (sky130_fd_sc_hd__inv_2)
+                  0.04    0.07    9.97 ^ mprj/u_mac_wrap/_04568_/Y (sky130_fd_sc_hd__inv_2)
+     1    0.00                           mprj/u_mac_wrap/_01877_ (net)
+                  0.04    0.00    9.97 ^ mprj/u_mac_wrap/_04583_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.18    0.30   10.27 ^ mprj/u_mac_wrap/_04583_/X (sky130_fd_sc_hd__o2111a_1)
+     4    0.02                           mprj/u_mac_wrap/_01889_ (net)
+                  0.18    0.00   10.27 ^ mprj/u_mac_wrap/_04618_/A_N (sky130_fd_sc_hd__and4bb_2)
+                  0.10    0.33   10.59 v mprj/u_mac_wrap/_04618_/X (sky130_fd_sc_hd__and4bb_2)
+     4    0.03                           mprj/u_mac_wrap/_01917_ (net)
+                  0.10    0.00   10.60 v mprj/u_mac_wrap/fanout251/A (sky130_fd_sc_hd__buf_4)
+                  0.10    0.24   10.83 v mprj/u_mac_wrap/fanout251/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_mac_wrap/net251 (net)
+                  0.10    0.00   10.83 v mprj/u_mac_wrap/_05773_/B1 (sky130_fd_sc_hd__a31oi_1)
+                  0.13    0.16   10.99 ^ mprj/u_mac_wrap/_05773_/Y (sky130_fd_sc_hd__a31oi_1)
+     1    0.00                           mprj/u_mac_wrap/_02610_ (net)
+                  0.13    0.00   10.99 ^ mprj/u_mac_wrap/fanout249/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.18    0.27   11.26 ^ mprj/u_mac_wrap/fanout249/X (sky130_fd_sc_hd__clkbuf_4)
+    16    0.06                           mprj/u_mac_wrap/net249 (net)
+                  0.18    0.00   11.26 ^ mprj/u_mac_wrap/fanout248/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.29   11.55 ^ mprj/u_mac_wrap/fanout248/X (sky130_fd_sc_hd__buf_2)
+    12    0.04                           mprj/u_mac_wrap/net248 (net)
+                  0.22    0.00   11.55 ^ mprj/u_mac_wrap/fanout247/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.19    0.31   11.86 ^ mprj/u_mac_wrap/fanout247/X (sky130_fd_sc_hd__clkbuf_4)
     20    0.06                           mprj/u_mac_wrap/net247 (net)
-                  0.13    0.00    9.23 v mprj/u_mac_wrap/_05729_/S (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.35    9.58 v mprj/u_mac_wrap/_05729_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00459_ (net)
-                  0.06    0.00    9.58 v mprj/u_mac_wrap/_08902_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  9.58   data arrival time
+                  0.19    0.00   11.86 ^ mprj/u_mac_wrap/_06971_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.35   12.21 v mprj/u_mac_wrap/_06971_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00884_ (net)
+                  0.05    0.00   12.21 v mprj/u_mac_wrap/_09434_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 12.21   data arrival time
 
                          40.00   40.00   clock mac_tx_clk (rise edge)
                           0.00   40.00   clock source latency
@@ -143554,36 +160754,57 @@
                   0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[5] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21   41.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00   41.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15   41.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00   41.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37   42.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01   42.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32   42.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01   42.60 ^ mprj/u_mac_wrap/clkbuf_4_0_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.10    0.21   42.81 ^ mprj/u_mac_wrap/clkbuf_4_0_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    20    0.05                           mprj/u_mac_wrap/clknet_4_0_0_phy_tx_clk (net)
-                  0.10    0.00   42.81 ^ mprj/u_mac_wrap/_08902_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   42.56   clock uncertainty
-                          2.32   44.87   clock reconvergence pessimism
-                         -0.11   44.77   library setup time
-                                 44.77   data required time
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15   41.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00   41.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27   41.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02   41.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43   42.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09   42.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34   42.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00   42.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15   43.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00   43.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42   43.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01   43.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34   43.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01   43.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20   44.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00   44.12 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.17   44.29 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00   44.29 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.24   44.53 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01   44.54 ^ mprj/u_mac_wrap/clkbuf_4_5_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.19   44.73 ^ mprj/u_mac_wrap/clkbuf_4_5_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.03                           mprj/u_mac_wrap/clknet_4_5_0_phy_tx_clk (net)
+                  0.07    0.00   44.73 ^ mprj/u_mac_wrap/_09434_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   44.48   clock uncertainty
+                          2.47   46.95   clock reconvergence pessimism
+                         -0.11   46.84   library setup time
+                                 46.84   data required time
 -----------------------------------------------------------------------------
-                                 44.77   data required time
-                                 -9.58   data arrival time
+                                 46.84   data required time
+                                -12.21   data arrival time
 -----------------------------------------------------------------------------
-                                 35.18   slack (MET)
+                                 34.63   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_08892_
+Startpoint: mprj/u_mac_wrap/_08942_
             (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_08901_
+Endpoint: mprj/u_mac_wrap/_09435_
           (rising edge-triggered flip-flop clocked by mac_tx_clk)
 Path Group: mac_tx_clk
 Path Type: max
@@ -143607,68 +160828,95 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.92 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.21    5.13 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    13    0.04                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
-                  0.09    0.00    5.13 ^ mprj/u_mac_wrap/_08892_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.08    0.43    5.56 v mprj/u_mac_wrap/_08892_/Q (sky130_fd_sc_hd__dfrtp_1)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.01 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.26    7.28 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.08                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
+                  0.14    0.00    7.28 ^ mprj/u_mac_wrap/_08942_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.45    7.73 v mprj/u_mac_wrap/_08942_/Q (sky130_fd_sc_hd__dfrtp_1)
      2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
-                  0.08    0.00    5.56 v mprj/u_mac_wrap/_04513_/A (sky130_fd_sc_hd__xnor2_2)
-                  0.23    0.26    5.82 ^ mprj/u_mac_wrap/_04513_/Y (sky130_fd_sc_hd__xnor2_2)
-     3    0.01                           mprj/u_mac_wrap/_01847_ (net)
-                  0.23    0.00    5.82 ^ mprj/u_mac_wrap/_04514_/B (sky130_fd_sc_hd__xor2_2)
-                  0.22    0.26    6.07 ^ mprj/u_mac_wrap/_04514_/X (sky130_fd_sc_hd__xor2_2)
-     4    0.02                           mprj/u_mac_wrap/_01848_ (net)
-                  0.22    0.00    6.07 ^ mprj/u_mac_wrap/_04518_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.37 ^ mprj/u_mac_wrap/_04518_/X (sky130_fd_sc_hd__xor2_1)
+                  0.08    0.00    7.73 v mprj/u_mac_wrap/_04543_/A (sky130_fd_sc_hd__xnor2_2)
+                  0.22    0.25    7.98 ^ mprj/u_mac_wrap/_04543_/Y (sky130_fd_sc_hd__xnor2_2)
      3    0.01                           mprj/u_mac_wrap/_01852_ (net)
-                  0.26    0.00    6.37 ^ mprj/u_mac_wrap/_04520_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.65 ^ mprj/u_mac_wrap/_04520_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01854_ (net)
-                  0.26    0.00    6.65 ^ mprj/u_mac_wrap/_04521_/B (sky130_fd_sc_hd__xor2_1)
-                  0.17    0.23    6.88 ^ mprj/u_mac_wrap/_04521_/X (sky130_fd_sc_hd__xor2_1)
-     2    0.01                           mprj/u_mac_wrap/_01855_ (net)
-                  0.17    0.00    6.88 ^ mprj/u_mac_wrap/_04526_/A2 (sky130_fd_sc_hd__a211o_1)
-                  0.09    0.19    7.07 ^ mprj/u_mac_wrap/_04526_/X (sky130_fd_sc_hd__a211o_1)
-     3    0.01                           mprj/u_mac_wrap/_01860_ (net)
-                  0.09    0.00    7.07 ^ mprj/u_mac_wrap/_04528_/B2 (sky130_fd_sc_hd__a221oi_1)
-                  0.10    0.10    7.16 v mprj/u_mac_wrap/_04528_/Y (sky130_fd_sc_hd__a221oi_1)
-     2    0.01                           mprj/u_mac_wrap/_01862_ (net)
-                  0.10    0.00    7.16 v mprj/u_mac_wrap/_04531_/B (sky130_fd_sc_hd__or4_1)
-                  0.10    0.56    7.72 v mprj/u_mac_wrap/_04531_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01865_ (net)
-                  0.10    0.00    7.72 v mprj/u_mac_wrap/_04554_/B1 (sky130_fd_sc_hd__o2111a_1)
-                  0.15    0.27    7.99 v mprj/u_mac_wrap/_04554_/X (sky130_fd_sc_hd__o2111a_1)
-     6    0.03                           mprj/u_mac_wrap/_01885_ (net)
-                  0.15    0.00    7.99 v mprj/u_mac_wrap/_04592_/A (sky130_fd_sc_hd__nor4b_2)
-                  0.42    0.50    8.49 ^ mprj/u_mac_wrap/_04592_/Y (sky130_fd_sc_hd__nor4b_2)
-     2    0.02                           mprj/u_mac_wrap/_01916_ (net)
-                  0.42    0.00    8.49 ^ mprj/u_mac_wrap/fanout254/A (sky130_fd_sc_hd__buf_4)
-                  0.21    0.33    8.82 ^ mprj/u_mac_wrap/fanout254/X (sky130_fd_sc_hd__buf_4)
-    20    0.07                           mprj/u_mac_wrap/net254 (net)
-                  0.21    0.01    8.83 ^ mprj/u_mac_wrap/_05718_/B (sky130_fd_sc_hd__nor2_1)
-                  0.10    0.14    8.97 v mprj/u_mac_wrap/_05718_/Y (sky130_fd_sc_hd__nor2_1)
-     2    0.02                           mprj/u_mac_wrap/_02580_ (net)
-                  0.10    0.00    8.97 v mprj/u_mac_wrap/fanout247/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.13    0.26    9.23 v mprj/u_mac_wrap/fanout247/X (sky130_fd_sc_hd__clkbuf_4)
+                  0.22    0.00    7.98 ^ mprj/u_mac_wrap/_04544_/B (sky130_fd_sc_hd__xor2_2)
+                  0.25    0.27    8.25 ^ mprj/u_mac_wrap/_04544_/X (sky130_fd_sc_hd__xor2_2)
+     4    0.02                           mprj/u_mac_wrap/_01853_ (net)
+                  0.25    0.00    8.25 ^ mprj/u_mac_wrap/_04548_/B (sky130_fd_sc_hd__xor2_1)
+                  0.28    0.30    8.56 ^ mprj/u_mac_wrap/_04548_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01857_ (net)
+                  0.28    0.00    8.56 ^ mprj/u_mac_wrap/_04550_/B (sky130_fd_sc_hd__xor2_1)
+                  0.26    0.29    8.85 ^ mprj/u_mac_wrap/_04550_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01859_ (net)
+                  0.26    0.00    8.85 ^ mprj/u_mac_wrap/_04551_/B (sky130_fd_sc_hd__xor2_1)
+                  0.09    0.13    8.98 v mprj/u_mac_wrap/_04551_/X (sky130_fd_sc_hd__xor2_1)
+     2    0.01                           mprj/u_mac_wrap/_01860_ (net)
+                  0.09    0.00    8.98 v mprj/u_mac_wrap/_04556_/A2 (sky130_fd_sc_hd__a211o_1)
+                  0.08    0.36    9.34 v mprj/u_mac_wrap/_04556_/X (sky130_fd_sc_hd__a211o_1)
+     3    0.01                           mprj/u_mac_wrap/_01865_ (net)
+                  0.08    0.00    9.34 v mprj/u_mac_wrap/_04567_/C (sky130_fd_sc_hd__or4_1)
+                  0.13    0.56    9.89 v mprj/u_mac_wrap/_04567_/X (sky130_fd_sc_hd__or4_1)
+     2    0.01                           mprj/u_mac_wrap/_01876_ (net)
+                  0.13    0.00    9.89 v mprj/u_mac_wrap/_04568_/A (sky130_fd_sc_hd__inv_2)
+                  0.04    0.07    9.97 ^ mprj/u_mac_wrap/_04568_/Y (sky130_fd_sc_hd__inv_2)
+     1    0.00                           mprj/u_mac_wrap/_01877_ (net)
+                  0.04    0.00    9.97 ^ mprj/u_mac_wrap/_04583_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.18    0.30   10.27 ^ mprj/u_mac_wrap/_04583_/X (sky130_fd_sc_hd__o2111a_1)
+     4    0.02                           mprj/u_mac_wrap/_01889_ (net)
+                  0.18    0.00   10.27 ^ mprj/u_mac_wrap/_04618_/A_N (sky130_fd_sc_hd__and4bb_2)
+                  0.10    0.33   10.59 v mprj/u_mac_wrap/_04618_/X (sky130_fd_sc_hd__and4bb_2)
+     4    0.03                           mprj/u_mac_wrap/_01917_ (net)
+                  0.10    0.00   10.60 v mprj/u_mac_wrap/fanout251/A (sky130_fd_sc_hd__buf_4)
+                  0.10    0.24   10.83 v mprj/u_mac_wrap/fanout251/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_mac_wrap/net251 (net)
+                  0.10    0.00   10.83 v mprj/u_mac_wrap/_05773_/B1 (sky130_fd_sc_hd__a31oi_1)
+                  0.13    0.16   10.99 ^ mprj/u_mac_wrap/_05773_/Y (sky130_fd_sc_hd__a31oi_1)
+     1    0.00                           mprj/u_mac_wrap/_02610_ (net)
+                  0.13    0.00   10.99 ^ mprj/u_mac_wrap/fanout249/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.18    0.27   11.26 ^ mprj/u_mac_wrap/fanout249/X (sky130_fd_sc_hd__clkbuf_4)
+    16    0.06                           mprj/u_mac_wrap/net249 (net)
+                  0.18    0.00   11.26 ^ mprj/u_mac_wrap/fanout248/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.29   11.55 ^ mprj/u_mac_wrap/fanout248/X (sky130_fd_sc_hd__buf_2)
+    12    0.04                           mprj/u_mac_wrap/net248 (net)
+                  0.22    0.00   11.55 ^ mprj/u_mac_wrap/fanout247/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.19    0.31   11.86 ^ mprj/u_mac_wrap/fanout247/X (sky130_fd_sc_hd__clkbuf_4)
     20    0.06                           mprj/u_mac_wrap/net247 (net)
-                  0.13    0.00    9.23 v mprj/u_mac_wrap/_05726_/S (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.35    9.58 v mprj/u_mac_wrap/_05726_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00458_ (net)
-                  0.06    0.00    9.58 v mprj/u_mac_wrap/_08901_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  9.58   data arrival time
+                  0.19    0.00   11.86 ^ mprj/u_mac_wrap/_06995_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.35   12.21 v mprj/u_mac_wrap/_06995_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00885_ (net)
+                  0.05    0.00   12.21 v mprj/u_mac_wrap/_09435_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 12.21   data arrival time
 
                          40.00   40.00   clock mac_tx_clk (rise edge)
                           0.00   40.00   clock source latency
@@ -143687,36 +160935,57 @@
                   0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[5] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21   41.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00   41.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15   41.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00   41.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37   42.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01   42.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32   42.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01   42.60 ^ mprj/u_mac_wrap/clkbuf_4_0_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.10    0.21   42.81 ^ mprj/u_mac_wrap/clkbuf_4_0_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    20    0.05                           mprj/u_mac_wrap/clknet_4_0_0_phy_tx_clk (net)
-                  0.10    0.00   42.81 ^ mprj/u_mac_wrap/_08901_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   42.56   clock uncertainty
-                          2.32   44.87   clock reconvergence pessimism
-                         -0.11   44.77   library setup time
-                                 44.77   data required time
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15   41.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00   41.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27   41.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02   41.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43   42.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09   42.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34   42.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00   42.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15   43.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00   43.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42   43.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01   43.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34   43.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01   43.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20   44.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00   44.12 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.17   44.29 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00   44.29 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.24   44.53 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01   44.54 ^ mprj/u_mac_wrap/clkbuf_4_5_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.19   44.73 ^ mprj/u_mac_wrap/clkbuf_4_5_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.03                           mprj/u_mac_wrap/clknet_4_5_0_phy_tx_clk (net)
+                  0.07    0.00   44.73 ^ mprj/u_mac_wrap/_09435_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   44.48   clock uncertainty
+                          2.47   46.95   clock reconvergence pessimism
+                         -0.11   46.84   library setup time
+                                 46.84   data required time
 -----------------------------------------------------------------------------
-                                 44.77   data required time
-                                 -9.58   data arrival time
+                                 46.84   data required time
+                                -12.21   data arrival time
 -----------------------------------------------------------------------------
-                                 35.19   slack (MET)
+                                 34.63   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_08892_
+Startpoint: mprj/u_mac_wrap/_08942_
             (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_08900_
+Endpoint: mprj/u_mac_wrap/_09410_
           (rising edge-triggered flip-flop clocked by mac_tx_clk)
 Path Group: mac_tx_clk
 Path Type: max
@@ -143740,68 +161009,89 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.92 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.21    5.13 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    13    0.04                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
-                  0.09    0.00    5.13 ^ mprj/u_mac_wrap/_08892_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.08    0.43    5.56 v mprj/u_mac_wrap/_08892_/Q (sky130_fd_sc_hd__dfrtp_1)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.01 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.26    7.28 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.08                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
+                  0.14    0.00    7.28 ^ mprj/u_mac_wrap/_08942_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.45    7.73 v mprj/u_mac_wrap/_08942_/Q (sky130_fd_sc_hd__dfrtp_1)
      2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
-                  0.08    0.00    5.56 v mprj/u_mac_wrap/_04513_/A (sky130_fd_sc_hd__xnor2_2)
-                  0.23    0.26    5.82 ^ mprj/u_mac_wrap/_04513_/Y (sky130_fd_sc_hd__xnor2_2)
-     3    0.01                           mprj/u_mac_wrap/_01847_ (net)
-                  0.23    0.00    5.82 ^ mprj/u_mac_wrap/_04514_/B (sky130_fd_sc_hd__xor2_2)
-                  0.22    0.26    6.07 ^ mprj/u_mac_wrap/_04514_/X (sky130_fd_sc_hd__xor2_2)
-     4    0.02                           mprj/u_mac_wrap/_01848_ (net)
-                  0.22    0.00    6.07 ^ mprj/u_mac_wrap/_04518_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.37 ^ mprj/u_mac_wrap/_04518_/X (sky130_fd_sc_hd__xor2_1)
+                  0.08    0.00    7.73 v mprj/u_mac_wrap/_04543_/A (sky130_fd_sc_hd__xnor2_2)
+                  0.22    0.25    7.98 ^ mprj/u_mac_wrap/_04543_/Y (sky130_fd_sc_hd__xnor2_2)
      3    0.01                           mprj/u_mac_wrap/_01852_ (net)
-                  0.26    0.00    6.37 ^ mprj/u_mac_wrap/_04520_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.65 ^ mprj/u_mac_wrap/_04520_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01854_ (net)
-                  0.26    0.00    6.65 ^ mprj/u_mac_wrap/_04521_/B (sky130_fd_sc_hd__xor2_1)
-                  0.17    0.23    6.88 ^ mprj/u_mac_wrap/_04521_/X (sky130_fd_sc_hd__xor2_1)
-     2    0.01                           mprj/u_mac_wrap/_01855_ (net)
-                  0.17    0.00    6.88 ^ mprj/u_mac_wrap/_04526_/A2 (sky130_fd_sc_hd__a211o_1)
-                  0.09    0.19    7.07 ^ mprj/u_mac_wrap/_04526_/X (sky130_fd_sc_hd__a211o_1)
-     3    0.01                           mprj/u_mac_wrap/_01860_ (net)
-                  0.09    0.00    7.07 ^ mprj/u_mac_wrap/_04528_/B2 (sky130_fd_sc_hd__a221oi_1)
-                  0.10    0.10    7.16 v mprj/u_mac_wrap/_04528_/Y (sky130_fd_sc_hd__a221oi_1)
-     2    0.01                           mprj/u_mac_wrap/_01862_ (net)
-                  0.10    0.00    7.16 v mprj/u_mac_wrap/_04531_/B (sky130_fd_sc_hd__or4_1)
-                  0.10    0.56    7.72 v mprj/u_mac_wrap/_04531_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01865_ (net)
-                  0.10    0.00    7.72 v mprj/u_mac_wrap/_04554_/B1 (sky130_fd_sc_hd__o2111a_1)
-                  0.15    0.27    7.99 v mprj/u_mac_wrap/_04554_/X (sky130_fd_sc_hd__o2111a_1)
-     6    0.03                           mprj/u_mac_wrap/_01885_ (net)
-                  0.15    0.00    7.99 v mprj/u_mac_wrap/_04592_/A (sky130_fd_sc_hd__nor4b_2)
-                  0.42    0.50    8.49 ^ mprj/u_mac_wrap/_04592_/Y (sky130_fd_sc_hd__nor4b_2)
-     2    0.02                           mprj/u_mac_wrap/_01916_ (net)
-                  0.42    0.00    8.49 ^ mprj/u_mac_wrap/fanout254/A (sky130_fd_sc_hd__buf_4)
-                  0.21    0.33    8.82 ^ mprj/u_mac_wrap/fanout254/X (sky130_fd_sc_hd__buf_4)
-    20    0.07                           mprj/u_mac_wrap/net254 (net)
-                  0.21    0.01    8.83 ^ mprj/u_mac_wrap/_05718_/B (sky130_fd_sc_hd__nor2_1)
-                  0.10    0.14    8.97 v mprj/u_mac_wrap/_05718_/Y (sky130_fd_sc_hd__nor2_1)
-     2    0.02                           mprj/u_mac_wrap/_02580_ (net)
-                  0.10    0.00    8.97 v mprj/u_mac_wrap/fanout247/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.13    0.26    9.23 v mprj/u_mac_wrap/fanout247/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.06                           mprj/u_mac_wrap/net247 (net)
-                  0.13    0.00    9.23 v mprj/u_mac_wrap/_05722_/S (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.35    9.58 v mprj/u_mac_wrap/_05722_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00457_ (net)
-                  0.06    0.00    9.58 v mprj/u_mac_wrap/_08900_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  9.58   data arrival time
+                  0.22    0.00    7.98 ^ mprj/u_mac_wrap/_04544_/B (sky130_fd_sc_hd__xor2_2)
+                  0.25    0.27    8.25 ^ mprj/u_mac_wrap/_04544_/X (sky130_fd_sc_hd__xor2_2)
+     4    0.02                           mprj/u_mac_wrap/_01853_ (net)
+                  0.25    0.00    8.25 ^ mprj/u_mac_wrap/_04548_/B (sky130_fd_sc_hd__xor2_1)
+                  0.28    0.30    8.56 ^ mprj/u_mac_wrap/_04548_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01857_ (net)
+                  0.28    0.00    8.56 ^ mprj/u_mac_wrap/_04550_/B (sky130_fd_sc_hd__xor2_1)
+                  0.26    0.29    8.85 ^ mprj/u_mac_wrap/_04550_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01859_ (net)
+                  0.26    0.00    8.85 ^ mprj/u_mac_wrap/_04551_/B (sky130_fd_sc_hd__xor2_1)
+                  0.09    0.13    8.98 v mprj/u_mac_wrap/_04551_/X (sky130_fd_sc_hd__xor2_1)
+     2    0.01                           mprj/u_mac_wrap/_01860_ (net)
+                  0.09    0.00    8.98 v mprj/u_mac_wrap/_04556_/A2 (sky130_fd_sc_hd__a211o_1)
+                  0.08    0.36    9.34 v mprj/u_mac_wrap/_04556_/X (sky130_fd_sc_hd__a211o_1)
+     3    0.01                           mprj/u_mac_wrap/_01865_ (net)
+                  0.08    0.00    9.34 v mprj/u_mac_wrap/_04567_/C (sky130_fd_sc_hd__or4_1)
+                  0.13    0.56    9.89 v mprj/u_mac_wrap/_04567_/X (sky130_fd_sc_hd__or4_1)
+     2    0.01                           mprj/u_mac_wrap/_01876_ (net)
+                  0.13    0.00    9.89 v mprj/u_mac_wrap/_04568_/A (sky130_fd_sc_hd__inv_2)
+                  0.04    0.07    9.97 ^ mprj/u_mac_wrap/_04568_/Y (sky130_fd_sc_hd__inv_2)
+     1    0.00                           mprj/u_mac_wrap/_01877_ (net)
+                  0.04    0.00    9.97 ^ mprj/u_mac_wrap/_04583_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.18    0.30   10.27 ^ mprj/u_mac_wrap/_04583_/X (sky130_fd_sc_hd__o2111a_1)
+     4    0.02                           mprj/u_mac_wrap/_01889_ (net)
+                  0.18    0.00   10.27 ^ mprj/u_mac_wrap/_04618_/A_N (sky130_fd_sc_hd__and4bb_2)
+                  0.10    0.33   10.59 v mprj/u_mac_wrap/_04618_/X (sky130_fd_sc_hd__and4bb_2)
+     4    0.03                           mprj/u_mac_wrap/_01917_ (net)
+                  0.10    0.00   10.60 v mprj/u_mac_wrap/fanout251/A (sky130_fd_sc_hd__buf_4)
+                  0.10    0.24   10.83 v mprj/u_mac_wrap/fanout251/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_mac_wrap/net251 (net)
+                  0.10    0.00   10.84 v mprj/u_mac_wrap/_06757_/B (sky130_fd_sc_hd__or2_2)
+                  0.12    0.39   11.22 v mprj/u_mac_wrap/_06757_/X (sky130_fd_sc_hd__or2_2)
+     8    0.03                           mprj/u_mac_wrap/_03200_ (net)
+                  0.12    0.00   11.22 v mprj/u_mac_wrap/fanout245/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.13    0.26   11.49 v mprj/u_mac_wrap/fanout245/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.06                           mprj/u_mac_wrap/net245 (net)
+                  0.13    0.00   11.49 v mprj/u_mac_wrap/_06810_/A2 (sky130_fd_sc_hd__o22a_1)
+                  0.05    0.24   11.73 v mprj/u_mac_wrap/_06810_/X (sky130_fd_sc_hd__o22a_1)
+     1    0.00                           mprj/u_mac_wrap/_00860_ (net)
+                  0.05    0.00   11.73 v mprj/u_mac_wrap/_09410_/D (sky130_fd_sc_hd__dfstp_1)
+                                 11.73   data arrival time
 
                          40.00   40.00   clock mac_tx_clk (rise edge)
                           0.00   40.00   clock source latency
@@ -143820,36 +161110,51 @@
                   0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[5] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21   41.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00   41.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15   41.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00   41.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37   42.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01   42.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32   42.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01   42.60 ^ mprj/u_mac_wrap/clkbuf_4_0_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.10    0.21   42.81 ^ mprj/u_mac_wrap/clkbuf_4_0_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    20    0.05                           mprj/u_mac_wrap/clknet_4_0_0_phy_tx_clk (net)
-                  0.10    0.00   42.81 ^ mprj/u_mac_wrap/_08900_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   42.56   clock uncertainty
-                          2.32   44.87   clock reconvergence pessimism
-                         -0.11   44.77   library setup time
-                                 44.77   data required time
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15   41.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00   41.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27   41.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02   41.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43   42.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09   42.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34   42.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00   42.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15   43.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00   43.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42   43.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01   43.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34   43.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01   43.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20   44.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00   44.13 ^ mprj/u_mac_wrap/clkbuf_4_13_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14   44.26 ^ mprj/u_mac_wrap/clkbuf_4_13_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     6    0.02                           mprj/u_mac_wrap/clknet_4_13_0_phy_tx_clk (net)
+                  0.05    0.00   44.27 ^ mprj/u_mac_wrap/_09410_/CLK (sky130_fd_sc_hd__dfstp_1)
+                         -0.25   44.02   clock uncertainty
+                          2.44   46.45   clock reconvergence pessimism
+                         -0.07   46.38   library setup time
+                                 46.38   data required time
 -----------------------------------------------------------------------------
-                                 44.77   data required time
-                                 -9.58   data arrival time
+                                 46.38   data required time
+                                -11.73   data arrival time
 -----------------------------------------------------------------------------
-                                 35.19   slack (MET)
+                                 34.65   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_08892_
+Startpoint: mprj/u_mac_wrap/_08942_
             (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_08903_
+Endpoint: mprj/u_mac_wrap/_09405_
           (rising edge-triggered flip-flop clocked by mac_tx_clk)
 Path Group: mac_tx_clk
 Path Type: max
@@ -143873,68 +161178,89 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.92 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.21    5.13 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    13    0.04                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
-                  0.09    0.00    5.13 ^ mprj/u_mac_wrap/_08892_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.08    0.43    5.56 v mprj/u_mac_wrap/_08892_/Q (sky130_fd_sc_hd__dfrtp_1)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.01 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.26    7.28 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.08                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
+                  0.14    0.00    7.28 ^ mprj/u_mac_wrap/_08942_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.45    7.73 v mprj/u_mac_wrap/_08942_/Q (sky130_fd_sc_hd__dfrtp_1)
      2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
-                  0.08    0.00    5.56 v mprj/u_mac_wrap/_04513_/A (sky130_fd_sc_hd__xnor2_2)
-                  0.23    0.26    5.82 ^ mprj/u_mac_wrap/_04513_/Y (sky130_fd_sc_hd__xnor2_2)
-     3    0.01                           mprj/u_mac_wrap/_01847_ (net)
-                  0.23    0.00    5.82 ^ mprj/u_mac_wrap/_04514_/B (sky130_fd_sc_hd__xor2_2)
-                  0.22    0.26    6.07 ^ mprj/u_mac_wrap/_04514_/X (sky130_fd_sc_hd__xor2_2)
-     4    0.02                           mprj/u_mac_wrap/_01848_ (net)
-                  0.22    0.00    6.07 ^ mprj/u_mac_wrap/_04518_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.37 ^ mprj/u_mac_wrap/_04518_/X (sky130_fd_sc_hd__xor2_1)
+                  0.08    0.00    7.73 v mprj/u_mac_wrap/_04543_/A (sky130_fd_sc_hd__xnor2_2)
+                  0.22    0.25    7.98 ^ mprj/u_mac_wrap/_04543_/Y (sky130_fd_sc_hd__xnor2_2)
      3    0.01                           mprj/u_mac_wrap/_01852_ (net)
-                  0.26    0.00    6.37 ^ mprj/u_mac_wrap/_04520_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.65 ^ mprj/u_mac_wrap/_04520_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01854_ (net)
-                  0.26    0.00    6.65 ^ mprj/u_mac_wrap/_04521_/B (sky130_fd_sc_hd__xor2_1)
-                  0.17    0.23    6.88 ^ mprj/u_mac_wrap/_04521_/X (sky130_fd_sc_hd__xor2_1)
-     2    0.01                           mprj/u_mac_wrap/_01855_ (net)
-                  0.17    0.00    6.88 ^ mprj/u_mac_wrap/_04526_/A2 (sky130_fd_sc_hd__a211o_1)
-                  0.09    0.19    7.07 ^ mprj/u_mac_wrap/_04526_/X (sky130_fd_sc_hd__a211o_1)
-     3    0.01                           mprj/u_mac_wrap/_01860_ (net)
-                  0.09    0.00    7.07 ^ mprj/u_mac_wrap/_04528_/B2 (sky130_fd_sc_hd__a221oi_1)
-                  0.10    0.10    7.16 v mprj/u_mac_wrap/_04528_/Y (sky130_fd_sc_hd__a221oi_1)
-     2    0.01                           mprj/u_mac_wrap/_01862_ (net)
-                  0.10    0.00    7.16 v mprj/u_mac_wrap/_04531_/B (sky130_fd_sc_hd__or4_1)
-                  0.10    0.56    7.72 v mprj/u_mac_wrap/_04531_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01865_ (net)
-                  0.10    0.00    7.72 v mprj/u_mac_wrap/_04554_/B1 (sky130_fd_sc_hd__o2111a_1)
-                  0.15    0.27    7.99 v mprj/u_mac_wrap/_04554_/X (sky130_fd_sc_hd__o2111a_1)
-     6    0.03                           mprj/u_mac_wrap/_01885_ (net)
-                  0.15    0.00    7.99 v mprj/u_mac_wrap/_04592_/A (sky130_fd_sc_hd__nor4b_2)
-                  0.42    0.50    8.49 ^ mprj/u_mac_wrap/_04592_/Y (sky130_fd_sc_hd__nor4b_2)
-     2    0.02                           mprj/u_mac_wrap/_01916_ (net)
-                  0.42    0.00    8.49 ^ mprj/u_mac_wrap/fanout254/A (sky130_fd_sc_hd__buf_4)
-                  0.21    0.33    8.82 ^ mprj/u_mac_wrap/fanout254/X (sky130_fd_sc_hd__buf_4)
-    20    0.07                           mprj/u_mac_wrap/net254 (net)
-                  0.21    0.01    8.83 ^ mprj/u_mac_wrap/_05718_/B (sky130_fd_sc_hd__nor2_1)
-                  0.10    0.14    8.97 v mprj/u_mac_wrap/_05718_/Y (sky130_fd_sc_hd__nor2_1)
-     2    0.02                           mprj/u_mac_wrap/_02580_ (net)
-                  0.10    0.00    8.97 v mprj/u_mac_wrap/fanout247/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.13    0.26    9.23 v mprj/u_mac_wrap/fanout247/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.06                           mprj/u_mac_wrap/net247 (net)
-                  0.13    0.00    9.23 v mprj/u_mac_wrap/_05733_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.34    9.57 v mprj/u_mac_wrap/_05733_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00460_ (net)
-                  0.05    0.00    9.57 v mprj/u_mac_wrap/_08903_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  9.57   data arrival time
+                  0.22    0.00    7.98 ^ mprj/u_mac_wrap/_04544_/B (sky130_fd_sc_hd__xor2_2)
+                  0.25    0.27    8.25 ^ mprj/u_mac_wrap/_04544_/X (sky130_fd_sc_hd__xor2_2)
+     4    0.02                           mprj/u_mac_wrap/_01853_ (net)
+                  0.25    0.00    8.25 ^ mprj/u_mac_wrap/_04548_/B (sky130_fd_sc_hd__xor2_1)
+                  0.28    0.30    8.56 ^ mprj/u_mac_wrap/_04548_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01857_ (net)
+                  0.28    0.00    8.56 ^ mprj/u_mac_wrap/_04550_/B (sky130_fd_sc_hd__xor2_1)
+                  0.26    0.29    8.85 ^ mprj/u_mac_wrap/_04550_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01859_ (net)
+                  0.26    0.00    8.85 ^ mprj/u_mac_wrap/_04551_/B (sky130_fd_sc_hd__xor2_1)
+                  0.09    0.13    8.98 v mprj/u_mac_wrap/_04551_/X (sky130_fd_sc_hd__xor2_1)
+     2    0.01                           mprj/u_mac_wrap/_01860_ (net)
+                  0.09    0.00    8.98 v mprj/u_mac_wrap/_04556_/A2 (sky130_fd_sc_hd__a211o_1)
+                  0.08    0.36    9.34 v mprj/u_mac_wrap/_04556_/X (sky130_fd_sc_hd__a211o_1)
+     3    0.01                           mprj/u_mac_wrap/_01865_ (net)
+                  0.08    0.00    9.34 v mprj/u_mac_wrap/_04567_/C (sky130_fd_sc_hd__or4_1)
+                  0.13    0.56    9.89 v mprj/u_mac_wrap/_04567_/X (sky130_fd_sc_hd__or4_1)
+     2    0.01                           mprj/u_mac_wrap/_01876_ (net)
+                  0.13    0.00    9.89 v mprj/u_mac_wrap/_04568_/A (sky130_fd_sc_hd__inv_2)
+                  0.04    0.07    9.97 ^ mprj/u_mac_wrap/_04568_/Y (sky130_fd_sc_hd__inv_2)
+     1    0.00                           mprj/u_mac_wrap/_01877_ (net)
+                  0.04    0.00    9.97 ^ mprj/u_mac_wrap/_04583_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.18    0.30   10.27 ^ mprj/u_mac_wrap/_04583_/X (sky130_fd_sc_hd__o2111a_1)
+     4    0.02                           mprj/u_mac_wrap/_01889_ (net)
+                  0.18    0.00   10.27 ^ mprj/u_mac_wrap/_04618_/A_N (sky130_fd_sc_hd__and4bb_2)
+                  0.10    0.33   10.59 v mprj/u_mac_wrap/_04618_/X (sky130_fd_sc_hd__and4bb_2)
+     4    0.03                           mprj/u_mac_wrap/_01917_ (net)
+                  0.10    0.00   10.60 v mprj/u_mac_wrap/fanout251/A (sky130_fd_sc_hd__buf_4)
+                  0.10    0.24   10.83 v mprj/u_mac_wrap/fanout251/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_mac_wrap/net251 (net)
+                  0.10    0.00   10.84 v mprj/u_mac_wrap/_06760_/A_N (sky130_fd_sc_hd__nand2b_2)
+                  0.14    0.29   11.13 v mprj/u_mac_wrap/_06760_/Y (sky130_fd_sc_hd__nand2b_2)
+     8    0.03                           mprj/u_mac_wrap/_03203_ (net)
+                  0.14    0.00   11.13 v mprj/u_mac_wrap/fanout241/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.12    0.27   11.40 v mprj/u_mac_wrap/fanout241/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.06                           mprj/u_mac_wrap/net241 (net)
+                  0.12    0.00   11.40 v mprj/u_mac_wrap/_06791_/A1 (sky130_fd_sc_hd__o32a_1)
+                  0.05    0.38   11.78 v mprj/u_mac_wrap/_06791_/X (sky130_fd_sc_hd__o32a_1)
+     1    0.00                           mprj/u_mac_wrap/_00855_ (net)
+                  0.05    0.00   11.78 v mprj/u_mac_wrap/_09405_/D (sky130_fd_sc_hd__dfstp_2)
+                                 11.78   data arrival time
 
                          40.00   40.00   clock mac_tx_clk (rise edge)
                           0.00   40.00   clock source latency
@@ -143953,36 +161279,51 @@
                   0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[5] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21   41.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00   41.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15   41.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00   41.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37   42.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01   42.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32   42.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01   42.60 ^ mprj/u_mac_wrap/clkbuf_4_0_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.10    0.21   42.81 ^ mprj/u_mac_wrap/clkbuf_4_0_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    20    0.05                           mprj/u_mac_wrap/clknet_4_0_0_phy_tx_clk (net)
-                  0.10    0.00   42.81 ^ mprj/u_mac_wrap/_08903_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   42.56   clock uncertainty
-                          2.32   44.87   clock reconvergence pessimism
-                         -0.11   44.77   library setup time
-                                 44.77   data required time
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15   41.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00   41.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27   41.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02   41.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43   42.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09   42.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34   42.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00   42.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15   43.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00   43.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42   43.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01   43.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34   43.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01   43.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20   44.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00   44.13 ^ mprj/u_mac_wrap/clkbuf_4_6_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.11    0.18   44.30 ^ mprj/u_mac_wrap/clkbuf_4_6_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.06                           mprj/u_mac_wrap/clknet_4_6_0_phy_tx_clk (net)
+                  0.11    0.00   44.31 ^ mprj/u_mac_wrap/_09405_/CLK (sky130_fd_sc_hd__dfstp_2)
+                         -0.25   44.06   clock uncertainty
+                          2.44   46.49   clock reconvergence pessimism
+                         -0.06   46.43   library setup time
+                                 46.43   data required time
 -----------------------------------------------------------------------------
-                                 44.77   data required time
-                                 -9.57   data arrival time
+                                 46.43   data required time
+                                -11.78   data arrival time
 -----------------------------------------------------------------------------
-                                 35.19   slack (MET)
+                                 34.66   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_08892_
+Startpoint: mprj/u_mac_wrap/_08942_
             (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_08485_
+Endpoint: mprj/u_mac_wrap/_09413_
           (rising edge-triggered flip-flop clocked by mac_tx_clk)
 Path Group: mac_tx_clk
 Path Type: max
@@ -144006,68 +161347,89 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.92 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.21    5.13 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    13    0.04                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
-                  0.09    0.00    5.13 ^ mprj/u_mac_wrap/_08892_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.08    0.43    5.56 v mprj/u_mac_wrap/_08892_/Q (sky130_fd_sc_hd__dfrtp_1)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.01 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.26    7.28 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.08                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
+                  0.14    0.00    7.28 ^ mprj/u_mac_wrap/_08942_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.45    7.73 v mprj/u_mac_wrap/_08942_/Q (sky130_fd_sc_hd__dfrtp_1)
      2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
-                  0.08    0.00    5.56 v mprj/u_mac_wrap/_04513_/A (sky130_fd_sc_hd__xnor2_2)
-                  0.23    0.26    5.82 ^ mprj/u_mac_wrap/_04513_/Y (sky130_fd_sc_hd__xnor2_2)
-     3    0.01                           mprj/u_mac_wrap/_01847_ (net)
-                  0.23    0.00    5.82 ^ mprj/u_mac_wrap/_04514_/B (sky130_fd_sc_hd__xor2_2)
-                  0.22    0.26    6.07 ^ mprj/u_mac_wrap/_04514_/X (sky130_fd_sc_hd__xor2_2)
-     4    0.02                           mprj/u_mac_wrap/_01848_ (net)
-                  0.22    0.00    6.07 ^ mprj/u_mac_wrap/_04518_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.37 ^ mprj/u_mac_wrap/_04518_/X (sky130_fd_sc_hd__xor2_1)
+                  0.08    0.00    7.73 v mprj/u_mac_wrap/_04543_/A (sky130_fd_sc_hd__xnor2_2)
+                  0.22    0.25    7.98 ^ mprj/u_mac_wrap/_04543_/Y (sky130_fd_sc_hd__xnor2_2)
      3    0.01                           mprj/u_mac_wrap/_01852_ (net)
-                  0.26    0.00    6.37 ^ mprj/u_mac_wrap/_04520_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.65 ^ mprj/u_mac_wrap/_04520_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01854_ (net)
-                  0.26    0.00    6.65 ^ mprj/u_mac_wrap/_04521_/B (sky130_fd_sc_hd__xor2_1)
-                  0.17    0.23    6.88 ^ mprj/u_mac_wrap/_04521_/X (sky130_fd_sc_hd__xor2_1)
-     2    0.01                           mprj/u_mac_wrap/_01855_ (net)
-                  0.17    0.00    6.88 ^ mprj/u_mac_wrap/_04526_/A2 (sky130_fd_sc_hd__a211o_1)
-                  0.09    0.19    7.07 ^ mprj/u_mac_wrap/_04526_/X (sky130_fd_sc_hd__a211o_1)
-     3    0.01                           mprj/u_mac_wrap/_01860_ (net)
-                  0.09    0.00    7.07 ^ mprj/u_mac_wrap/_04528_/B2 (sky130_fd_sc_hd__a221oi_1)
-                  0.10    0.10    7.16 v mprj/u_mac_wrap/_04528_/Y (sky130_fd_sc_hd__a221oi_1)
-     2    0.01                           mprj/u_mac_wrap/_01862_ (net)
-                  0.10    0.00    7.16 v mprj/u_mac_wrap/_04531_/B (sky130_fd_sc_hd__or4_1)
-                  0.10    0.56    7.72 v mprj/u_mac_wrap/_04531_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01865_ (net)
-                  0.10    0.00    7.72 v mprj/u_mac_wrap/_04554_/B1 (sky130_fd_sc_hd__o2111a_1)
-                  0.15    0.27    7.99 v mprj/u_mac_wrap/_04554_/X (sky130_fd_sc_hd__o2111a_1)
-     6    0.03                           mprj/u_mac_wrap/_01885_ (net)
-                  0.15    0.00    7.99 v mprj/u_mac_wrap/_04592_/A (sky130_fd_sc_hd__nor4b_2)
-                  0.42    0.50    8.49 ^ mprj/u_mac_wrap/_04592_/Y (sky130_fd_sc_hd__nor4b_2)
-     2    0.02                           mprj/u_mac_wrap/_01916_ (net)
-                  0.42    0.00    8.49 ^ mprj/u_mac_wrap/fanout254/A (sky130_fd_sc_hd__buf_4)
-                  0.21    0.33    8.82 ^ mprj/u_mac_wrap/fanout254/X (sky130_fd_sc_hd__buf_4)
-    20    0.07                           mprj/u_mac_wrap/net254 (net)
-                  0.21    0.00    8.82 ^ mprj/u_mac_wrap/_04889_/A0 (sky130_fd_sc_hd__mux2_4)
-                  0.18    0.32    9.14 ^ mprj/u_mac_wrap/_04889_/X (sky130_fd_sc_hd__mux2_4)
-    18    0.06                           mprj/u_mac_wrap/_02121_ (net)
-                  0.18    0.00    9.14 ^ mprj/u_mac_wrap/_04933_/A2 (sky130_fd_sc_hd__a211o_1)
-                  0.07    0.18    9.32 ^ mprj/u_mac_wrap/_04933_/X (sky130_fd_sc_hd__a211o_1)
-     1    0.01                           mprj/u_mac_wrap/_02162_ (net)
-                  0.07    0.00    9.32 ^ mprj/u_mac_wrap/_04944_/A (sky130_fd_sc_hd__nand3_2)
-                  0.18    0.17    9.49 v mprj/u_mac_wrap/_04944_/Y (sky130_fd_sc_hd__nand3_2)
-     2    0.03                           mprj/u_mac_wrap/_00089_ (net)
-                  0.18    0.00    9.49 v mprj/u_mac_wrap/_08485_/D (sky130_fd_sc_hd__dfrtp_2)
-                                  9.49   data arrival time
+                  0.22    0.00    7.98 ^ mprj/u_mac_wrap/_04544_/B (sky130_fd_sc_hd__xor2_2)
+                  0.25    0.27    8.25 ^ mprj/u_mac_wrap/_04544_/X (sky130_fd_sc_hd__xor2_2)
+     4    0.02                           mprj/u_mac_wrap/_01853_ (net)
+                  0.25    0.00    8.25 ^ mprj/u_mac_wrap/_04548_/B (sky130_fd_sc_hd__xor2_1)
+                  0.28    0.30    8.56 ^ mprj/u_mac_wrap/_04548_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01857_ (net)
+                  0.28    0.00    8.56 ^ mprj/u_mac_wrap/_04550_/B (sky130_fd_sc_hd__xor2_1)
+                  0.26    0.29    8.85 ^ mprj/u_mac_wrap/_04550_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01859_ (net)
+                  0.26    0.00    8.85 ^ mprj/u_mac_wrap/_04551_/B (sky130_fd_sc_hd__xor2_1)
+                  0.09    0.13    8.98 v mprj/u_mac_wrap/_04551_/X (sky130_fd_sc_hd__xor2_1)
+     2    0.01                           mprj/u_mac_wrap/_01860_ (net)
+                  0.09    0.00    8.98 v mprj/u_mac_wrap/_04556_/A2 (sky130_fd_sc_hd__a211o_1)
+                  0.08    0.36    9.34 v mprj/u_mac_wrap/_04556_/X (sky130_fd_sc_hd__a211o_1)
+     3    0.01                           mprj/u_mac_wrap/_01865_ (net)
+                  0.08    0.00    9.34 v mprj/u_mac_wrap/_04567_/C (sky130_fd_sc_hd__or4_1)
+                  0.13    0.56    9.89 v mprj/u_mac_wrap/_04567_/X (sky130_fd_sc_hd__or4_1)
+     2    0.01                           mprj/u_mac_wrap/_01876_ (net)
+                  0.13    0.00    9.89 v mprj/u_mac_wrap/_04568_/A (sky130_fd_sc_hd__inv_2)
+                  0.04    0.07    9.97 ^ mprj/u_mac_wrap/_04568_/Y (sky130_fd_sc_hd__inv_2)
+     1    0.00                           mprj/u_mac_wrap/_01877_ (net)
+                  0.04    0.00    9.97 ^ mprj/u_mac_wrap/_04583_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.18    0.30   10.27 ^ mprj/u_mac_wrap/_04583_/X (sky130_fd_sc_hd__o2111a_1)
+     4    0.02                           mprj/u_mac_wrap/_01889_ (net)
+                  0.18    0.00   10.27 ^ mprj/u_mac_wrap/_04618_/A_N (sky130_fd_sc_hd__and4bb_2)
+                  0.10    0.33   10.59 v mprj/u_mac_wrap/_04618_/X (sky130_fd_sc_hd__and4bb_2)
+     4    0.03                           mprj/u_mac_wrap/_01917_ (net)
+                  0.10    0.00   10.60 v mprj/u_mac_wrap/fanout251/A (sky130_fd_sc_hd__buf_4)
+                  0.10    0.24   10.83 v mprj/u_mac_wrap/fanout251/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_mac_wrap/net251 (net)
+                  0.10    0.00   10.84 v mprj/u_mac_wrap/_06760_/A_N (sky130_fd_sc_hd__nand2b_2)
+                  0.14    0.29   11.13 v mprj/u_mac_wrap/_06760_/Y (sky130_fd_sc_hd__nand2b_2)
+     8    0.03                           mprj/u_mac_wrap/_03203_ (net)
+                  0.14    0.00   11.13 v mprj/u_mac_wrap/fanout241/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.12    0.27   11.40 v mprj/u_mac_wrap/fanout241/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.06                           mprj/u_mac_wrap/net241 (net)
+                  0.12    0.00   11.40 v mprj/u_mac_wrap/_06820_/A1 (sky130_fd_sc_hd__o32a_1)
+                  0.05    0.37   11.78 v mprj/u_mac_wrap/_06820_/X (sky130_fd_sc_hd__o32a_1)
+     1    0.00                           mprj/u_mac_wrap/_00863_ (net)
+                  0.05    0.00   11.78 v mprj/u_mac_wrap/_09413_/D (sky130_fd_sc_hd__dfstp_1)
+                                 11.78   data arrival time
 
                          40.00   40.00   clock mac_tx_clk (rise edge)
                           0.00   40.00   clock source latency
@@ -144086,34 +161448,725 @@
                   0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[5] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21   41.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00   41.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15   41.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00   41.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37   42.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01   42.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32   42.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.00   42.60 ^ mprj/u_mac_wrap/clkbuf_4_10_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.19   42.78 ^ mprj/u_mac_wrap/clkbuf_4_10_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     8    0.04                           mprj/u_mac_wrap/clknet_4_10_0_phy_tx_clk (net)
-                  0.08    0.00   42.79 ^ mprj/u_mac_wrap/_08485_/CLK (sky130_fd_sc_hd__dfrtp_2)
-                         -0.25   42.54   clock uncertainty
-                          2.32   44.85   clock reconvergence pessimism
-                         -0.17   44.69   library setup time
-                                 44.69   data required time
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15   41.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00   41.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27   41.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02   41.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43   42.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09   42.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34   42.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00   42.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15   43.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00   43.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42   43.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01   43.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34   43.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01   43.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20   44.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00   44.13 ^ mprj/u_mac_wrap/clkbuf_4_6_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.11    0.18   44.30 ^ mprj/u_mac_wrap/clkbuf_4_6_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.06                           mprj/u_mac_wrap/clknet_4_6_0_phy_tx_clk (net)
+                  0.11    0.00   44.31 ^ mprj/u_mac_wrap/_09413_/CLK (sky130_fd_sc_hd__dfstp_1)
+                         -0.25   44.06   clock uncertainty
+                          2.44   46.49   clock reconvergence pessimism
+                         -0.06   46.44   library setup time
+                                 46.44   data required time
 -----------------------------------------------------------------------------
-                                 44.69   data required time
-                                 -9.49   data arrival time
+                                 46.44   data required time
+                                -11.78   data arrival time
 -----------------------------------------------------------------------------
-                                 35.20   slack (MET)
+                                 34.66   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_08892_
+Startpoint: mprj/u_mac_wrap/_08942_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj/u_mac_wrap/_09427_
+          (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Path Group: mac_tx_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1   11.12                           mprj_io[5] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[5] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.01 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.26    7.28 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.08                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
+                  0.14    0.00    7.28 ^ mprj/u_mac_wrap/_08942_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.45    7.73 v mprj/u_mac_wrap/_08942_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
+                  0.08    0.00    7.73 v mprj/u_mac_wrap/_04543_/A (sky130_fd_sc_hd__xnor2_2)
+                  0.22    0.25    7.98 ^ mprj/u_mac_wrap/_04543_/Y (sky130_fd_sc_hd__xnor2_2)
+     3    0.01                           mprj/u_mac_wrap/_01852_ (net)
+                  0.22    0.00    7.98 ^ mprj/u_mac_wrap/_04544_/B (sky130_fd_sc_hd__xor2_2)
+                  0.25    0.27    8.25 ^ mprj/u_mac_wrap/_04544_/X (sky130_fd_sc_hd__xor2_2)
+     4    0.02                           mprj/u_mac_wrap/_01853_ (net)
+                  0.25    0.00    8.25 ^ mprj/u_mac_wrap/_04548_/B (sky130_fd_sc_hd__xor2_1)
+                  0.28    0.30    8.56 ^ mprj/u_mac_wrap/_04548_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01857_ (net)
+                  0.28    0.00    8.56 ^ mprj/u_mac_wrap/_04550_/B (sky130_fd_sc_hd__xor2_1)
+                  0.26    0.29    8.85 ^ mprj/u_mac_wrap/_04550_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01859_ (net)
+                  0.26    0.00    8.85 ^ mprj/u_mac_wrap/_04551_/B (sky130_fd_sc_hd__xor2_1)
+                  0.09    0.13    8.98 v mprj/u_mac_wrap/_04551_/X (sky130_fd_sc_hd__xor2_1)
+     2    0.01                           mprj/u_mac_wrap/_01860_ (net)
+                  0.09    0.00    8.98 v mprj/u_mac_wrap/_04556_/A2 (sky130_fd_sc_hd__a211o_1)
+                  0.08    0.36    9.34 v mprj/u_mac_wrap/_04556_/X (sky130_fd_sc_hd__a211o_1)
+     3    0.01                           mprj/u_mac_wrap/_01865_ (net)
+                  0.08    0.00    9.34 v mprj/u_mac_wrap/_04567_/C (sky130_fd_sc_hd__or4_1)
+                  0.13    0.56    9.89 v mprj/u_mac_wrap/_04567_/X (sky130_fd_sc_hd__or4_1)
+     2    0.01                           mprj/u_mac_wrap/_01876_ (net)
+                  0.13    0.00    9.89 v mprj/u_mac_wrap/_04568_/A (sky130_fd_sc_hd__inv_2)
+                  0.04    0.07    9.97 ^ mprj/u_mac_wrap/_04568_/Y (sky130_fd_sc_hd__inv_2)
+     1    0.00                           mprj/u_mac_wrap/_01877_ (net)
+                  0.04    0.00    9.97 ^ mprj/u_mac_wrap/_04583_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.18    0.30   10.27 ^ mprj/u_mac_wrap/_04583_/X (sky130_fd_sc_hd__o2111a_1)
+     4    0.02                           mprj/u_mac_wrap/_01889_ (net)
+                  0.18    0.00   10.27 ^ mprj/u_mac_wrap/_04618_/A_N (sky130_fd_sc_hd__and4bb_2)
+                  0.10    0.33   10.59 v mprj/u_mac_wrap/_04618_/X (sky130_fd_sc_hd__and4bb_2)
+     4    0.03                           mprj/u_mac_wrap/_01917_ (net)
+                  0.10    0.00   10.60 v mprj/u_mac_wrap/fanout251/A (sky130_fd_sc_hd__buf_4)
+                  0.10    0.24   10.83 v mprj/u_mac_wrap/fanout251/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_mac_wrap/net251 (net)
+                  0.10    0.00   10.84 v mprj/u_mac_wrap/_06760_/A_N (sky130_fd_sc_hd__nand2b_2)
+                  0.14    0.29   11.13 v mprj/u_mac_wrap/_06760_/Y (sky130_fd_sc_hd__nand2b_2)
+     8    0.03                           mprj/u_mac_wrap/_03203_ (net)
+                  0.14    0.00   11.13 v mprj/u_mac_wrap/fanout239/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.11    0.26   11.39 v mprj/u_mac_wrap/fanout239/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.05                           mprj/u_mac_wrap/net239 (net)
+                  0.11    0.00   11.39 v mprj/u_mac_wrap/_06890_/A1 (sky130_fd_sc_hd__o32a_1)
+                  0.05    0.37   11.77 v mprj/u_mac_wrap/_06890_/X (sky130_fd_sc_hd__o32a_1)
+     1    0.00                           mprj/u_mac_wrap/_00877_ (net)
+                  0.05    0.00   11.77 v mprj/u_mac_wrap/_09427_/D (sky130_fd_sc_hd__dfstp_1)
+                                 11.77   data arrival time
+
+                         40.00   40.00   clock mac_tx_clk (rise edge)
+                          0.00   40.00   clock source latency
+                  1.00    0.00   40.00 ^ mprj_io[5] (inout)
+     1    6.12                           mprj_io[5] (net)
+                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[5] (net)
+                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00   41.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10   41.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00   41.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21   41.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15   41.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00   41.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27   41.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02   41.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43   42.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09   42.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34   42.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00   42.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15   43.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00   43.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42   43.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01   43.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34   43.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01   43.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20   44.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00   44.13 ^ mprj/u_mac_wrap/clkbuf_4_7_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.10    0.17   44.30 ^ mprj/u_mac_wrap/clkbuf_4_7_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    22    0.05                           mprj/u_mac_wrap/clknet_4_7_0_phy_tx_clk (net)
+                  0.10    0.00   44.30 ^ mprj/u_mac_wrap/_09427_/CLK (sky130_fd_sc_hd__dfstp_1)
+                         -0.25   44.05   clock uncertainty
+                          2.44   46.49   clock reconvergence pessimism
+                         -0.06   46.43   library setup time
+                                 46.43   data required time
+-----------------------------------------------------------------------------
+                                 46.43   data required time
+                                -11.77   data arrival time
+-----------------------------------------------------------------------------
+                                 34.66   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08942_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj/u_mac_wrap/_09403_
+          (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Path Group: mac_tx_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1   11.12                           mprj_io[5] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[5] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.01 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.26    7.28 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.08                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
+                  0.14    0.00    7.28 ^ mprj/u_mac_wrap/_08942_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.45    7.73 v mprj/u_mac_wrap/_08942_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
+                  0.08    0.00    7.73 v mprj/u_mac_wrap/_04543_/A (sky130_fd_sc_hd__xnor2_2)
+                  0.22    0.25    7.98 ^ mprj/u_mac_wrap/_04543_/Y (sky130_fd_sc_hd__xnor2_2)
+     3    0.01                           mprj/u_mac_wrap/_01852_ (net)
+                  0.22    0.00    7.98 ^ mprj/u_mac_wrap/_04544_/B (sky130_fd_sc_hd__xor2_2)
+                  0.25    0.27    8.25 ^ mprj/u_mac_wrap/_04544_/X (sky130_fd_sc_hd__xor2_2)
+     4    0.02                           mprj/u_mac_wrap/_01853_ (net)
+                  0.25    0.00    8.25 ^ mprj/u_mac_wrap/_04548_/B (sky130_fd_sc_hd__xor2_1)
+                  0.28    0.30    8.56 ^ mprj/u_mac_wrap/_04548_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01857_ (net)
+                  0.28    0.00    8.56 ^ mprj/u_mac_wrap/_04550_/B (sky130_fd_sc_hd__xor2_1)
+                  0.26    0.29    8.85 ^ mprj/u_mac_wrap/_04550_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01859_ (net)
+                  0.26    0.00    8.85 ^ mprj/u_mac_wrap/_04551_/B (sky130_fd_sc_hd__xor2_1)
+                  0.09    0.13    8.98 v mprj/u_mac_wrap/_04551_/X (sky130_fd_sc_hd__xor2_1)
+     2    0.01                           mprj/u_mac_wrap/_01860_ (net)
+                  0.09    0.00    8.98 v mprj/u_mac_wrap/_04556_/A2 (sky130_fd_sc_hd__a211o_1)
+                  0.08    0.36    9.34 v mprj/u_mac_wrap/_04556_/X (sky130_fd_sc_hd__a211o_1)
+     3    0.01                           mprj/u_mac_wrap/_01865_ (net)
+                  0.08    0.00    9.34 v mprj/u_mac_wrap/_04567_/C (sky130_fd_sc_hd__or4_1)
+                  0.13    0.56    9.89 v mprj/u_mac_wrap/_04567_/X (sky130_fd_sc_hd__or4_1)
+     2    0.01                           mprj/u_mac_wrap/_01876_ (net)
+                  0.13    0.00    9.89 v mprj/u_mac_wrap/_04568_/A (sky130_fd_sc_hd__inv_2)
+                  0.04    0.07    9.97 ^ mprj/u_mac_wrap/_04568_/Y (sky130_fd_sc_hd__inv_2)
+     1    0.00                           mprj/u_mac_wrap/_01877_ (net)
+                  0.04    0.00    9.97 ^ mprj/u_mac_wrap/_04583_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.18    0.30   10.27 ^ mprj/u_mac_wrap/_04583_/X (sky130_fd_sc_hd__o2111a_1)
+     4    0.02                           mprj/u_mac_wrap/_01889_ (net)
+                  0.18    0.00   10.27 ^ mprj/u_mac_wrap/_04618_/A_N (sky130_fd_sc_hd__and4bb_2)
+                  0.10    0.33   10.59 v mprj/u_mac_wrap/_04618_/X (sky130_fd_sc_hd__and4bb_2)
+     4    0.03                           mprj/u_mac_wrap/_01917_ (net)
+                  0.10    0.00   10.60 v mprj/u_mac_wrap/fanout251/A (sky130_fd_sc_hd__buf_4)
+                  0.10    0.24   10.83 v mprj/u_mac_wrap/fanout251/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_mac_wrap/net251 (net)
+                  0.10    0.00   10.84 v mprj/u_mac_wrap/_06760_/A_N (sky130_fd_sc_hd__nand2b_2)
+                  0.14    0.29   11.13 v mprj/u_mac_wrap/_06760_/Y (sky130_fd_sc_hd__nand2b_2)
+     8    0.03                           mprj/u_mac_wrap/_03203_ (net)
+                  0.14    0.00   11.13 v mprj/u_mac_wrap/fanout239/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.11    0.26   11.39 v mprj/u_mac_wrap/fanout239/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.05                           mprj/u_mac_wrap/net239 (net)
+                  0.11    0.00   11.39 v mprj/u_mac_wrap/_06779_/A1 (sky130_fd_sc_hd__o32a_1)
+                  0.05    0.37   11.77 v mprj/u_mac_wrap/_06779_/X (sky130_fd_sc_hd__o32a_1)
+     1    0.00                           mprj/u_mac_wrap/_00853_ (net)
+                  0.05    0.00   11.77 v mprj/u_mac_wrap/_09403_/D (sky130_fd_sc_hd__dfstp_2)
+                                 11.77   data arrival time
+
+                         40.00   40.00   clock mac_tx_clk (rise edge)
+                          0.00   40.00   clock source latency
+                  1.00    0.00   40.00 ^ mprj_io[5] (inout)
+     1    6.12                           mprj_io[5] (net)
+                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[5] (net)
+                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00   41.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10   41.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00   41.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21   41.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15   41.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00   41.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27   41.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02   41.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43   42.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09   42.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34   42.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00   42.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15   43.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00   43.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42   43.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01   43.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34   43.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01   43.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20   44.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00   44.13 ^ mprj/u_mac_wrap/clkbuf_4_7_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.10    0.17   44.30 ^ mprj/u_mac_wrap/clkbuf_4_7_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    22    0.05                           mprj/u_mac_wrap/clknet_4_7_0_phy_tx_clk (net)
+                  0.10    0.00   44.30 ^ mprj/u_mac_wrap/_09403_/CLK (sky130_fd_sc_hd__dfstp_2)
+                         -0.25   44.05   clock uncertainty
+                          2.44   46.49   clock reconvergence pessimism
+                         -0.06   46.43   library setup time
+                                 46.43   data required time
+-----------------------------------------------------------------------------
+                                 46.43   data required time
+                                -11.77   data arrival time
+-----------------------------------------------------------------------------
+                                 34.66   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08942_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj/u_mac_wrap/_09404_
+          (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Path Group: mac_tx_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1   11.12                           mprj_io[5] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[5] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.01 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.26    7.28 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.08                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
+                  0.14    0.00    7.28 ^ mprj/u_mac_wrap/_08942_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.45    7.73 v mprj/u_mac_wrap/_08942_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
+                  0.08    0.00    7.73 v mprj/u_mac_wrap/_04543_/A (sky130_fd_sc_hd__xnor2_2)
+                  0.22    0.25    7.98 ^ mprj/u_mac_wrap/_04543_/Y (sky130_fd_sc_hd__xnor2_2)
+     3    0.01                           mprj/u_mac_wrap/_01852_ (net)
+                  0.22    0.00    7.98 ^ mprj/u_mac_wrap/_04544_/B (sky130_fd_sc_hd__xor2_2)
+                  0.25    0.27    8.25 ^ mprj/u_mac_wrap/_04544_/X (sky130_fd_sc_hd__xor2_2)
+     4    0.02                           mprj/u_mac_wrap/_01853_ (net)
+                  0.25    0.00    8.25 ^ mprj/u_mac_wrap/_04548_/B (sky130_fd_sc_hd__xor2_1)
+                  0.28    0.30    8.56 ^ mprj/u_mac_wrap/_04548_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01857_ (net)
+                  0.28    0.00    8.56 ^ mprj/u_mac_wrap/_04550_/B (sky130_fd_sc_hd__xor2_1)
+                  0.26    0.29    8.85 ^ mprj/u_mac_wrap/_04550_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01859_ (net)
+                  0.26    0.00    8.85 ^ mprj/u_mac_wrap/_04551_/B (sky130_fd_sc_hd__xor2_1)
+                  0.09    0.13    8.98 v mprj/u_mac_wrap/_04551_/X (sky130_fd_sc_hd__xor2_1)
+     2    0.01                           mprj/u_mac_wrap/_01860_ (net)
+                  0.09    0.00    8.98 v mprj/u_mac_wrap/_04556_/A2 (sky130_fd_sc_hd__a211o_1)
+                  0.08    0.36    9.34 v mprj/u_mac_wrap/_04556_/X (sky130_fd_sc_hd__a211o_1)
+     3    0.01                           mprj/u_mac_wrap/_01865_ (net)
+                  0.08    0.00    9.34 v mprj/u_mac_wrap/_04567_/C (sky130_fd_sc_hd__or4_1)
+                  0.13    0.56    9.89 v mprj/u_mac_wrap/_04567_/X (sky130_fd_sc_hd__or4_1)
+     2    0.01                           mprj/u_mac_wrap/_01876_ (net)
+                  0.13    0.00    9.89 v mprj/u_mac_wrap/_04568_/A (sky130_fd_sc_hd__inv_2)
+                  0.04    0.07    9.97 ^ mprj/u_mac_wrap/_04568_/Y (sky130_fd_sc_hd__inv_2)
+     1    0.00                           mprj/u_mac_wrap/_01877_ (net)
+                  0.04    0.00    9.97 ^ mprj/u_mac_wrap/_04583_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.18    0.30   10.27 ^ mprj/u_mac_wrap/_04583_/X (sky130_fd_sc_hd__o2111a_1)
+     4    0.02                           mprj/u_mac_wrap/_01889_ (net)
+                  0.18    0.00   10.27 ^ mprj/u_mac_wrap/_04618_/A_N (sky130_fd_sc_hd__and4bb_2)
+                  0.10    0.33   10.59 v mprj/u_mac_wrap/_04618_/X (sky130_fd_sc_hd__and4bb_2)
+     4    0.03                           mprj/u_mac_wrap/_01917_ (net)
+                  0.10    0.00   10.60 v mprj/u_mac_wrap/fanout251/A (sky130_fd_sc_hd__buf_4)
+                  0.10    0.24   10.83 v mprj/u_mac_wrap/fanout251/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_mac_wrap/net251 (net)
+                  0.10    0.00   10.84 v mprj/u_mac_wrap/_06757_/B (sky130_fd_sc_hd__or2_2)
+                  0.12    0.39   11.22 v mprj/u_mac_wrap/_06757_/X (sky130_fd_sc_hd__or2_2)
+     8    0.03                           mprj/u_mac_wrap/_03200_ (net)
+                  0.12    0.00   11.22 v mprj/u_mac_wrap/fanout245/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.13    0.26   11.49 v mprj/u_mac_wrap/fanout245/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.06                           mprj/u_mac_wrap/net245 (net)
+                  0.13    0.00   11.49 v mprj/u_mac_wrap/_06784_/A2 (sky130_fd_sc_hd__o22a_1)
+                  0.04    0.23   11.72 v mprj/u_mac_wrap/_06784_/X (sky130_fd_sc_hd__o22a_1)
+     1    0.00                           mprj/u_mac_wrap/_00854_ (net)
+                  0.04    0.00   11.72 v mprj/u_mac_wrap/_09404_/D (sky130_fd_sc_hd__dfstp_2)
+                                 11.72   data arrival time
+
+                         40.00   40.00   clock mac_tx_clk (rise edge)
+                          0.00   40.00   clock source latency
+                  1.00    0.00   40.00 ^ mprj_io[5] (inout)
+     1    6.12                           mprj_io[5] (net)
+                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[5] (net)
+                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00   41.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10   41.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00   41.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21   41.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15   41.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00   41.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27   41.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02   41.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43   42.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09   42.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34   42.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00   42.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15   43.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00   43.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42   43.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01   43.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34   43.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01   43.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20   44.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00   44.13 ^ mprj/u_mac_wrap/clkbuf_4_13_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14   44.26 ^ mprj/u_mac_wrap/clkbuf_4_13_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     6    0.02                           mprj/u_mac_wrap/clknet_4_13_0_phy_tx_clk (net)
+                  0.05    0.00   44.27 ^ mprj/u_mac_wrap/_09404_/CLK (sky130_fd_sc_hd__dfstp_2)
+                         -0.25   44.02   clock uncertainty
+                          2.44   46.45   clock reconvergence pessimism
+                         -0.07   46.39   library setup time
+                                 46.39   data required time
+-----------------------------------------------------------------------------
+                                 46.39   data required time
+                                -11.72   data arrival time
+-----------------------------------------------------------------------------
+                                 34.67   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08942_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj/u_mac_wrap/_09422_
+          (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Path Group: mac_tx_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1   11.12                           mprj_io[5] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[5] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.01 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.26    7.28 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.08                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
+                  0.14    0.00    7.28 ^ mprj/u_mac_wrap/_08942_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.45    7.73 v mprj/u_mac_wrap/_08942_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
+                  0.08    0.00    7.73 v mprj/u_mac_wrap/_04543_/A (sky130_fd_sc_hd__xnor2_2)
+                  0.22    0.25    7.98 ^ mprj/u_mac_wrap/_04543_/Y (sky130_fd_sc_hd__xnor2_2)
+     3    0.01                           mprj/u_mac_wrap/_01852_ (net)
+                  0.22    0.00    7.98 ^ mprj/u_mac_wrap/_04544_/B (sky130_fd_sc_hd__xor2_2)
+                  0.25    0.27    8.25 ^ mprj/u_mac_wrap/_04544_/X (sky130_fd_sc_hd__xor2_2)
+     4    0.02                           mprj/u_mac_wrap/_01853_ (net)
+                  0.25    0.00    8.25 ^ mprj/u_mac_wrap/_04548_/B (sky130_fd_sc_hd__xor2_1)
+                  0.28    0.30    8.56 ^ mprj/u_mac_wrap/_04548_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01857_ (net)
+                  0.28    0.00    8.56 ^ mprj/u_mac_wrap/_04550_/B (sky130_fd_sc_hd__xor2_1)
+                  0.26    0.29    8.85 ^ mprj/u_mac_wrap/_04550_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01859_ (net)
+                  0.26    0.00    8.85 ^ mprj/u_mac_wrap/_04551_/B (sky130_fd_sc_hd__xor2_1)
+                  0.09    0.13    8.98 v mprj/u_mac_wrap/_04551_/X (sky130_fd_sc_hd__xor2_1)
+     2    0.01                           mprj/u_mac_wrap/_01860_ (net)
+                  0.09    0.00    8.98 v mprj/u_mac_wrap/_04556_/A2 (sky130_fd_sc_hd__a211o_1)
+                  0.08    0.36    9.34 v mprj/u_mac_wrap/_04556_/X (sky130_fd_sc_hd__a211o_1)
+     3    0.01                           mprj/u_mac_wrap/_01865_ (net)
+                  0.08    0.00    9.34 v mprj/u_mac_wrap/_04567_/C (sky130_fd_sc_hd__or4_1)
+                  0.13    0.56    9.89 v mprj/u_mac_wrap/_04567_/X (sky130_fd_sc_hd__or4_1)
+     2    0.01                           mprj/u_mac_wrap/_01876_ (net)
+                  0.13    0.00    9.89 v mprj/u_mac_wrap/_04568_/A (sky130_fd_sc_hd__inv_2)
+                  0.04    0.07    9.97 ^ mprj/u_mac_wrap/_04568_/Y (sky130_fd_sc_hd__inv_2)
+     1    0.00                           mprj/u_mac_wrap/_01877_ (net)
+                  0.04    0.00    9.97 ^ mprj/u_mac_wrap/_04583_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.18    0.30   10.27 ^ mprj/u_mac_wrap/_04583_/X (sky130_fd_sc_hd__o2111a_1)
+     4    0.02                           mprj/u_mac_wrap/_01889_ (net)
+                  0.18    0.00   10.27 ^ mprj/u_mac_wrap/_04618_/A_N (sky130_fd_sc_hd__and4bb_2)
+                  0.10    0.33   10.59 v mprj/u_mac_wrap/_04618_/X (sky130_fd_sc_hd__and4bb_2)
+     4    0.03                           mprj/u_mac_wrap/_01917_ (net)
+                  0.10    0.00   10.60 v mprj/u_mac_wrap/fanout251/A (sky130_fd_sc_hd__buf_4)
+                  0.10    0.24   10.83 v mprj/u_mac_wrap/fanout251/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_mac_wrap/net251 (net)
+                  0.10    0.00   10.84 v mprj/u_mac_wrap/_06760_/A_N (sky130_fd_sc_hd__nand2b_2)
+                  0.14    0.29   11.13 v mprj/u_mac_wrap/_06760_/Y (sky130_fd_sc_hd__nand2b_2)
+     8    0.03                           mprj/u_mac_wrap/_03203_ (net)
+                  0.14    0.00   11.13 v mprj/u_mac_wrap/fanout239/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.11    0.26   11.39 v mprj/u_mac_wrap/fanout239/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.05                           mprj/u_mac_wrap/net239 (net)
+                  0.11    0.00   11.39 v mprj/u_mac_wrap/_06871_/A1 (sky130_fd_sc_hd__o32a_1)
+                  0.05    0.37   11.77 v mprj/u_mac_wrap/_06871_/X (sky130_fd_sc_hd__o32a_1)
+     1    0.00                           mprj/u_mac_wrap/_00872_ (net)
+                  0.05    0.00   11.77 v mprj/u_mac_wrap/_09422_/D (sky130_fd_sc_hd__dfstp_1)
+                                 11.77   data arrival time
+
+                         40.00   40.00   clock mac_tx_clk (rise edge)
+                          0.00   40.00   clock source latency
+                  1.00    0.00   40.00 ^ mprj_io[5] (inout)
+     1    6.12                           mprj_io[5] (net)
+                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[5] (net)
+                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00   41.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10   41.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00   41.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21   41.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15   41.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00   41.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27   41.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02   41.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43   42.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09   42.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34   42.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00   42.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15   43.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00   43.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42   43.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01   43.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34   43.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01   43.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20   44.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00   44.13 ^ mprj/u_mac_wrap/clkbuf_4_7_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.10    0.17   44.30 ^ mprj/u_mac_wrap/clkbuf_4_7_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    22    0.05                           mprj/u_mac_wrap/clknet_4_7_0_phy_tx_clk (net)
+                  0.10    0.00   44.30 ^ mprj/u_mac_wrap/_09422_/CLK (sky130_fd_sc_hd__dfstp_1)
+                         -0.25   44.05   clock uncertainty
+                          2.44   46.49   clock reconvergence pessimism
+                         -0.06   46.43   library setup time
+                                 46.43   data required time
+-----------------------------------------------------------------------------
+                                 46.43   data required time
+                                -11.77   data arrival time
+-----------------------------------------------------------------------------
+                                 34.67   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08942_
             (rising edge-triggered flip-flop clocked by mac_tx_clk)
 Endpoint: mprj/u_mac_wrap/_09419_
           (rising edge-triggered flip-flop clocked by mac_tx_clk)
@@ -144139,68 +162192,89 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.92 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.21    5.13 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    13    0.04                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
-                  0.09    0.00    5.13 ^ mprj/u_mac_wrap/_08892_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.08    0.43    5.56 v mprj/u_mac_wrap/_08892_/Q (sky130_fd_sc_hd__dfrtp_1)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.01 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.26    7.28 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.08                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
+                  0.14    0.00    7.28 ^ mprj/u_mac_wrap/_08942_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.45    7.73 v mprj/u_mac_wrap/_08942_/Q (sky130_fd_sc_hd__dfrtp_1)
      2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
-                  0.08    0.00    5.56 v mprj/u_mac_wrap/_04513_/A (sky130_fd_sc_hd__xnor2_2)
-                  0.23    0.26    5.82 ^ mprj/u_mac_wrap/_04513_/Y (sky130_fd_sc_hd__xnor2_2)
-     3    0.01                           mprj/u_mac_wrap/_01847_ (net)
-                  0.23    0.00    5.82 ^ mprj/u_mac_wrap/_04514_/B (sky130_fd_sc_hd__xor2_2)
-                  0.22    0.26    6.07 ^ mprj/u_mac_wrap/_04514_/X (sky130_fd_sc_hd__xor2_2)
-     4    0.02                           mprj/u_mac_wrap/_01848_ (net)
-                  0.22    0.00    6.07 ^ mprj/u_mac_wrap/_04518_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.37 ^ mprj/u_mac_wrap/_04518_/X (sky130_fd_sc_hd__xor2_1)
+                  0.08    0.00    7.73 v mprj/u_mac_wrap/_04543_/A (sky130_fd_sc_hd__xnor2_2)
+                  0.22    0.25    7.98 ^ mprj/u_mac_wrap/_04543_/Y (sky130_fd_sc_hd__xnor2_2)
      3    0.01                           mprj/u_mac_wrap/_01852_ (net)
-                  0.26    0.00    6.37 ^ mprj/u_mac_wrap/_04520_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.65 ^ mprj/u_mac_wrap/_04520_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01854_ (net)
-                  0.26    0.00    6.65 ^ mprj/u_mac_wrap/_04521_/B (sky130_fd_sc_hd__xor2_1)
-                  0.17    0.23    6.88 ^ mprj/u_mac_wrap/_04521_/X (sky130_fd_sc_hd__xor2_1)
-     2    0.01                           mprj/u_mac_wrap/_01855_ (net)
-                  0.17    0.00    6.88 ^ mprj/u_mac_wrap/_04526_/A2 (sky130_fd_sc_hd__a211o_1)
-                  0.09    0.19    7.07 ^ mprj/u_mac_wrap/_04526_/X (sky130_fd_sc_hd__a211o_1)
-     3    0.01                           mprj/u_mac_wrap/_01860_ (net)
-                  0.09    0.00    7.07 ^ mprj/u_mac_wrap/_04528_/B2 (sky130_fd_sc_hd__a221oi_1)
-                  0.10    0.10    7.16 v mprj/u_mac_wrap/_04528_/Y (sky130_fd_sc_hd__a221oi_1)
-     2    0.01                           mprj/u_mac_wrap/_01862_ (net)
-                  0.10    0.00    7.16 v mprj/u_mac_wrap/_04531_/B (sky130_fd_sc_hd__or4_1)
-                  0.10    0.56    7.72 v mprj/u_mac_wrap/_04531_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01865_ (net)
-                  0.10    0.00    7.72 v mprj/u_mac_wrap/_04554_/B1 (sky130_fd_sc_hd__o2111a_1)
-                  0.15    0.27    7.99 v mprj/u_mac_wrap/_04554_/X (sky130_fd_sc_hd__o2111a_1)
-     6    0.03                           mprj/u_mac_wrap/_01885_ (net)
-                  0.15    0.00    7.99 v mprj/u_mac_wrap/_04592_/A (sky130_fd_sc_hd__nor4b_2)
-                  0.42    0.50    8.49 ^ mprj/u_mac_wrap/_04592_/Y (sky130_fd_sc_hd__nor4b_2)
-     2    0.02                           mprj/u_mac_wrap/_01916_ (net)
-                  0.42    0.00    8.49 ^ mprj/u_mac_wrap/fanout254/A (sky130_fd_sc_hd__buf_4)
-                  0.21    0.33    8.82 ^ mprj/u_mac_wrap/fanout254/X (sky130_fd_sc_hd__buf_4)
-    20    0.07                           mprj/u_mac_wrap/net254 (net)
-                  0.21    0.00    8.82 ^ mprj/u_mac_wrap/_04889_/A0 (sky130_fd_sc_hd__mux2_4)
-                  0.18    0.32    9.14 ^ mprj/u_mac_wrap/_04889_/X (sky130_fd_sc_hd__mux2_4)
-    18    0.06                           mprj/u_mac_wrap/_02121_ (net)
-                  0.18    0.01    9.14 ^ mprj/u_mac_wrap/_04905_/B (sky130_fd_sc_hd__nand2_1)
-                  0.18    0.12    9.26 v mprj/u_mac_wrap/_04905_/Y (sky130_fd_sc_hd__nand2_1)
-     2    0.01                           mprj/u_mac_wrap/_02136_ (net)
-                  0.18    0.00    9.26 v mprj/u_mac_wrap/_07071_/A2 (sky130_fd_sc_hd__a31o_1)
-                  0.04    0.26    9.52 v mprj/u_mac_wrap/_07071_/X (sky130_fd_sc_hd__a31o_1)
-     1    0.00                           mprj/u_mac_wrap/_00899_ (net)
-                  0.04    0.00    9.52 v mprj/u_mac_wrap/_09419_/D (sky130_fd_sc_hd__dfrtp_4)
-                                  9.52   data arrival time
+                  0.22    0.00    7.98 ^ mprj/u_mac_wrap/_04544_/B (sky130_fd_sc_hd__xor2_2)
+                  0.25    0.27    8.25 ^ mprj/u_mac_wrap/_04544_/X (sky130_fd_sc_hd__xor2_2)
+     4    0.02                           mprj/u_mac_wrap/_01853_ (net)
+                  0.25    0.00    8.25 ^ mprj/u_mac_wrap/_04548_/B (sky130_fd_sc_hd__xor2_1)
+                  0.28    0.30    8.56 ^ mprj/u_mac_wrap/_04548_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01857_ (net)
+                  0.28    0.00    8.56 ^ mprj/u_mac_wrap/_04550_/B (sky130_fd_sc_hd__xor2_1)
+                  0.26    0.29    8.85 ^ mprj/u_mac_wrap/_04550_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01859_ (net)
+                  0.26    0.00    8.85 ^ mprj/u_mac_wrap/_04551_/B (sky130_fd_sc_hd__xor2_1)
+                  0.09    0.13    8.98 v mprj/u_mac_wrap/_04551_/X (sky130_fd_sc_hd__xor2_1)
+     2    0.01                           mprj/u_mac_wrap/_01860_ (net)
+                  0.09    0.00    8.98 v mprj/u_mac_wrap/_04556_/A2 (sky130_fd_sc_hd__a211o_1)
+                  0.08    0.36    9.34 v mprj/u_mac_wrap/_04556_/X (sky130_fd_sc_hd__a211o_1)
+     3    0.01                           mprj/u_mac_wrap/_01865_ (net)
+                  0.08    0.00    9.34 v mprj/u_mac_wrap/_04567_/C (sky130_fd_sc_hd__or4_1)
+                  0.13    0.56    9.89 v mprj/u_mac_wrap/_04567_/X (sky130_fd_sc_hd__or4_1)
+     2    0.01                           mprj/u_mac_wrap/_01876_ (net)
+                  0.13    0.00    9.89 v mprj/u_mac_wrap/_04568_/A (sky130_fd_sc_hd__inv_2)
+                  0.04    0.07    9.97 ^ mprj/u_mac_wrap/_04568_/Y (sky130_fd_sc_hd__inv_2)
+     1    0.00                           mprj/u_mac_wrap/_01877_ (net)
+                  0.04    0.00    9.97 ^ mprj/u_mac_wrap/_04583_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.18    0.30   10.27 ^ mprj/u_mac_wrap/_04583_/X (sky130_fd_sc_hd__o2111a_1)
+     4    0.02                           mprj/u_mac_wrap/_01889_ (net)
+                  0.18    0.00   10.27 ^ mprj/u_mac_wrap/_04618_/A_N (sky130_fd_sc_hd__and4bb_2)
+                  0.10    0.33   10.59 v mprj/u_mac_wrap/_04618_/X (sky130_fd_sc_hd__and4bb_2)
+     4    0.03                           mprj/u_mac_wrap/_01917_ (net)
+                  0.10    0.00   10.60 v mprj/u_mac_wrap/fanout251/A (sky130_fd_sc_hd__buf_4)
+                  0.10    0.24   10.83 v mprj/u_mac_wrap/fanout251/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_mac_wrap/net251 (net)
+                  0.10    0.00   10.84 v mprj/u_mac_wrap/_06760_/A_N (sky130_fd_sc_hd__nand2b_2)
+                  0.14    0.29   11.13 v mprj/u_mac_wrap/_06760_/Y (sky130_fd_sc_hd__nand2b_2)
+     8    0.03                           mprj/u_mac_wrap/_03203_ (net)
+                  0.14    0.00   11.13 v mprj/u_mac_wrap/fanout239/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.11    0.26   11.39 v mprj/u_mac_wrap/fanout239/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.05                           mprj/u_mac_wrap/net239 (net)
+                  0.11    0.00   11.39 v mprj/u_mac_wrap/_06855_/A1 (sky130_fd_sc_hd__o32a_1)
+                  0.05    0.37   11.77 v mprj/u_mac_wrap/_06855_/X (sky130_fd_sc_hd__o32a_1)
+     1    0.00                           mprj/u_mac_wrap/_00869_ (net)
+                  0.05    0.00   11.77 v mprj/u_mac_wrap/_09419_/D (sky130_fd_sc_hd__dfstp_1)
+                                 11.77   data arrival time
 
                          40.00   40.00   clock mac_tx_clk (rise edge)
                           0.00   40.00   clock source latency
@@ -144219,36 +162293,51 @@
                   0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[5] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21   41.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00   41.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15   41.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00   41.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37   42.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01   42.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32   42.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.00   42.60 ^ mprj/u_mac_wrap/clkbuf_4_11_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.17   42.77 ^ mprj/u_mac_wrap/clkbuf_4_11_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     6    0.02                           mprj/u_mac_wrap/clknet_4_11_0_phy_tx_clk (net)
-                  0.05    0.00   42.77 ^ mprj/u_mac_wrap/_09419_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                         -0.25   42.52   clock uncertainty
-                          2.32   44.84   clock reconvergence pessimism
-                         -0.11   44.73   library setup time
-                                 44.73   data required time
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15   41.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00   41.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27   41.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02   41.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43   42.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09   42.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34   42.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00   42.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15   43.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00   43.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42   43.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01   43.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34   43.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01   43.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20   44.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00   44.13 ^ mprj/u_mac_wrap/clkbuf_4_7_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.10    0.17   44.30 ^ mprj/u_mac_wrap/clkbuf_4_7_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    22    0.05                           mprj/u_mac_wrap/clknet_4_7_0_phy_tx_clk (net)
+                  0.10    0.00   44.30 ^ mprj/u_mac_wrap/_09419_/CLK (sky130_fd_sc_hd__dfstp_1)
+                         -0.25   44.05   clock uncertainty
+                          2.44   46.49   clock reconvergence pessimism
+                         -0.06   46.43   library setup time
+                                 46.43   data required time
 -----------------------------------------------------------------------------
-                                 44.73   data required time
-                                 -9.52   data arrival time
+                                 46.43   data required time
+                                -11.77   data arrival time
 -----------------------------------------------------------------------------
-                                 35.20   slack (MET)
+                                 34.67   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_08892_
+Startpoint: mprj/u_mac_wrap/_08942_
             (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_09377_
+Endpoint: mprj/u_mac_wrap/_09414_
           (rising edge-triggered flip-flop clocked by mac_tx_clk)
 Path Group: mac_tx_clk
 Path Type: max
@@ -144272,68 +162361,89 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.92 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.21    5.13 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    13    0.04                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
-                  0.09    0.00    5.13 ^ mprj/u_mac_wrap/_08892_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.08    0.43    5.56 v mprj/u_mac_wrap/_08892_/Q (sky130_fd_sc_hd__dfrtp_1)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.01 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.26    7.28 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.08                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
+                  0.14    0.00    7.28 ^ mprj/u_mac_wrap/_08942_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.45    7.73 v mprj/u_mac_wrap/_08942_/Q (sky130_fd_sc_hd__dfrtp_1)
      2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
-                  0.08    0.00    5.56 v mprj/u_mac_wrap/_04513_/A (sky130_fd_sc_hd__xnor2_2)
-                  0.23    0.26    5.82 ^ mprj/u_mac_wrap/_04513_/Y (sky130_fd_sc_hd__xnor2_2)
-     3    0.01                           mprj/u_mac_wrap/_01847_ (net)
-                  0.23    0.00    5.82 ^ mprj/u_mac_wrap/_04514_/B (sky130_fd_sc_hd__xor2_2)
-                  0.22    0.26    6.07 ^ mprj/u_mac_wrap/_04514_/X (sky130_fd_sc_hd__xor2_2)
-     4    0.02                           mprj/u_mac_wrap/_01848_ (net)
-                  0.22    0.00    6.07 ^ mprj/u_mac_wrap/_04518_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.37 ^ mprj/u_mac_wrap/_04518_/X (sky130_fd_sc_hd__xor2_1)
+                  0.08    0.00    7.73 v mprj/u_mac_wrap/_04543_/A (sky130_fd_sc_hd__xnor2_2)
+                  0.22    0.25    7.98 ^ mprj/u_mac_wrap/_04543_/Y (sky130_fd_sc_hd__xnor2_2)
      3    0.01                           mprj/u_mac_wrap/_01852_ (net)
-                  0.26    0.00    6.37 ^ mprj/u_mac_wrap/_04520_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.65 ^ mprj/u_mac_wrap/_04520_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01854_ (net)
-                  0.26    0.00    6.65 ^ mprj/u_mac_wrap/_04521_/B (sky130_fd_sc_hd__xor2_1)
-                  0.17    0.23    6.88 ^ mprj/u_mac_wrap/_04521_/X (sky130_fd_sc_hd__xor2_1)
-     2    0.01                           mprj/u_mac_wrap/_01855_ (net)
-                  0.17    0.00    6.88 ^ mprj/u_mac_wrap/_04526_/A2 (sky130_fd_sc_hd__a211o_1)
-                  0.09    0.19    7.07 ^ mprj/u_mac_wrap/_04526_/X (sky130_fd_sc_hd__a211o_1)
-     3    0.01                           mprj/u_mac_wrap/_01860_ (net)
-                  0.09    0.00    7.07 ^ mprj/u_mac_wrap/_04528_/B2 (sky130_fd_sc_hd__a221oi_1)
-                  0.10    0.10    7.16 v mprj/u_mac_wrap/_04528_/Y (sky130_fd_sc_hd__a221oi_1)
-     2    0.01                           mprj/u_mac_wrap/_01862_ (net)
-                  0.10    0.00    7.16 v mprj/u_mac_wrap/_04531_/B (sky130_fd_sc_hd__or4_1)
-                  0.10    0.56    7.72 v mprj/u_mac_wrap/_04531_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01865_ (net)
-                  0.10    0.00    7.72 v mprj/u_mac_wrap/_04554_/B1 (sky130_fd_sc_hd__o2111a_1)
-                  0.15    0.27    7.99 v mprj/u_mac_wrap/_04554_/X (sky130_fd_sc_hd__o2111a_1)
-     6    0.03                           mprj/u_mac_wrap/_01885_ (net)
-                  0.15    0.00    7.99 v mprj/u_mac_wrap/_04592_/A (sky130_fd_sc_hd__nor4b_2)
-                  0.42    0.50    8.49 ^ mprj/u_mac_wrap/_04592_/Y (sky130_fd_sc_hd__nor4b_2)
-     2    0.02                           mprj/u_mac_wrap/_01916_ (net)
-                  0.42    0.00    8.49 ^ mprj/u_mac_wrap/fanout254/A (sky130_fd_sc_hd__buf_4)
-                  0.21    0.33    8.82 ^ mprj/u_mac_wrap/fanout254/X (sky130_fd_sc_hd__buf_4)
-    20    0.07                           mprj/u_mac_wrap/net254 (net)
-                  0.21    0.00    8.83 ^ mprj/u_mac_wrap/_06698_/B (sky130_fd_sc_hd__or2_1)
-                  0.18    0.24    9.06 ^ mprj/u_mac_wrap/_06698_/X (sky130_fd_sc_hd__or2_1)
-     3    0.02                           mprj/u_mac_wrap/_03166_ (net)
-                  0.18    0.00    9.06 ^ mprj/u_mac_wrap/fanout242/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.19    0.30    9.36 ^ mprj/u_mac_wrap/fanout242/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.06                           mprj/u_mac_wrap/net242 (net)
-                  0.19    0.00    9.36 ^ mprj/u_mac_wrap/_06829_/B1 (sky130_fd_sc_hd__o32a_1)
-                  0.07    0.20    9.57 ^ mprj/u_mac_wrap/_06829_/X (sky130_fd_sc_hd__o32a_1)
-     1    0.01                           mprj/u_mac_wrap/_00877_ (net)
-                  0.07    0.00    9.57 ^ mprj/u_mac_wrap/_09377_/D (sky130_fd_sc_hd__dfstp_1)
-                                  9.57   data arrival time
+                  0.22    0.00    7.98 ^ mprj/u_mac_wrap/_04544_/B (sky130_fd_sc_hd__xor2_2)
+                  0.25    0.27    8.25 ^ mprj/u_mac_wrap/_04544_/X (sky130_fd_sc_hd__xor2_2)
+     4    0.02                           mprj/u_mac_wrap/_01853_ (net)
+                  0.25    0.00    8.25 ^ mprj/u_mac_wrap/_04548_/B (sky130_fd_sc_hd__xor2_1)
+                  0.28    0.30    8.56 ^ mprj/u_mac_wrap/_04548_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01857_ (net)
+                  0.28    0.00    8.56 ^ mprj/u_mac_wrap/_04550_/B (sky130_fd_sc_hd__xor2_1)
+                  0.26    0.29    8.85 ^ mprj/u_mac_wrap/_04550_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01859_ (net)
+                  0.26    0.00    8.85 ^ mprj/u_mac_wrap/_04551_/B (sky130_fd_sc_hd__xor2_1)
+                  0.09    0.13    8.98 v mprj/u_mac_wrap/_04551_/X (sky130_fd_sc_hd__xor2_1)
+     2    0.01                           mprj/u_mac_wrap/_01860_ (net)
+                  0.09    0.00    8.98 v mprj/u_mac_wrap/_04556_/A2 (sky130_fd_sc_hd__a211o_1)
+                  0.08    0.36    9.34 v mprj/u_mac_wrap/_04556_/X (sky130_fd_sc_hd__a211o_1)
+     3    0.01                           mprj/u_mac_wrap/_01865_ (net)
+                  0.08    0.00    9.34 v mprj/u_mac_wrap/_04567_/C (sky130_fd_sc_hd__or4_1)
+                  0.13    0.56    9.89 v mprj/u_mac_wrap/_04567_/X (sky130_fd_sc_hd__or4_1)
+     2    0.01                           mprj/u_mac_wrap/_01876_ (net)
+                  0.13    0.00    9.89 v mprj/u_mac_wrap/_04568_/A (sky130_fd_sc_hd__inv_2)
+                  0.04    0.07    9.97 ^ mprj/u_mac_wrap/_04568_/Y (sky130_fd_sc_hd__inv_2)
+     1    0.00                           mprj/u_mac_wrap/_01877_ (net)
+                  0.04    0.00    9.97 ^ mprj/u_mac_wrap/_04583_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.18    0.30   10.27 ^ mprj/u_mac_wrap/_04583_/X (sky130_fd_sc_hd__o2111a_1)
+     4    0.02                           mprj/u_mac_wrap/_01889_ (net)
+                  0.18    0.00   10.27 ^ mprj/u_mac_wrap/_04618_/A_N (sky130_fd_sc_hd__and4bb_2)
+                  0.10    0.33   10.59 v mprj/u_mac_wrap/_04618_/X (sky130_fd_sc_hd__and4bb_2)
+     4    0.03                           mprj/u_mac_wrap/_01917_ (net)
+                  0.10    0.00   10.60 v mprj/u_mac_wrap/fanout251/A (sky130_fd_sc_hd__buf_4)
+                  0.10    0.24   10.83 v mprj/u_mac_wrap/fanout251/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_mac_wrap/net251 (net)
+                  0.10    0.00   10.84 v mprj/u_mac_wrap/_06760_/A_N (sky130_fd_sc_hd__nand2b_2)
+                  0.14    0.29   11.13 v mprj/u_mac_wrap/_06760_/Y (sky130_fd_sc_hd__nand2b_2)
+     8    0.03                           mprj/u_mac_wrap/_03203_ (net)
+                  0.14    0.00   11.13 v mprj/u_mac_wrap/fanout239/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.11    0.26   11.39 v mprj/u_mac_wrap/fanout239/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.05                           mprj/u_mac_wrap/net239 (net)
+                  0.11    0.00   11.39 v mprj/u_mac_wrap/_06825_/A1 (sky130_fd_sc_hd__o32a_1)
+                  0.05    0.37   11.76 v mprj/u_mac_wrap/_06825_/X (sky130_fd_sc_hd__o32a_1)
+     1    0.00                           mprj/u_mac_wrap/_00864_ (net)
+                  0.05    0.00   11.76 v mprj/u_mac_wrap/_09414_/D (sky130_fd_sc_hd__dfstp_1)
+                                 11.76   data arrival time
 
                          40.00   40.00   clock mac_tx_clk (rise edge)
                           0.00   40.00   clock source latency
@@ -144352,36 +162462,51 @@
                   0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[5] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21   41.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00   41.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15   41.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00   41.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37   42.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01   42.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32   42.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01   42.60 ^ mprj/u_mac_wrap/clkbuf_4_5_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.18   42.78 ^ mprj/u_mac_wrap/clkbuf_4_5_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     8    0.03                           mprj/u_mac_wrap/clknet_4_5_0_phy_tx_clk (net)
-                  0.06    0.00   42.78 ^ mprj/u_mac_wrap/_09377_/CLK (sky130_fd_sc_hd__dfstp_1)
-                         -0.25   42.53   clock uncertainty
-                          2.32   44.85   clock reconvergence pessimism
-                         -0.06   44.79   library setup time
-                                 44.79   data required time
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15   41.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00   41.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27   41.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02   41.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43   42.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09   42.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34   42.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00   42.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15   43.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00   43.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42   43.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01   43.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34   43.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01   43.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20   44.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00   44.13 ^ mprj/u_mac_wrap/clkbuf_4_7_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.10    0.17   44.30 ^ mprj/u_mac_wrap/clkbuf_4_7_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    22    0.05                           mprj/u_mac_wrap/clknet_4_7_0_phy_tx_clk (net)
+                  0.10    0.00   44.30 ^ mprj/u_mac_wrap/_09414_/CLK (sky130_fd_sc_hd__dfstp_1)
+                         -0.25   44.05   clock uncertainty
+                          2.44   46.49   clock reconvergence pessimism
+                         -0.06   46.43   library setup time
+                                 46.43   data required time
 -----------------------------------------------------------------------------
-                                 44.79   data required time
-                                 -9.57   data arrival time
+                                 46.43   data required time
+                                -11.76   data arrival time
 -----------------------------------------------------------------------------
-                                 35.22   slack (MET)
+                                 34.67   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_08892_
+Startpoint: mprj/u_mac_wrap/_08942_
             (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_08483_
+Endpoint: mprj/u_mac_wrap/_09408_
           (rising edge-triggered flip-flop clocked by mac_tx_clk)
 Path Group: mac_tx_clk
 Path Type: max
@@ -144405,68 +162530,89 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.92 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.21    5.13 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    13    0.04                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
-                  0.09    0.00    5.13 ^ mprj/u_mac_wrap/_08892_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.08    0.43    5.56 v mprj/u_mac_wrap/_08892_/Q (sky130_fd_sc_hd__dfrtp_1)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.01 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.26    7.28 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.08                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
+                  0.14    0.00    7.28 ^ mprj/u_mac_wrap/_08942_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.45    7.73 v mprj/u_mac_wrap/_08942_/Q (sky130_fd_sc_hd__dfrtp_1)
      2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
-                  0.08    0.00    5.56 v mprj/u_mac_wrap/_04513_/A (sky130_fd_sc_hd__xnor2_2)
-                  0.23    0.26    5.82 ^ mprj/u_mac_wrap/_04513_/Y (sky130_fd_sc_hd__xnor2_2)
-     3    0.01                           mprj/u_mac_wrap/_01847_ (net)
-                  0.23    0.00    5.82 ^ mprj/u_mac_wrap/_04514_/B (sky130_fd_sc_hd__xor2_2)
-                  0.22    0.26    6.07 ^ mprj/u_mac_wrap/_04514_/X (sky130_fd_sc_hd__xor2_2)
-     4    0.02                           mprj/u_mac_wrap/_01848_ (net)
-                  0.22    0.00    6.07 ^ mprj/u_mac_wrap/_04518_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.37 ^ mprj/u_mac_wrap/_04518_/X (sky130_fd_sc_hd__xor2_1)
+                  0.08    0.00    7.73 v mprj/u_mac_wrap/_04543_/A (sky130_fd_sc_hd__xnor2_2)
+                  0.22    0.25    7.98 ^ mprj/u_mac_wrap/_04543_/Y (sky130_fd_sc_hd__xnor2_2)
      3    0.01                           mprj/u_mac_wrap/_01852_ (net)
-                  0.26    0.00    6.37 ^ mprj/u_mac_wrap/_04520_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.65 ^ mprj/u_mac_wrap/_04520_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01854_ (net)
-                  0.26    0.00    6.65 ^ mprj/u_mac_wrap/_04521_/B (sky130_fd_sc_hd__xor2_1)
-                  0.17    0.23    6.88 ^ mprj/u_mac_wrap/_04521_/X (sky130_fd_sc_hd__xor2_1)
-     2    0.01                           mprj/u_mac_wrap/_01855_ (net)
-                  0.17    0.00    6.88 ^ mprj/u_mac_wrap/_04526_/A2 (sky130_fd_sc_hd__a211o_1)
-                  0.09    0.19    7.07 ^ mprj/u_mac_wrap/_04526_/X (sky130_fd_sc_hd__a211o_1)
-     3    0.01                           mprj/u_mac_wrap/_01860_ (net)
-                  0.09    0.00    7.07 ^ mprj/u_mac_wrap/_04528_/B2 (sky130_fd_sc_hd__a221oi_1)
-                  0.10    0.10    7.16 v mprj/u_mac_wrap/_04528_/Y (sky130_fd_sc_hd__a221oi_1)
-     2    0.01                           mprj/u_mac_wrap/_01862_ (net)
-                  0.10    0.00    7.16 v mprj/u_mac_wrap/_04531_/B (sky130_fd_sc_hd__or4_1)
-                  0.10    0.56    7.72 v mprj/u_mac_wrap/_04531_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01865_ (net)
-                  0.10    0.00    7.72 v mprj/u_mac_wrap/_04554_/B1 (sky130_fd_sc_hd__o2111a_1)
-                  0.15    0.27    7.99 v mprj/u_mac_wrap/_04554_/X (sky130_fd_sc_hd__o2111a_1)
-     6    0.03                           mprj/u_mac_wrap/_01885_ (net)
-                  0.15    0.00    7.99 v mprj/u_mac_wrap/_04592_/A (sky130_fd_sc_hd__nor4b_2)
-                  0.42    0.50    8.49 ^ mprj/u_mac_wrap/_04592_/Y (sky130_fd_sc_hd__nor4b_2)
-     2    0.02                           mprj/u_mac_wrap/_01916_ (net)
-                  0.42    0.00    8.49 ^ mprj/u_mac_wrap/fanout254/A (sky130_fd_sc_hd__buf_4)
-                  0.21    0.33    8.82 ^ mprj/u_mac_wrap/fanout254/X (sky130_fd_sc_hd__buf_4)
-    20    0.07                           mprj/u_mac_wrap/net254 (net)
-                  0.21    0.00    8.82 ^ mprj/u_mac_wrap/_04889_/A0 (sky130_fd_sc_hd__mux2_4)
-                  0.18    0.32    9.14 ^ mprj/u_mac_wrap/_04889_/X (sky130_fd_sc_hd__mux2_4)
-    18    0.06                           mprj/u_mac_wrap/_02121_ (net)
-                  0.18    0.01    9.14 ^ mprj/u_mac_wrap/_04905_/B (sky130_fd_sc_hd__nand2_1)
-                  0.18    0.12    9.26 v mprj/u_mac_wrap/_04905_/Y (sky130_fd_sc_hd__nand2_1)
-     2    0.01                           mprj/u_mac_wrap/_02136_ (net)
-                  0.18    0.00    9.26 v mprj/u_mac_wrap/_04919_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.04    0.24    9.50 v mprj/u_mac_wrap/_04919_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_mac_wrap/_00087_ (net)
-                  0.04    0.00    9.50 v mprj/u_mac_wrap/_08483_/D (sky130_fd_sc_hd__dfrtp_4)
-                                  9.50   data arrival time
+                  0.22    0.00    7.98 ^ mprj/u_mac_wrap/_04544_/B (sky130_fd_sc_hd__xor2_2)
+                  0.25    0.27    8.25 ^ mprj/u_mac_wrap/_04544_/X (sky130_fd_sc_hd__xor2_2)
+     4    0.02                           mprj/u_mac_wrap/_01853_ (net)
+                  0.25    0.00    8.25 ^ mprj/u_mac_wrap/_04548_/B (sky130_fd_sc_hd__xor2_1)
+                  0.28    0.30    8.56 ^ mprj/u_mac_wrap/_04548_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01857_ (net)
+                  0.28    0.00    8.56 ^ mprj/u_mac_wrap/_04550_/B (sky130_fd_sc_hd__xor2_1)
+                  0.26    0.29    8.85 ^ mprj/u_mac_wrap/_04550_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01859_ (net)
+                  0.26    0.00    8.85 ^ mprj/u_mac_wrap/_04551_/B (sky130_fd_sc_hd__xor2_1)
+                  0.09    0.13    8.98 v mprj/u_mac_wrap/_04551_/X (sky130_fd_sc_hd__xor2_1)
+     2    0.01                           mprj/u_mac_wrap/_01860_ (net)
+                  0.09    0.00    8.98 v mprj/u_mac_wrap/_04556_/A2 (sky130_fd_sc_hd__a211o_1)
+                  0.08    0.36    9.34 v mprj/u_mac_wrap/_04556_/X (sky130_fd_sc_hd__a211o_1)
+     3    0.01                           mprj/u_mac_wrap/_01865_ (net)
+                  0.08    0.00    9.34 v mprj/u_mac_wrap/_04567_/C (sky130_fd_sc_hd__or4_1)
+                  0.13    0.56    9.89 v mprj/u_mac_wrap/_04567_/X (sky130_fd_sc_hd__or4_1)
+     2    0.01                           mprj/u_mac_wrap/_01876_ (net)
+                  0.13    0.00    9.89 v mprj/u_mac_wrap/_04568_/A (sky130_fd_sc_hd__inv_2)
+                  0.04    0.07    9.97 ^ mprj/u_mac_wrap/_04568_/Y (sky130_fd_sc_hd__inv_2)
+     1    0.00                           mprj/u_mac_wrap/_01877_ (net)
+                  0.04    0.00    9.97 ^ mprj/u_mac_wrap/_04583_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.18    0.30   10.27 ^ mprj/u_mac_wrap/_04583_/X (sky130_fd_sc_hd__o2111a_1)
+     4    0.02                           mprj/u_mac_wrap/_01889_ (net)
+                  0.18    0.00   10.27 ^ mprj/u_mac_wrap/_04618_/A_N (sky130_fd_sc_hd__and4bb_2)
+                  0.10    0.33   10.59 v mprj/u_mac_wrap/_04618_/X (sky130_fd_sc_hd__and4bb_2)
+     4    0.03                           mprj/u_mac_wrap/_01917_ (net)
+                  0.10    0.00   10.60 v mprj/u_mac_wrap/fanout251/A (sky130_fd_sc_hd__buf_4)
+                  0.10    0.24   10.83 v mprj/u_mac_wrap/fanout251/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_mac_wrap/net251 (net)
+                  0.10    0.00   10.84 v mprj/u_mac_wrap/_06760_/A_N (sky130_fd_sc_hd__nand2b_2)
+                  0.14    0.29   11.13 v mprj/u_mac_wrap/_06760_/Y (sky130_fd_sc_hd__nand2b_2)
+     8    0.03                           mprj/u_mac_wrap/_03203_ (net)
+                  0.14    0.00   11.13 v mprj/u_mac_wrap/fanout239/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.11    0.26   11.39 v mprj/u_mac_wrap/fanout239/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.05                           mprj/u_mac_wrap/net239 (net)
+                  0.11    0.00   11.39 v mprj/u_mac_wrap/_06806_/A1 (sky130_fd_sc_hd__o32a_1)
+                  0.05    0.38   11.77 v mprj/u_mac_wrap/_06806_/X (sky130_fd_sc_hd__o32a_1)
+     1    0.00                           mprj/u_mac_wrap/_00858_ (net)
+                  0.05    0.00   11.77 v mprj/u_mac_wrap/_09408_/D (sky130_fd_sc_hd__dfstp_1)
+                                 11.77   data arrival time
 
                          40.00   40.00   clock mac_tx_clk (rise edge)
                           0.00   40.00   clock source latency
@@ -144485,36 +162631,51 @@
                   0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[5] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21   41.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00   41.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15   41.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00   41.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37   42.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01   42.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32   42.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.00   42.60 ^ mprj/u_mac_wrap/clkbuf_4_11_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.17   42.77 ^ mprj/u_mac_wrap/clkbuf_4_11_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     6    0.02                           mprj/u_mac_wrap/clknet_4_11_0_phy_tx_clk (net)
-                  0.05    0.00   42.77 ^ mprj/u_mac_wrap/_08483_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                         -0.25   42.52   clock uncertainty
-                          2.32   44.84   clock reconvergence pessimism
-                         -0.11   44.72   library setup time
-                                 44.72   data required time
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15   41.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00   41.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27   41.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02   41.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43   42.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09   42.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34   42.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00   42.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15   43.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00   43.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42   43.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01   43.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34   43.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01   43.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20   44.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00   44.13 ^ mprj/u_mac_wrap/clkbuf_4_6_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.11    0.18   44.30 ^ mprj/u_mac_wrap/clkbuf_4_6_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.06                           mprj/u_mac_wrap/clknet_4_6_0_phy_tx_clk (net)
+                  0.11    0.00   44.31 ^ mprj/u_mac_wrap/_09408_/CLK (sky130_fd_sc_hd__dfstp_1)
+                         -0.25   44.06   clock uncertainty
+                          2.44   46.50   clock reconvergence pessimism
+                         -0.06   46.44   library setup time
+                                 46.44   data required time
 -----------------------------------------------------------------------------
-                                 44.72   data required time
-                                 -9.50   data arrival time
+                                 46.44   data required time
+                                -11.77   data arrival time
 -----------------------------------------------------------------------------
-                                 35.23   slack (MET)
+                                 34.67   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_08892_
+Startpoint: mprj/u_mac_wrap/_08942_
             (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_09833_
+Endpoint: mprj/u_mac_wrap/_09428_
           (rising edge-triggered flip-flop clocked by mac_tx_clk)
 Path Group: mac_tx_clk
 Path Type: max
@@ -144538,62 +162699,89 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.92 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.21    5.13 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    13    0.04                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
-                  0.09    0.00    5.13 ^ mprj/u_mac_wrap/_08892_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.08    0.43    5.56 v mprj/u_mac_wrap/_08892_/Q (sky130_fd_sc_hd__dfrtp_1)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.01 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.26    7.28 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.08                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
+                  0.14    0.00    7.28 ^ mprj/u_mac_wrap/_08942_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.45    7.73 v mprj/u_mac_wrap/_08942_/Q (sky130_fd_sc_hd__dfrtp_1)
      2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
-                  0.08    0.00    5.56 v mprj/u_mac_wrap/_04513_/A (sky130_fd_sc_hd__xnor2_2)
-                  0.23    0.26    5.82 ^ mprj/u_mac_wrap/_04513_/Y (sky130_fd_sc_hd__xnor2_2)
-     3    0.01                           mprj/u_mac_wrap/_01847_ (net)
-                  0.23    0.00    5.82 ^ mprj/u_mac_wrap/_04514_/B (sky130_fd_sc_hd__xor2_2)
-                  0.22    0.26    6.07 ^ mprj/u_mac_wrap/_04514_/X (sky130_fd_sc_hd__xor2_2)
-     4    0.02                           mprj/u_mac_wrap/_01848_ (net)
-                  0.22    0.00    6.07 ^ mprj/u_mac_wrap/_04518_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.37 ^ mprj/u_mac_wrap/_04518_/X (sky130_fd_sc_hd__xor2_1)
+                  0.08    0.00    7.73 v mprj/u_mac_wrap/_04543_/A (sky130_fd_sc_hd__xnor2_2)
+                  0.22    0.25    7.98 ^ mprj/u_mac_wrap/_04543_/Y (sky130_fd_sc_hd__xnor2_2)
      3    0.01                           mprj/u_mac_wrap/_01852_ (net)
-                  0.26    0.00    6.37 ^ mprj/u_mac_wrap/_04520_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.65 ^ mprj/u_mac_wrap/_04520_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01854_ (net)
-                  0.26    0.00    6.65 ^ mprj/u_mac_wrap/_04521_/B (sky130_fd_sc_hd__xor2_1)
-                  0.17    0.23    6.88 ^ mprj/u_mac_wrap/_04521_/X (sky130_fd_sc_hd__xor2_1)
-     2    0.01                           mprj/u_mac_wrap/_01855_ (net)
-                  0.17    0.00    6.88 ^ mprj/u_mac_wrap/_04526_/A2 (sky130_fd_sc_hd__a211o_1)
-                  0.09    0.19    7.07 ^ mprj/u_mac_wrap/_04526_/X (sky130_fd_sc_hd__a211o_1)
-     3    0.01                           mprj/u_mac_wrap/_01860_ (net)
-                  0.09    0.00    7.07 ^ mprj/u_mac_wrap/_04528_/B2 (sky130_fd_sc_hd__a221oi_1)
-                  0.10    0.10    7.16 v mprj/u_mac_wrap/_04528_/Y (sky130_fd_sc_hd__a221oi_1)
-     2    0.01                           mprj/u_mac_wrap/_01862_ (net)
-                  0.10    0.00    7.16 v mprj/u_mac_wrap/_04531_/B (sky130_fd_sc_hd__or4_1)
-                  0.10    0.56    7.72 v mprj/u_mac_wrap/_04531_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01865_ (net)
-                  0.10    0.00    7.72 v mprj/u_mac_wrap/_04554_/B1 (sky130_fd_sc_hd__o2111a_1)
-                  0.15    0.27    7.99 v mprj/u_mac_wrap/_04554_/X (sky130_fd_sc_hd__o2111a_1)
-     6    0.03                           mprj/u_mac_wrap/_01885_ (net)
-                  0.15    0.00    7.99 v mprj/u_mac_wrap/_04593_/A (sky130_fd_sc_hd__or4b_4)
-                  0.16    0.72    8.71 v mprj/u_mac_wrap/_04593_/X (sky130_fd_sc_hd__or4b_4)
-    14    0.06                           mprj/u_mac_wrap/_01917_ (net)
-                  0.16    0.00    8.71 v mprj/u_mac_wrap/_07802_/A1 (sky130_fd_sc_hd__o311a_2)
-                  0.11    0.53    9.24 v mprj/u_mac_wrap/_07802_/X (sky130_fd_sc_hd__o311a_2)
-     7    0.03                           mprj/u_mac_wrap/_03848_ (net)
-                  0.11    0.00    9.24 v mprj/u_mac_wrap/_07809_/A2 (sky130_fd_sc_hd__o221a_1)
-                  0.04    0.27    9.50 v mprj/u_mac_wrap/_07809_/X (sky130_fd_sc_hd__o221a_1)
-     1    0.00                           mprj/u_mac_wrap/_01275_ (net)
-                  0.04    0.00    9.50 v mprj/u_mac_wrap/_09833_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  9.50   data arrival time
+                  0.22    0.00    7.98 ^ mprj/u_mac_wrap/_04544_/B (sky130_fd_sc_hd__xor2_2)
+                  0.25    0.27    8.25 ^ mprj/u_mac_wrap/_04544_/X (sky130_fd_sc_hd__xor2_2)
+     4    0.02                           mprj/u_mac_wrap/_01853_ (net)
+                  0.25    0.00    8.25 ^ mprj/u_mac_wrap/_04548_/B (sky130_fd_sc_hd__xor2_1)
+                  0.28    0.30    8.56 ^ mprj/u_mac_wrap/_04548_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01857_ (net)
+                  0.28    0.00    8.56 ^ mprj/u_mac_wrap/_04550_/B (sky130_fd_sc_hd__xor2_1)
+                  0.26    0.29    8.85 ^ mprj/u_mac_wrap/_04550_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01859_ (net)
+                  0.26    0.00    8.85 ^ mprj/u_mac_wrap/_04551_/B (sky130_fd_sc_hd__xor2_1)
+                  0.09    0.13    8.98 v mprj/u_mac_wrap/_04551_/X (sky130_fd_sc_hd__xor2_1)
+     2    0.01                           mprj/u_mac_wrap/_01860_ (net)
+                  0.09    0.00    8.98 v mprj/u_mac_wrap/_04556_/A2 (sky130_fd_sc_hd__a211o_1)
+                  0.08    0.36    9.34 v mprj/u_mac_wrap/_04556_/X (sky130_fd_sc_hd__a211o_1)
+     3    0.01                           mprj/u_mac_wrap/_01865_ (net)
+                  0.08    0.00    9.34 v mprj/u_mac_wrap/_04567_/C (sky130_fd_sc_hd__or4_1)
+                  0.13    0.56    9.89 v mprj/u_mac_wrap/_04567_/X (sky130_fd_sc_hd__or4_1)
+     2    0.01                           mprj/u_mac_wrap/_01876_ (net)
+                  0.13    0.00    9.89 v mprj/u_mac_wrap/_04568_/A (sky130_fd_sc_hd__inv_2)
+                  0.04    0.07    9.97 ^ mprj/u_mac_wrap/_04568_/Y (sky130_fd_sc_hd__inv_2)
+     1    0.00                           mprj/u_mac_wrap/_01877_ (net)
+                  0.04    0.00    9.97 ^ mprj/u_mac_wrap/_04583_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.18    0.30   10.27 ^ mprj/u_mac_wrap/_04583_/X (sky130_fd_sc_hd__o2111a_1)
+     4    0.02                           mprj/u_mac_wrap/_01889_ (net)
+                  0.18    0.00   10.27 ^ mprj/u_mac_wrap/_04618_/A_N (sky130_fd_sc_hd__and4bb_2)
+                  0.10    0.33   10.59 v mprj/u_mac_wrap/_04618_/X (sky130_fd_sc_hd__and4bb_2)
+     4    0.03                           mprj/u_mac_wrap/_01917_ (net)
+                  0.10    0.00   10.60 v mprj/u_mac_wrap/fanout251/A (sky130_fd_sc_hd__buf_4)
+                  0.10    0.24   10.83 v mprj/u_mac_wrap/fanout251/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_mac_wrap/net251 (net)
+                  0.10    0.00   10.84 v mprj/u_mac_wrap/_06760_/A_N (sky130_fd_sc_hd__nand2b_2)
+                  0.14    0.29   11.13 v mprj/u_mac_wrap/_06760_/Y (sky130_fd_sc_hd__nand2b_2)
+     8    0.03                           mprj/u_mac_wrap/_03203_ (net)
+                  0.14    0.00   11.13 v mprj/u_mac_wrap/fanout242/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.10    0.21   11.34 v mprj/u_mac_wrap/fanout242/X (sky130_fd_sc_hd__clkbuf_2)
+     6    0.02                           mprj/u_mac_wrap/net242 (net)
+                  0.10    0.00   11.34 v mprj/u_mac_wrap/_06893_/A1 (sky130_fd_sc_hd__o32a_1)
+                  0.05    0.37   11.71 v mprj/u_mac_wrap/_06893_/X (sky130_fd_sc_hd__o32a_1)
+     1    0.00                           mprj/u_mac_wrap/_00878_ (net)
+                  0.05    0.00   11.71 v mprj/u_mac_wrap/_09428_/D (sky130_fd_sc_hd__dfstp_1)
+                                 11.71   data arrival time
 
                          40.00   40.00   clock mac_tx_clk (rise edge)
                           0.00   40.00   clock source latency
@@ -144612,36 +162800,51 @@
                   0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[5] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21   41.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00   41.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15   41.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00   41.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37   42.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01   42.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32   42.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.00   42.59 ^ mprj/u_mac_wrap/clkbuf_4_15_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.18   42.78 ^ mprj/u_mac_wrap/clkbuf_4_15_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    10    0.03                           mprj/u_mac_wrap/clknet_4_15_0_phy_tx_clk (net)
-                  0.07    0.00   42.78 ^ mprj/u_mac_wrap/_09833_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   42.53   clock uncertainty
-                          2.32   44.85   clock reconvergence pessimism
-                         -0.11   44.74   library setup time
-                                 44.74   data required time
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15   41.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00   41.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27   41.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02   41.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43   42.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09   42.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34   42.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00   42.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15   43.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00   43.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42   43.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01   43.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34   43.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01   43.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20   44.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00   44.13 ^ mprj/u_mac_wrap/clkbuf_4_13_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14   44.26 ^ mprj/u_mac_wrap/clkbuf_4_13_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     6    0.02                           mprj/u_mac_wrap/clknet_4_13_0_phy_tx_clk (net)
+                  0.05    0.00   44.26 ^ mprj/u_mac_wrap/_09428_/CLK (sky130_fd_sc_hd__dfstp_1)
+                         -0.25   44.01   clock uncertainty
+                          2.44   46.45   clock reconvergence pessimism
+                         -0.07   46.38   library setup time
+                                 46.38   data required time
 -----------------------------------------------------------------------------
-                                 44.74   data required time
-                                 -9.50   data arrival time
+                                 46.38   data required time
+                                -11.71   data arrival time
 -----------------------------------------------------------------------------
-                                 35.23   slack (MET)
+                                 34.67   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_08892_
+Startpoint: mprj/u_mac_wrap/_08942_
             (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_09375_
+Endpoint: mprj/u_mac_wrap/_08967_
           (rising edge-triggered flip-flop clocked by mac_tx_clk)
 Path Group: mac_tx_clk
 Path Type: max
@@ -144665,68 +162868,780 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.92 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.21    5.13 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    13    0.04                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
-                  0.09    0.00    5.13 ^ mprj/u_mac_wrap/_08892_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.08    0.43    5.56 v mprj/u_mac_wrap/_08892_/Q (sky130_fd_sc_hd__dfrtp_1)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.01 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.26    7.28 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.08                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
+                  0.14    0.00    7.28 ^ mprj/u_mac_wrap/_08942_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.45    7.73 v mprj/u_mac_wrap/_08942_/Q (sky130_fd_sc_hd__dfrtp_1)
      2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
-                  0.08    0.00    5.56 v mprj/u_mac_wrap/_04513_/A (sky130_fd_sc_hd__xnor2_2)
-                  0.23    0.26    5.82 ^ mprj/u_mac_wrap/_04513_/Y (sky130_fd_sc_hd__xnor2_2)
-     3    0.01                           mprj/u_mac_wrap/_01847_ (net)
-                  0.23    0.00    5.82 ^ mprj/u_mac_wrap/_04514_/B (sky130_fd_sc_hd__xor2_2)
-                  0.22    0.26    6.07 ^ mprj/u_mac_wrap/_04514_/X (sky130_fd_sc_hd__xor2_2)
-     4    0.02                           mprj/u_mac_wrap/_01848_ (net)
-                  0.22    0.00    6.07 ^ mprj/u_mac_wrap/_04518_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.37 ^ mprj/u_mac_wrap/_04518_/X (sky130_fd_sc_hd__xor2_1)
+                  0.08    0.00    7.73 v mprj/u_mac_wrap/_04543_/A (sky130_fd_sc_hd__xnor2_2)
+                  0.22    0.25    7.98 ^ mprj/u_mac_wrap/_04543_/Y (sky130_fd_sc_hd__xnor2_2)
      3    0.01                           mprj/u_mac_wrap/_01852_ (net)
-                  0.26    0.00    6.37 ^ mprj/u_mac_wrap/_04520_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.65 ^ mprj/u_mac_wrap/_04520_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01854_ (net)
-                  0.26    0.00    6.65 ^ mprj/u_mac_wrap/_04521_/B (sky130_fd_sc_hd__xor2_1)
-                  0.17    0.23    6.88 ^ mprj/u_mac_wrap/_04521_/X (sky130_fd_sc_hd__xor2_1)
-     2    0.01                           mprj/u_mac_wrap/_01855_ (net)
-                  0.17    0.00    6.88 ^ mprj/u_mac_wrap/_04526_/A2 (sky130_fd_sc_hd__a211o_1)
-                  0.09    0.19    7.07 ^ mprj/u_mac_wrap/_04526_/X (sky130_fd_sc_hd__a211o_1)
-     3    0.01                           mprj/u_mac_wrap/_01860_ (net)
-                  0.09    0.00    7.07 ^ mprj/u_mac_wrap/_04528_/B2 (sky130_fd_sc_hd__a221oi_1)
-                  0.10    0.10    7.16 v mprj/u_mac_wrap/_04528_/Y (sky130_fd_sc_hd__a221oi_1)
-     2    0.01                           mprj/u_mac_wrap/_01862_ (net)
-                  0.10    0.00    7.16 v mprj/u_mac_wrap/_04531_/B (sky130_fd_sc_hd__or4_1)
-                  0.10    0.56    7.72 v mprj/u_mac_wrap/_04531_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01865_ (net)
-                  0.10    0.00    7.72 v mprj/u_mac_wrap/_04554_/B1 (sky130_fd_sc_hd__o2111a_1)
-                  0.15    0.27    7.99 v mprj/u_mac_wrap/_04554_/X (sky130_fd_sc_hd__o2111a_1)
-     6    0.03                           mprj/u_mac_wrap/_01885_ (net)
-                  0.15    0.00    7.99 v mprj/u_mac_wrap/_04592_/A (sky130_fd_sc_hd__nor4b_2)
-                  0.42    0.50    8.49 ^ mprj/u_mac_wrap/_04592_/Y (sky130_fd_sc_hd__nor4b_2)
-     2    0.02                           mprj/u_mac_wrap/_01916_ (net)
-                  0.42    0.00    8.49 ^ mprj/u_mac_wrap/fanout254/A (sky130_fd_sc_hd__buf_4)
-                  0.21    0.33    8.82 ^ mprj/u_mac_wrap/fanout254/X (sky130_fd_sc_hd__buf_4)
-    20    0.07                           mprj/u_mac_wrap/net254 (net)
-                  0.21    0.00    8.83 ^ mprj/u_mac_wrap/_06698_/B (sky130_fd_sc_hd__or2_1)
-                  0.18    0.24    9.06 ^ mprj/u_mac_wrap/_06698_/X (sky130_fd_sc_hd__or2_1)
-     3    0.02                           mprj/u_mac_wrap/_03166_ (net)
-                  0.18    0.00    9.06 ^ mprj/u_mac_wrap/fanout242/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.19    0.30    9.36 ^ mprj/u_mac_wrap/fanout242/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.06                           mprj/u_mac_wrap/net242 (net)
-                  0.19    0.00    9.36 ^ mprj/u_mac_wrap/_06823_/B1 (sky130_fd_sc_hd__o32a_1)
-                  0.06    0.19    9.56 ^ mprj/u_mac_wrap/_06823_/X (sky130_fd_sc_hd__o32a_1)
+                  0.22    0.00    7.98 ^ mprj/u_mac_wrap/_04544_/B (sky130_fd_sc_hd__xor2_2)
+                  0.25    0.27    8.25 ^ mprj/u_mac_wrap/_04544_/X (sky130_fd_sc_hd__xor2_2)
+     4    0.02                           mprj/u_mac_wrap/_01853_ (net)
+                  0.25    0.00    8.25 ^ mprj/u_mac_wrap/_04548_/B (sky130_fd_sc_hd__xor2_1)
+                  0.28    0.30    8.56 ^ mprj/u_mac_wrap/_04548_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01857_ (net)
+                  0.28    0.00    8.56 ^ mprj/u_mac_wrap/_04550_/B (sky130_fd_sc_hd__xor2_1)
+                  0.26    0.29    8.85 ^ mprj/u_mac_wrap/_04550_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01859_ (net)
+                  0.26    0.00    8.85 ^ mprj/u_mac_wrap/_04551_/B (sky130_fd_sc_hd__xor2_1)
+                  0.09    0.13    8.98 v mprj/u_mac_wrap/_04551_/X (sky130_fd_sc_hd__xor2_1)
+     2    0.01                           mprj/u_mac_wrap/_01860_ (net)
+                  0.09    0.00    8.98 v mprj/u_mac_wrap/_04556_/A2 (sky130_fd_sc_hd__a211o_1)
+                  0.08    0.36    9.34 v mprj/u_mac_wrap/_04556_/X (sky130_fd_sc_hd__a211o_1)
+     3    0.01                           mprj/u_mac_wrap/_01865_ (net)
+                  0.08    0.00    9.34 v mprj/u_mac_wrap/_04567_/C (sky130_fd_sc_hd__or4_1)
+                  0.13    0.56    9.89 v mprj/u_mac_wrap/_04567_/X (sky130_fd_sc_hd__or4_1)
+     2    0.01                           mprj/u_mac_wrap/_01876_ (net)
+                  0.13    0.00    9.89 v mprj/u_mac_wrap/_04568_/A (sky130_fd_sc_hd__inv_2)
+                  0.04    0.07    9.97 ^ mprj/u_mac_wrap/_04568_/Y (sky130_fd_sc_hd__inv_2)
+     1    0.00                           mprj/u_mac_wrap/_01877_ (net)
+                  0.04    0.00    9.97 ^ mprj/u_mac_wrap/_04583_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.18    0.30   10.27 ^ mprj/u_mac_wrap/_04583_/X (sky130_fd_sc_hd__o2111a_1)
+     4    0.02                           mprj/u_mac_wrap/_01889_ (net)
+                  0.18    0.00   10.27 ^ mprj/u_mac_wrap/_04618_/A_N (sky130_fd_sc_hd__and4bb_2)
+                  0.10    0.33   10.59 v mprj/u_mac_wrap/_04618_/X (sky130_fd_sc_hd__and4bb_2)
+     4    0.03                           mprj/u_mac_wrap/_01917_ (net)
+                  0.10    0.00   10.60 v mprj/u_mac_wrap/fanout251/A (sky130_fd_sc_hd__buf_4)
+                  0.10    0.24   10.83 v mprj/u_mac_wrap/fanout251/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_mac_wrap/net251 (net)
+                  0.10    0.00   10.83 v mprj/u_mac_wrap/_05773_/B1 (sky130_fd_sc_hd__a31oi_1)
+                  0.13    0.16   10.99 ^ mprj/u_mac_wrap/_05773_/Y (sky130_fd_sc_hd__a31oi_1)
+     1    0.00                           mprj/u_mac_wrap/_02610_ (net)
+                  0.13    0.00   10.99 ^ mprj/u_mac_wrap/fanout249/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.18    0.27   11.26 ^ mprj/u_mac_wrap/fanout249/X (sky130_fd_sc_hd__clkbuf_4)
+    16    0.06                           mprj/u_mac_wrap/net249 (net)
+                  0.18    0.00   11.26 ^ mprj/u_mac_wrap/fanout248/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.29   11.55 ^ mprj/u_mac_wrap/fanout248/X (sky130_fd_sc_hd__buf_2)
+    12    0.04                           mprj/u_mac_wrap/net248 (net)
+                  0.22    0.00   11.55 ^ mprj/u_mac_wrap/fanout247/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.19    0.31   11.86 ^ mprj/u_mac_wrap/fanout247/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.06                           mprj/u_mac_wrap/net247 (net)
+                  0.19    0.00   11.86 ^ mprj/u_mac_wrap/_05794_/A_N (sky130_fd_sc_hd__and2b_1)
+                  0.05    0.23   12.10 v mprj/u_mac_wrap/_05794_/X (sky130_fd_sc_hd__and2b_1)
+     2    0.01                           mprj/u_mac_wrap/_02625_ (net)
+                  0.05    0.00   12.10 v mprj/u_mac_wrap/_05796_/A (sky130_fd_sc_hd__nor2_1)
+                  0.09    0.11   12.21 ^ mprj/u_mac_wrap/_05796_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.00                           mprj/u_mac_wrap/_00462_ (net)
+                  0.09    0.00   12.21 ^ mprj/u_mac_wrap/_08967_/D (sky130_fd_sc_hd__dfrtp_2)
+                                 12.21   data arrival time
+
+                         40.00   40.00   clock mac_tx_clk (rise edge)
+                          0.00   40.00   clock source latency
+                  1.00    0.00   40.00 ^ mprj_io[5] (inout)
+     1    6.12                           mprj_io[5] (net)
+                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[5] (net)
+                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00   41.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10   41.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00   41.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21   41.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15   41.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00   41.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27   41.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02   41.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43   42.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09   42.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34   42.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00   42.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15   43.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00   43.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42   43.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01   43.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34   43.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01   43.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20   44.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00   44.12 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.17   44.29 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00   44.29 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.24   44.53 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01   44.54 ^ mprj/u_mac_wrap/clkbuf_4_5_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.19   44.73 ^ mprj/u_mac_wrap/clkbuf_4_5_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.03                           mprj/u_mac_wrap/clknet_4_5_0_phy_tx_clk (net)
+                  0.07    0.00   44.73 ^ mprj/u_mac_wrap/_08967_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                         -0.25   44.48   clock uncertainty
+                          2.47   46.95   clock reconvergence pessimism
+                         -0.07   46.88   library setup time
+                                 46.88   data required time
+-----------------------------------------------------------------------------
+                                 46.88   data required time
+                                -12.21   data arrival time
+-----------------------------------------------------------------------------
+                                 34.67   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08942_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj/u_mac_wrap/_09412_
+          (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Path Group: mac_tx_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1   11.12                           mprj_io[5] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[5] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.01 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.26    7.28 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.08                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
+                  0.14    0.00    7.28 ^ mprj/u_mac_wrap/_08942_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.45    7.73 v mprj/u_mac_wrap/_08942_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
+                  0.08    0.00    7.73 v mprj/u_mac_wrap/_04543_/A (sky130_fd_sc_hd__xnor2_2)
+                  0.22    0.25    7.98 ^ mprj/u_mac_wrap/_04543_/Y (sky130_fd_sc_hd__xnor2_2)
+     3    0.01                           mprj/u_mac_wrap/_01852_ (net)
+                  0.22    0.00    7.98 ^ mprj/u_mac_wrap/_04544_/B (sky130_fd_sc_hd__xor2_2)
+                  0.25    0.27    8.25 ^ mprj/u_mac_wrap/_04544_/X (sky130_fd_sc_hd__xor2_2)
+     4    0.02                           mprj/u_mac_wrap/_01853_ (net)
+                  0.25    0.00    8.25 ^ mprj/u_mac_wrap/_04548_/B (sky130_fd_sc_hd__xor2_1)
+                  0.28    0.30    8.56 ^ mprj/u_mac_wrap/_04548_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01857_ (net)
+                  0.28    0.00    8.56 ^ mprj/u_mac_wrap/_04550_/B (sky130_fd_sc_hd__xor2_1)
+                  0.26    0.29    8.85 ^ mprj/u_mac_wrap/_04550_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01859_ (net)
+                  0.26    0.00    8.85 ^ mprj/u_mac_wrap/_04551_/B (sky130_fd_sc_hd__xor2_1)
+                  0.09    0.13    8.98 v mprj/u_mac_wrap/_04551_/X (sky130_fd_sc_hd__xor2_1)
+     2    0.01                           mprj/u_mac_wrap/_01860_ (net)
+                  0.09    0.00    8.98 v mprj/u_mac_wrap/_04556_/A2 (sky130_fd_sc_hd__a211o_1)
+                  0.08    0.36    9.34 v mprj/u_mac_wrap/_04556_/X (sky130_fd_sc_hd__a211o_1)
+     3    0.01                           mprj/u_mac_wrap/_01865_ (net)
+                  0.08    0.00    9.34 v mprj/u_mac_wrap/_04567_/C (sky130_fd_sc_hd__or4_1)
+                  0.13    0.56    9.89 v mprj/u_mac_wrap/_04567_/X (sky130_fd_sc_hd__or4_1)
+     2    0.01                           mprj/u_mac_wrap/_01876_ (net)
+                  0.13    0.00    9.89 v mprj/u_mac_wrap/_04568_/A (sky130_fd_sc_hd__inv_2)
+                  0.04    0.07    9.97 ^ mprj/u_mac_wrap/_04568_/Y (sky130_fd_sc_hd__inv_2)
+     1    0.00                           mprj/u_mac_wrap/_01877_ (net)
+                  0.04    0.00    9.97 ^ mprj/u_mac_wrap/_04583_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.18    0.30   10.27 ^ mprj/u_mac_wrap/_04583_/X (sky130_fd_sc_hd__o2111a_1)
+     4    0.02                           mprj/u_mac_wrap/_01889_ (net)
+                  0.18    0.00   10.27 ^ mprj/u_mac_wrap/_04618_/A_N (sky130_fd_sc_hd__and4bb_2)
+                  0.10    0.33   10.59 v mprj/u_mac_wrap/_04618_/X (sky130_fd_sc_hd__and4bb_2)
+     4    0.03                           mprj/u_mac_wrap/_01917_ (net)
+                  0.10    0.00   10.60 v mprj/u_mac_wrap/fanout251/A (sky130_fd_sc_hd__buf_4)
+                  0.10    0.24   10.83 v mprj/u_mac_wrap/fanout251/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_mac_wrap/net251 (net)
+                  0.10    0.00   10.84 v mprj/u_mac_wrap/_06760_/A_N (sky130_fd_sc_hd__nand2b_2)
+                  0.14    0.29   11.13 v mprj/u_mac_wrap/_06760_/Y (sky130_fd_sc_hd__nand2b_2)
+     8    0.03                           mprj/u_mac_wrap/_03203_ (net)
+                  0.14    0.00   11.13 v mprj/u_mac_wrap/fanout242/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.10    0.21   11.34 v mprj/u_mac_wrap/fanout242/X (sky130_fd_sc_hd__clkbuf_2)
+     6    0.02                           mprj/u_mac_wrap/net242 (net)
+                  0.10    0.00   11.34 v mprj/u_mac_wrap/_06816_/A1 (sky130_fd_sc_hd__o32a_1)
+                  0.05    0.37   11.71 v mprj/u_mac_wrap/_06816_/X (sky130_fd_sc_hd__o32a_1)
+     1    0.00                           mprj/u_mac_wrap/_00862_ (net)
+                  0.05    0.00   11.71 v mprj/u_mac_wrap/_09412_/D (sky130_fd_sc_hd__dfstp_1)
+                                 11.71   data arrival time
+
+                         40.00   40.00   clock mac_tx_clk (rise edge)
+                          0.00   40.00   clock source latency
+                  1.00    0.00   40.00 ^ mprj_io[5] (inout)
+     1    6.12                           mprj_io[5] (net)
+                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[5] (net)
+                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00   41.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10   41.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00   41.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21   41.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15   41.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00   41.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27   41.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02   41.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43   42.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09   42.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34   42.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00   42.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15   43.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00   43.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42   43.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01   43.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34   43.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01   43.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20   44.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00   44.13 ^ mprj/u_mac_wrap/clkbuf_4_13_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14   44.26 ^ mprj/u_mac_wrap/clkbuf_4_13_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     6    0.02                           mprj/u_mac_wrap/clknet_4_13_0_phy_tx_clk (net)
+                  0.05    0.00   44.27 ^ mprj/u_mac_wrap/_09412_/CLK (sky130_fd_sc_hd__dfstp_1)
+                         -0.25   44.02   clock uncertainty
+                          2.44   46.45   clock reconvergence pessimism
+                         -0.07   46.38   library setup time
+                                 46.38   data required time
+-----------------------------------------------------------------------------
+                                 46.38   data required time
+                                -11.71   data arrival time
+-----------------------------------------------------------------------------
+                                 34.67   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08942_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj/u_mac_wrap/_09415_
+          (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Path Group: mac_tx_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1   11.12                           mprj_io[5] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[5] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.01 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.26    7.28 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.08                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
+                  0.14    0.00    7.28 ^ mprj/u_mac_wrap/_08942_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.45    7.73 v mprj/u_mac_wrap/_08942_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
+                  0.08    0.00    7.73 v mprj/u_mac_wrap/_04543_/A (sky130_fd_sc_hd__xnor2_2)
+                  0.22    0.25    7.98 ^ mprj/u_mac_wrap/_04543_/Y (sky130_fd_sc_hd__xnor2_2)
+     3    0.01                           mprj/u_mac_wrap/_01852_ (net)
+                  0.22    0.00    7.98 ^ mprj/u_mac_wrap/_04544_/B (sky130_fd_sc_hd__xor2_2)
+                  0.25    0.27    8.25 ^ mprj/u_mac_wrap/_04544_/X (sky130_fd_sc_hd__xor2_2)
+     4    0.02                           mprj/u_mac_wrap/_01853_ (net)
+                  0.25    0.00    8.25 ^ mprj/u_mac_wrap/_04548_/B (sky130_fd_sc_hd__xor2_1)
+                  0.28    0.30    8.56 ^ mprj/u_mac_wrap/_04548_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01857_ (net)
+                  0.28    0.00    8.56 ^ mprj/u_mac_wrap/_04550_/B (sky130_fd_sc_hd__xor2_1)
+                  0.26    0.29    8.85 ^ mprj/u_mac_wrap/_04550_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01859_ (net)
+                  0.26    0.00    8.85 ^ mprj/u_mac_wrap/_04551_/B (sky130_fd_sc_hd__xor2_1)
+                  0.09    0.13    8.98 v mprj/u_mac_wrap/_04551_/X (sky130_fd_sc_hd__xor2_1)
+     2    0.01                           mprj/u_mac_wrap/_01860_ (net)
+                  0.09    0.00    8.98 v mprj/u_mac_wrap/_04556_/A2 (sky130_fd_sc_hd__a211o_1)
+                  0.08    0.36    9.34 v mprj/u_mac_wrap/_04556_/X (sky130_fd_sc_hd__a211o_1)
+     3    0.01                           mprj/u_mac_wrap/_01865_ (net)
+                  0.08    0.00    9.34 v mprj/u_mac_wrap/_04567_/C (sky130_fd_sc_hd__or4_1)
+                  0.13    0.56    9.89 v mprj/u_mac_wrap/_04567_/X (sky130_fd_sc_hd__or4_1)
+     2    0.01                           mprj/u_mac_wrap/_01876_ (net)
+                  0.13    0.00    9.89 v mprj/u_mac_wrap/_04568_/A (sky130_fd_sc_hd__inv_2)
+                  0.04    0.07    9.97 ^ mprj/u_mac_wrap/_04568_/Y (sky130_fd_sc_hd__inv_2)
+     1    0.00                           mprj/u_mac_wrap/_01877_ (net)
+                  0.04    0.00    9.97 ^ mprj/u_mac_wrap/_04583_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.18    0.30   10.27 ^ mprj/u_mac_wrap/_04583_/X (sky130_fd_sc_hd__o2111a_1)
+     4    0.02                           mprj/u_mac_wrap/_01889_ (net)
+                  0.18    0.00   10.27 ^ mprj/u_mac_wrap/_04618_/A_N (sky130_fd_sc_hd__and4bb_2)
+                  0.10    0.33   10.59 v mprj/u_mac_wrap/_04618_/X (sky130_fd_sc_hd__and4bb_2)
+     4    0.03                           mprj/u_mac_wrap/_01917_ (net)
+                  0.10    0.00   10.60 v mprj/u_mac_wrap/fanout251/A (sky130_fd_sc_hd__buf_4)
+                  0.10    0.24   10.83 v mprj/u_mac_wrap/fanout251/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_mac_wrap/net251 (net)
+                  0.10    0.00   10.84 v mprj/u_mac_wrap/_06760_/A_N (sky130_fd_sc_hd__nand2b_2)
+                  0.14    0.29   11.13 v mprj/u_mac_wrap/_06760_/Y (sky130_fd_sc_hd__nand2b_2)
+     8    0.03                           mprj/u_mac_wrap/_03203_ (net)
+                  0.14    0.00   11.13 v mprj/u_mac_wrap/fanout242/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.10    0.21   11.34 v mprj/u_mac_wrap/fanout242/X (sky130_fd_sc_hd__clkbuf_2)
+     6    0.02                           mprj/u_mac_wrap/net242 (net)
+                  0.10    0.00   11.34 v mprj/u_mac_wrap/_06831_/A1 (sky130_fd_sc_hd__o32a_1)
+                  0.05    0.37   11.71 v mprj/u_mac_wrap/_06831_/X (sky130_fd_sc_hd__o32a_1)
+     1    0.00                           mprj/u_mac_wrap/_00865_ (net)
+                  0.05    0.00   11.71 v mprj/u_mac_wrap/_09415_/D (sky130_fd_sc_hd__dfstp_1)
+                                 11.71   data arrival time
+
+                         40.00   40.00   clock mac_tx_clk (rise edge)
+                          0.00   40.00   clock source latency
+                  1.00    0.00   40.00 ^ mprj_io[5] (inout)
+     1    6.12                           mprj_io[5] (net)
+                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[5] (net)
+                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00   41.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10   41.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00   41.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21   41.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15   41.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00   41.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27   41.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02   41.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43   42.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09   42.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34   42.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00   42.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15   43.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00   43.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42   43.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01   43.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34   43.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01   43.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20   44.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00   44.13 ^ mprj/u_mac_wrap/clkbuf_4_12_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16   44.28 ^ mprj/u_mac_wrap/clkbuf_4_12_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     9    0.04                           mprj/u_mac_wrap/clknet_4_12_0_phy_tx_clk (net)
+                  0.08    0.00   44.28 ^ mprj/u_mac_wrap/_09415_/CLK (sky130_fd_sc_hd__dfstp_1)
+                         -0.25   44.03   clock uncertainty
+                          2.44   46.47   clock reconvergence pessimism
+                         -0.06   46.41   library setup time
+                                 46.41   data required time
+-----------------------------------------------------------------------------
+                                 46.41   data required time
+                                -11.71   data arrival time
+-----------------------------------------------------------------------------
+                                 34.70   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08942_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj/u_mac_wrap/_09423_
+          (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Path Group: mac_tx_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1   11.12                           mprj_io[5] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[5] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.01 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.26    7.28 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.08                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
+                  0.14    0.00    7.28 ^ mprj/u_mac_wrap/_08942_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.45    7.73 v mprj/u_mac_wrap/_08942_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
+                  0.08    0.00    7.73 v mprj/u_mac_wrap/_04543_/A (sky130_fd_sc_hd__xnor2_2)
+                  0.22    0.25    7.98 ^ mprj/u_mac_wrap/_04543_/Y (sky130_fd_sc_hd__xnor2_2)
+     3    0.01                           mprj/u_mac_wrap/_01852_ (net)
+                  0.22    0.00    7.98 ^ mprj/u_mac_wrap/_04544_/B (sky130_fd_sc_hd__xor2_2)
+                  0.25    0.27    8.25 ^ mprj/u_mac_wrap/_04544_/X (sky130_fd_sc_hd__xor2_2)
+     4    0.02                           mprj/u_mac_wrap/_01853_ (net)
+                  0.25    0.00    8.25 ^ mprj/u_mac_wrap/_04548_/B (sky130_fd_sc_hd__xor2_1)
+                  0.28    0.30    8.56 ^ mprj/u_mac_wrap/_04548_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01857_ (net)
+                  0.28    0.00    8.56 ^ mprj/u_mac_wrap/_04550_/B (sky130_fd_sc_hd__xor2_1)
+                  0.26    0.29    8.85 ^ mprj/u_mac_wrap/_04550_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01859_ (net)
+                  0.26    0.00    8.85 ^ mprj/u_mac_wrap/_04551_/B (sky130_fd_sc_hd__xor2_1)
+                  0.09    0.13    8.98 v mprj/u_mac_wrap/_04551_/X (sky130_fd_sc_hd__xor2_1)
+     2    0.01                           mprj/u_mac_wrap/_01860_ (net)
+                  0.09    0.00    8.98 v mprj/u_mac_wrap/_04556_/A2 (sky130_fd_sc_hd__a211o_1)
+                  0.08    0.36    9.34 v mprj/u_mac_wrap/_04556_/X (sky130_fd_sc_hd__a211o_1)
+     3    0.01                           mprj/u_mac_wrap/_01865_ (net)
+                  0.08    0.00    9.34 v mprj/u_mac_wrap/_04567_/C (sky130_fd_sc_hd__or4_1)
+                  0.13    0.56    9.89 v mprj/u_mac_wrap/_04567_/X (sky130_fd_sc_hd__or4_1)
+     2    0.01                           mprj/u_mac_wrap/_01876_ (net)
+                  0.13    0.00    9.89 v mprj/u_mac_wrap/_04568_/A (sky130_fd_sc_hd__inv_2)
+                  0.04    0.07    9.97 ^ mprj/u_mac_wrap/_04568_/Y (sky130_fd_sc_hd__inv_2)
+     1    0.00                           mprj/u_mac_wrap/_01877_ (net)
+                  0.04    0.00    9.97 ^ mprj/u_mac_wrap/_04583_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.18    0.30   10.27 ^ mprj/u_mac_wrap/_04583_/X (sky130_fd_sc_hd__o2111a_1)
+     4    0.02                           mprj/u_mac_wrap/_01889_ (net)
+                  0.18    0.00   10.27 ^ mprj/u_mac_wrap/_04618_/A_N (sky130_fd_sc_hd__and4bb_2)
+                  0.10    0.33   10.59 v mprj/u_mac_wrap/_04618_/X (sky130_fd_sc_hd__and4bb_2)
+     4    0.03                           mprj/u_mac_wrap/_01917_ (net)
+                  0.10    0.00   10.60 v mprj/u_mac_wrap/fanout251/A (sky130_fd_sc_hd__buf_4)
+                  0.10    0.24   10.83 v mprj/u_mac_wrap/fanout251/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_mac_wrap/net251 (net)
+                  0.10    0.00   10.84 v mprj/u_mac_wrap/_06760_/A_N (sky130_fd_sc_hd__nand2b_2)
+                  0.14    0.29   11.13 v mprj/u_mac_wrap/_06760_/Y (sky130_fd_sc_hd__nand2b_2)
+     8    0.03                           mprj/u_mac_wrap/_03203_ (net)
+                  0.14    0.00   11.13 v mprj/u_mac_wrap/fanout242/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.10    0.21   11.34 v mprj/u_mac_wrap/fanout242/X (sky130_fd_sc_hd__clkbuf_2)
+     6    0.02                           mprj/u_mac_wrap/net242 (net)
+                  0.10    0.00   11.34 v mprj/u_mac_wrap/_06876_/A1 (sky130_fd_sc_hd__o32a_1)
+                  0.05    0.37   11.71 v mprj/u_mac_wrap/_06876_/X (sky130_fd_sc_hd__o32a_1)
+     1    0.00                           mprj/u_mac_wrap/_00873_ (net)
+                  0.05    0.00   11.71 v mprj/u_mac_wrap/_09423_/D (sky130_fd_sc_hd__dfstp_1)
+                                 11.71   data arrival time
+
+                         40.00   40.00   clock mac_tx_clk (rise edge)
+                          0.00   40.00   clock source latency
+                  1.00    0.00   40.00 ^ mprj_io[5] (inout)
+     1    6.12                           mprj_io[5] (net)
+                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[5] (net)
+                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00   41.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10   41.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00   41.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21   41.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15   41.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00   41.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27   41.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02   41.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43   42.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09   42.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34   42.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00   42.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15   43.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00   43.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42   43.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01   43.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34   43.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01   43.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20   44.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00   44.13 ^ mprj/u_mac_wrap/clkbuf_4_12_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16   44.28 ^ mprj/u_mac_wrap/clkbuf_4_12_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     9    0.04                           mprj/u_mac_wrap/clknet_4_12_0_phy_tx_clk (net)
+                  0.08    0.00   44.28 ^ mprj/u_mac_wrap/_09423_/CLK (sky130_fd_sc_hd__dfstp_1)
+                         -0.25   44.03   clock uncertainty
+                          2.44   46.47   clock reconvergence pessimism
+                         -0.06   46.41   library setup time
+                                 46.41   data required time
+-----------------------------------------------------------------------------
+                                 46.41   data required time
+                                -11.71   data arrival time
+-----------------------------------------------------------------------------
+                                 34.70   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08942_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj/u_mac_wrap/_09425_
+          (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Path Group: mac_tx_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1   11.12                           mprj_io[5] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[5] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.01 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.26    7.28 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.08                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
+                  0.14    0.00    7.28 ^ mprj/u_mac_wrap/_08942_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.45    7.73 v mprj/u_mac_wrap/_08942_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
+                  0.08    0.00    7.73 v mprj/u_mac_wrap/_04543_/A (sky130_fd_sc_hd__xnor2_2)
+                  0.22    0.25    7.98 ^ mprj/u_mac_wrap/_04543_/Y (sky130_fd_sc_hd__xnor2_2)
+     3    0.01                           mprj/u_mac_wrap/_01852_ (net)
+                  0.22    0.00    7.98 ^ mprj/u_mac_wrap/_04544_/B (sky130_fd_sc_hd__xor2_2)
+                  0.25    0.27    8.25 ^ mprj/u_mac_wrap/_04544_/X (sky130_fd_sc_hd__xor2_2)
+     4    0.02                           mprj/u_mac_wrap/_01853_ (net)
+                  0.25    0.00    8.25 ^ mprj/u_mac_wrap/_04548_/B (sky130_fd_sc_hd__xor2_1)
+                  0.28    0.30    8.56 ^ mprj/u_mac_wrap/_04548_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01857_ (net)
+                  0.28    0.00    8.56 ^ mprj/u_mac_wrap/_04550_/B (sky130_fd_sc_hd__xor2_1)
+                  0.26    0.29    8.85 ^ mprj/u_mac_wrap/_04550_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01859_ (net)
+                  0.26    0.00    8.85 ^ mprj/u_mac_wrap/_04551_/B (sky130_fd_sc_hd__xor2_1)
+                  0.09    0.13    8.98 v mprj/u_mac_wrap/_04551_/X (sky130_fd_sc_hd__xor2_1)
+     2    0.01                           mprj/u_mac_wrap/_01860_ (net)
+                  0.09    0.00    8.98 v mprj/u_mac_wrap/_04556_/A2 (sky130_fd_sc_hd__a211o_1)
+                  0.08    0.36    9.34 v mprj/u_mac_wrap/_04556_/X (sky130_fd_sc_hd__a211o_1)
+     3    0.01                           mprj/u_mac_wrap/_01865_ (net)
+                  0.08    0.00    9.34 v mprj/u_mac_wrap/_04567_/C (sky130_fd_sc_hd__or4_1)
+                  0.13    0.56    9.89 v mprj/u_mac_wrap/_04567_/X (sky130_fd_sc_hd__or4_1)
+     2    0.01                           mprj/u_mac_wrap/_01876_ (net)
+                  0.13    0.00    9.89 v mprj/u_mac_wrap/_04568_/A (sky130_fd_sc_hd__inv_2)
+                  0.04    0.07    9.97 ^ mprj/u_mac_wrap/_04568_/Y (sky130_fd_sc_hd__inv_2)
+     1    0.00                           mprj/u_mac_wrap/_01877_ (net)
+                  0.04    0.00    9.97 ^ mprj/u_mac_wrap/_04583_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.18    0.30   10.27 ^ mprj/u_mac_wrap/_04583_/X (sky130_fd_sc_hd__o2111a_1)
+     4    0.02                           mprj/u_mac_wrap/_01889_ (net)
+                  0.18    0.00   10.27 ^ mprj/u_mac_wrap/_04618_/A_N (sky130_fd_sc_hd__and4bb_2)
+                  0.10    0.33   10.59 v mprj/u_mac_wrap/_04618_/X (sky130_fd_sc_hd__and4bb_2)
+     4    0.03                           mprj/u_mac_wrap/_01917_ (net)
+                  0.10    0.00   10.60 v mprj/u_mac_wrap/fanout251/A (sky130_fd_sc_hd__buf_4)
+                  0.10    0.24   10.83 v mprj/u_mac_wrap/fanout251/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_mac_wrap/net251 (net)
+                  0.10    0.00   10.84 v mprj/u_mac_wrap/_06760_/A_N (sky130_fd_sc_hd__nand2b_2)
+                  0.14    0.29   11.13 v mprj/u_mac_wrap/_06760_/Y (sky130_fd_sc_hd__nand2b_2)
+     8    0.03                           mprj/u_mac_wrap/_03203_ (net)
+                  0.14    0.00   11.13 v mprj/u_mac_wrap/fanout240/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.11    0.22   11.35 v mprj/u_mac_wrap/fanout240/X (sky130_fd_sc_hd__clkbuf_2)
+     6    0.03                           mprj/u_mac_wrap/net240 (net)
+                  0.11    0.00   11.35 v mprj/u_mac_wrap/_06884_/A1 (sky130_fd_sc_hd__o32a_1)
+                  0.05    0.37   11.73 v mprj/u_mac_wrap/_06884_/X (sky130_fd_sc_hd__o32a_1)
      1    0.00                           mprj/u_mac_wrap/_00875_ (net)
-                  0.06    0.00    9.56 ^ mprj/u_mac_wrap/_09375_/D (sky130_fd_sc_hd__dfstp_1)
-                                  9.56   data arrival time
+                  0.05    0.00   11.73 v mprj/u_mac_wrap/_09425_/D (sky130_fd_sc_hd__dfstp_1)
+                                 11.73   data arrival time
 
                          40.00   40.00   clock mac_tx_clk (rise edge)
                           0.00   40.00   clock source latency
@@ -144745,34 +163660,1262 @@
                   0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[5] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21   41.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00   41.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15   41.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00   41.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37   42.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01   42.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32   42.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01   42.60 ^ mprj/u_mac_wrap/clkbuf_4_4_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.18   42.78 ^ mprj/u_mac_wrap/clkbuf_4_4_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     9    0.03                           mprj/u_mac_wrap/clknet_4_4_0_phy_tx_clk (net)
-                  0.07    0.00   42.78 ^ mprj/u_mac_wrap/_09375_/CLK (sky130_fd_sc_hd__dfstp_1)
-                         -0.25   42.53   clock uncertainty
-                          2.32   44.85   clock reconvergence pessimism
-                         -0.05   44.79   library setup time
-                                 44.79   data required time
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15   41.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00   41.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27   41.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02   41.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43   42.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09   42.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34   42.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00   42.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15   43.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00   43.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42   43.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01   43.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34   43.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01   43.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20   44.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00   44.13 ^ mprj/u_mac_wrap/clkbuf_4_7_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.10    0.17   44.30 ^ mprj/u_mac_wrap/clkbuf_4_7_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    22    0.05                           mprj/u_mac_wrap/clknet_4_7_0_phy_tx_clk (net)
+                  0.10    0.00   44.30 ^ mprj/u_mac_wrap/_09425_/CLK (sky130_fd_sc_hd__dfstp_1)
+                         -0.25   44.05   clock uncertainty
+                          2.44   46.49   clock reconvergence pessimism
+                         -0.06   46.43   library setup time
+                                 46.43   data required time
 -----------------------------------------------------------------------------
-                                 44.79   data required time
-                                 -9.56   data arrival time
+                                 46.43   data required time
+                                -11.73   data arrival time
 -----------------------------------------------------------------------------
-                                 35.24   slack (MET)
+                                 34.71   slack (MET)
 
 
-Startpoint: mprj/u_mac_wrap/_08892_
+Startpoint: mprj/u_mac_wrap/_08942_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj/u_mac_wrap/_09418_
+          (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Path Group: mac_tx_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1   11.12                           mprj_io[5] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[5] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.01 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.26    7.28 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.08                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
+                  0.14    0.00    7.28 ^ mprj/u_mac_wrap/_08942_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.45    7.73 v mprj/u_mac_wrap/_08942_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
+                  0.08    0.00    7.73 v mprj/u_mac_wrap/_04543_/A (sky130_fd_sc_hd__xnor2_2)
+                  0.22    0.25    7.98 ^ mprj/u_mac_wrap/_04543_/Y (sky130_fd_sc_hd__xnor2_2)
+     3    0.01                           mprj/u_mac_wrap/_01852_ (net)
+                  0.22    0.00    7.98 ^ mprj/u_mac_wrap/_04544_/B (sky130_fd_sc_hd__xor2_2)
+                  0.25    0.27    8.25 ^ mprj/u_mac_wrap/_04544_/X (sky130_fd_sc_hd__xor2_2)
+     4    0.02                           mprj/u_mac_wrap/_01853_ (net)
+                  0.25    0.00    8.25 ^ mprj/u_mac_wrap/_04548_/B (sky130_fd_sc_hd__xor2_1)
+                  0.28    0.30    8.56 ^ mprj/u_mac_wrap/_04548_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01857_ (net)
+                  0.28    0.00    8.56 ^ mprj/u_mac_wrap/_04550_/B (sky130_fd_sc_hd__xor2_1)
+                  0.26    0.29    8.85 ^ mprj/u_mac_wrap/_04550_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01859_ (net)
+                  0.26    0.00    8.85 ^ mprj/u_mac_wrap/_04551_/B (sky130_fd_sc_hd__xor2_1)
+                  0.09    0.13    8.98 v mprj/u_mac_wrap/_04551_/X (sky130_fd_sc_hd__xor2_1)
+     2    0.01                           mprj/u_mac_wrap/_01860_ (net)
+                  0.09    0.00    8.98 v mprj/u_mac_wrap/_04556_/A2 (sky130_fd_sc_hd__a211o_1)
+                  0.08    0.36    9.34 v mprj/u_mac_wrap/_04556_/X (sky130_fd_sc_hd__a211o_1)
+     3    0.01                           mprj/u_mac_wrap/_01865_ (net)
+                  0.08    0.00    9.34 v mprj/u_mac_wrap/_04567_/C (sky130_fd_sc_hd__or4_1)
+                  0.13    0.56    9.89 v mprj/u_mac_wrap/_04567_/X (sky130_fd_sc_hd__or4_1)
+     2    0.01                           mprj/u_mac_wrap/_01876_ (net)
+                  0.13    0.00    9.89 v mprj/u_mac_wrap/_04568_/A (sky130_fd_sc_hd__inv_2)
+                  0.04    0.07    9.97 ^ mprj/u_mac_wrap/_04568_/Y (sky130_fd_sc_hd__inv_2)
+     1    0.00                           mprj/u_mac_wrap/_01877_ (net)
+                  0.04    0.00    9.97 ^ mprj/u_mac_wrap/_04583_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.18    0.30   10.27 ^ mprj/u_mac_wrap/_04583_/X (sky130_fd_sc_hd__o2111a_1)
+     4    0.02                           mprj/u_mac_wrap/_01889_ (net)
+                  0.18    0.00   10.27 ^ mprj/u_mac_wrap/_04618_/A_N (sky130_fd_sc_hd__and4bb_2)
+                  0.10    0.33   10.59 v mprj/u_mac_wrap/_04618_/X (sky130_fd_sc_hd__and4bb_2)
+     4    0.03                           mprj/u_mac_wrap/_01917_ (net)
+                  0.10    0.00   10.60 v mprj/u_mac_wrap/fanout251/A (sky130_fd_sc_hd__buf_4)
+                  0.10    0.24   10.83 v mprj/u_mac_wrap/fanout251/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_mac_wrap/net251 (net)
+                  0.10    0.00   10.84 v mprj/u_mac_wrap/_06757_/B (sky130_fd_sc_hd__or2_2)
+                  0.12    0.39   11.22 v mprj/u_mac_wrap/_06757_/X (sky130_fd_sc_hd__or2_2)
+     8    0.03                           mprj/u_mac_wrap/_03200_ (net)
+                  0.12    0.00   11.22 v mprj/u_mac_wrap/fanout245/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.13    0.26   11.49 v mprj/u_mac_wrap/fanout245/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.06                           mprj/u_mac_wrap/net245 (net)
+                  0.13    0.00   11.49 v mprj/u_mac_wrap/_06846_/A2 (sky130_fd_sc_hd__o22a_1)
+                  0.04    0.23   11.72 v mprj/u_mac_wrap/_06846_/X (sky130_fd_sc_hd__o22a_1)
+     1    0.00                           mprj/u_mac_wrap/_00868_ (net)
+                  0.04    0.00   11.72 v mprj/u_mac_wrap/_09418_/D (sky130_fd_sc_hd__dfstp_1)
+                                 11.72   data arrival time
+
+                         40.00   40.00   clock mac_tx_clk (rise edge)
+                          0.00   40.00   clock source latency
+                  1.00    0.00   40.00 ^ mprj_io[5] (inout)
+     1    6.12                           mprj_io[5] (net)
+                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[5] (net)
+                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00   41.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10   41.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00   41.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21   41.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15   41.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00   41.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27   41.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02   41.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43   42.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09   42.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34   42.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00   42.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15   43.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00   43.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42   43.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01   43.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34   43.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01   43.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20   44.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00   44.13 ^ mprj/u_mac_wrap/clkbuf_4_7_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.10    0.17   44.30 ^ mprj/u_mac_wrap/clkbuf_4_7_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    22    0.05                           mprj/u_mac_wrap/clknet_4_7_0_phy_tx_clk (net)
+                  0.10    0.00   44.30 ^ mprj/u_mac_wrap/_09418_/CLK (sky130_fd_sc_hd__dfstp_1)
+                         -0.25   44.05   clock uncertainty
+                          2.44   46.49   clock reconvergence pessimism
+                         -0.05   46.44   library setup time
+                                 46.44   data required time
+-----------------------------------------------------------------------------
+                                 46.44   data required time
+                                -11.72   data arrival time
+-----------------------------------------------------------------------------
+                                 34.72   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08942_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj/u_mac_wrap/_09426_
+          (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Path Group: mac_tx_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1   11.12                           mprj_io[5] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[5] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.01 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.26    7.28 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.08                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
+                  0.14    0.00    7.28 ^ mprj/u_mac_wrap/_08942_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.45    7.73 v mprj/u_mac_wrap/_08942_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
+                  0.08    0.00    7.73 v mprj/u_mac_wrap/_04543_/A (sky130_fd_sc_hd__xnor2_2)
+                  0.22    0.25    7.98 ^ mprj/u_mac_wrap/_04543_/Y (sky130_fd_sc_hd__xnor2_2)
+     3    0.01                           mprj/u_mac_wrap/_01852_ (net)
+                  0.22    0.00    7.98 ^ mprj/u_mac_wrap/_04544_/B (sky130_fd_sc_hd__xor2_2)
+                  0.25    0.27    8.25 ^ mprj/u_mac_wrap/_04544_/X (sky130_fd_sc_hd__xor2_2)
+     4    0.02                           mprj/u_mac_wrap/_01853_ (net)
+                  0.25    0.00    8.25 ^ mprj/u_mac_wrap/_04548_/B (sky130_fd_sc_hd__xor2_1)
+                  0.28    0.30    8.56 ^ mprj/u_mac_wrap/_04548_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01857_ (net)
+                  0.28    0.00    8.56 ^ mprj/u_mac_wrap/_04550_/B (sky130_fd_sc_hd__xor2_1)
+                  0.26    0.29    8.85 ^ mprj/u_mac_wrap/_04550_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01859_ (net)
+                  0.26    0.00    8.85 ^ mprj/u_mac_wrap/_04551_/B (sky130_fd_sc_hd__xor2_1)
+                  0.09    0.13    8.98 v mprj/u_mac_wrap/_04551_/X (sky130_fd_sc_hd__xor2_1)
+     2    0.01                           mprj/u_mac_wrap/_01860_ (net)
+                  0.09    0.00    8.98 v mprj/u_mac_wrap/_04556_/A2 (sky130_fd_sc_hd__a211o_1)
+                  0.08    0.36    9.34 v mprj/u_mac_wrap/_04556_/X (sky130_fd_sc_hd__a211o_1)
+     3    0.01                           mprj/u_mac_wrap/_01865_ (net)
+                  0.08    0.00    9.34 v mprj/u_mac_wrap/_04567_/C (sky130_fd_sc_hd__or4_1)
+                  0.13    0.56    9.89 v mprj/u_mac_wrap/_04567_/X (sky130_fd_sc_hd__or4_1)
+     2    0.01                           mprj/u_mac_wrap/_01876_ (net)
+                  0.13    0.00    9.89 v mprj/u_mac_wrap/_04568_/A (sky130_fd_sc_hd__inv_2)
+                  0.04    0.07    9.97 ^ mprj/u_mac_wrap/_04568_/Y (sky130_fd_sc_hd__inv_2)
+     1    0.00                           mprj/u_mac_wrap/_01877_ (net)
+                  0.04    0.00    9.97 ^ mprj/u_mac_wrap/_04583_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.18    0.30   10.27 ^ mprj/u_mac_wrap/_04583_/X (sky130_fd_sc_hd__o2111a_1)
+     4    0.02                           mprj/u_mac_wrap/_01889_ (net)
+                  0.18    0.00   10.27 ^ mprj/u_mac_wrap/_04618_/A_N (sky130_fd_sc_hd__and4bb_2)
+                  0.10    0.33   10.59 v mprj/u_mac_wrap/_04618_/X (sky130_fd_sc_hd__and4bb_2)
+     4    0.03                           mprj/u_mac_wrap/_01917_ (net)
+                  0.10    0.00   10.60 v mprj/u_mac_wrap/fanout251/A (sky130_fd_sc_hd__buf_4)
+                  0.10    0.24   10.83 v mprj/u_mac_wrap/fanout251/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_mac_wrap/net251 (net)
+                  0.10    0.00   10.84 v mprj/u_mac_wrap/_06757_/B (sky130_fd_sc_hd__or2_2)
+                  0.12    0.39   11.22 v mprj/u_mac_wrap/_06757_/X (sky130_fd_sc_hd__or2_2)
+     8    0.03                           mprj/u_mac_wrap/_03200_ (net)
+                  0.12    0.00   11.22 v mprj/u_mac_wrap/fanout245/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.13    0.26   11.49 v mprj/u_mac_wrap/fanout245/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.06                           mprj/u_mac_wrap/net245 (net)
+                  0.13    0.00   11.49 v mprj/u_mac_wrap/_06886_/A2 (sky130_fd_sc_hd__o22a_1)
+                  0.04    0.23   11.72 v mprj/u_mac_wrap/_06886_/X (sky130_fd_sc_hd__o22a_1)
+     1    0.00                           mprj/u_mac_wrap/_00876_ (net)
+                  0.04    0.00   11.72 v mprj/u_mac_wrap/_09426_/D (sky130_fd_sc_hd__dfstp_1)
+                                 11.72   data arrival time
+
+                         40.00   40.00   clock mac_tx_clk (rise edge)
+                          0.00   40.00   clock source latency
+                  1.00    0.00   40.00 ^ mprj_io[5] (inout)
+     1    6.12                           mprj_io[5] (net)
+                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[5] (net)
+                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00   41.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10   41.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00   41.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21   41.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15   41.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00   41.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27   41.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02   41.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43   42.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09   42.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34   42.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00   42.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15   43.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00   43.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42   43.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01   43.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34   43.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01   43.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20   44.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00   44.13 ^ mprj/u_mac_wrap/clkbuf_4_7_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.10    0.17   44.30 ^ mprj/u_mac_wrap/clkbuf_4_7_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    22    0.05                           mprj/u_mac_wrap/clknet_4_7_0_phy_tx_clk (net)
+                  0.10    0.00   44.30 ^ mprj/u_mac_wrap/_09426_/CLK (sky130_fd_sc_hd__dfstp_1)
+                         -0.25   44.05   clock uncertainty
+                          2.44   46.49   clock reconvergence pessimism
+                         -0.05   46.44   library setup time
+                                 46.44   data required time
+-----------------------------------------------------------------------------
+                                 46.44   data required time
+                                -11.72   data arrival time
+-----------------------------------------------------------------------------
+                                 34.72   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08942_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj/u_mac_wrap/_08949_
+          (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Path Group: mac_tx_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1   11.12                           mprj_io[5] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[5] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.01 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.26    7.28 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.08                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
+                  0.14    0.00    7.28 ^ mprj/u_mac_wrap/_08942_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.45    7.73 v mprj/u_mac_wrap/_08942_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
+                  0.08    0.00    7.73 v mprj/u_mac_wrap/_04543_/A (sky130_fd_sc_hd__xnor2_2)
+                  0.22    0.25    7.98 ^ mprj/u_mac_wrap/_04543_/Y (sky130_fd_sc_hd__xnor2_2)
+     3    0.01                           mprj/u_mac_wrap/_01852_ (net)
+                  0.22    0.00    7.98 ^ mprj/u_mac_wrap/_04544_/B (sky130_fd_sc_hd__xor2_2)
+                  0.25    0.27    8.25 ^ mprj/u_mac_wrap/_04544_/X (sky130_fd_sc_hd__xor2_2)
+     4    0.02                           mprj/u_mac_wrap/_01853_ (net)
+                  0.25    0.00    8.25 ^ mprj/u_mac_wrap/_04548_/B (sky130_fd_sc_hd__xor2_1)
+                  0.28    0.30    8.56 ^ mprj/u_mac_wrap/_04548_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01857_ (net)
+                  0.28    0.00    8.56 ^ mprj/u_mac_wrap/_04550_/B (sky130_fd_sc_hd__xor2_1)
+                  0.26    0.29    8.85 ^ mprj/u_mac_wrap/_04550_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01859_ (net)
+                  0.26    0.00    8.85 ^ mprj/u_mac_wrap/_04551_/B (sky130_fd_sc_hd__xor2_1)
+                  0.09    0.13    8.98 v mprj/u_mac_wrap/_04551_/X (sky130_fd_sc_hd__xor2_1)
+     2    0.01                           mprj/u_mac_wrap/_01860_ (net)
+                  0.09    0.00    8.98 v mprj/u_mac_wrap/_04556_/A2 (sky130_fd_sc_hd__a211o_1)
+                  0.08    0.36    9.34 v mprj/u_mac_wrap/_04556_/X (sky130_fd_sc_hd__a211o_1)
+     3    0.01                           mprj/u_mac_wrap/_01865_ (net)
+                  0.08    0.00    9.34 v mprj/u_mac_wrap/_04567_/C (sky130_fd_sc_hd__or4_1)
+                  0.13    0.56    9.89 v mprj/u_mac_wrap/_04567_/X (sky130_fd_sc_hd__or4_1)
+     2    0.01                           mprj/u_mac_wrap/_01876_ (net)
+                  0.13    0.00    9.89 v mprj/u_mac_wrap/_04568_/A (sky130_fd_sc_hd__inv_2)
+                  0.04    0.07    9.97 ^ mprj/u_mac_wrap/_04568_/Y (sky130_fd_sc_hd__inv_2)
+     1    0.00                           mprj/u_mac_wrap/_01877_ (net)
+                  0.04    0.00    9.97 ^ mprj/u_mac_wrap/_04583_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.18    0.30   10.27 ^ mprj/u_mac_wrap/_04583_/X (sky130_fd_sc_hd__o2111a_1)
+     4    0.02                           mprj/u_mac_wrap/_01889_ (net)
+                  0.18    0.00   10.27 ^ mprj/u_mac_wrap/_04618_/A_N (sky130_fd_sc_hd__and4bb_2)
+                  0.10    0.33   10.59 v mprj/u_mac_wrap/_04618_/X (sky130_fd_sc_hd__and4bb_2)
+     4    0.03                           mprj/u_mac_wrap/_01917_ (net)
+                  0.10    0.00   10.60 v mprj/u_mac_wrap/fanout251/A (sky130_fd_sc_hd__buf_4)
+                  0.10    0.24   10.83 v mprj/u_mac_wrap/fanout251/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_mac_wrap/net251 (net)
+                  0.10    0.00   10.83 v mprj/u_mac_wrap/_05773_/B1 (sky130_fd_sc_hd__a31oi_1)
+                  0.13    0.16   10.99 ^ mprj/u_mac_wrap/_05773_/Y (sky130_fd_sc_hd__a31oi_1)
+     1    0.00                           mprj/u_mac_wrap/_02610_ (net)
+                  0.13    0.00   10.99 ^ mprj/u_mac_wrap/fanout249/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.18    0.27   11.26 ^ mprj/u_mac_wrap/fanout249/X (sky130_fd_sc_hd__clkbuf_4)
+    16    0.06                           mprj/u_mac_wrap/net249 (net)
+                  0.18    0.00   11.26 ^ mprj/u_mac_wrap/fanout248/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.29   11.55 ^ mprj/u_mac_wrap/fanout248/X (sky130_fd_sc_hd__buf_2)
+    12    0.04                           mprj/u_mac_wrap/net248 (net)
+                  0.22    0.00   11.55 ^ mprj/u_mac_wrap/fanout247/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.19    0.31   11.86 ^ mprj/u_mac_wrap/fanout247/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.06                           mprj/u_mac_wrap/net247 (net)
+                  0.19    0.00   11.87 ^ mprj/u_mac_wrap/_05774_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.35   12.21 v mprj/u_mac_wrap/_05774_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00456_ (net)
+                  0.05    0.00   12.21 v mprj/u_mac_wrap/_08949_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 12.21   data arrival time
+
+                         40.00   40.00   clock mac_tx_clk (rise edge)
+                          0.00   40.00   clock source latency
+                  1.00    0.00   40.00 ^ mprj_io[5] (inout)
+     1    6.12                           mprj_io[5] (net)
+                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[5] (net)
+                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00   41.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10   41.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00   41.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21   41.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15   41.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00   41.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27   41.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02   41.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43   42.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09   42.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34   42.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00   42.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15   43.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00   43.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42   43.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01   43.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34   43.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01   43.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20   44.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00   44.12 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.17   44.29 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00   44.29 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.24   44.53 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01   44.54 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.24   44.79 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.08                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
+                  0.14    0.00   44.79 ^ mprj/u_mac_wrap/_08949_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   44.54   clock uncertainty
+                          2.49   47.03   clock reconvergence pessimism
+                         -0.10   46.93   library setup time
+                                 46.93   data required time
+-----------------------------------------------------------------------------
+                                 46.93   data required time
+                                -12.21   data arrival time
+-----------------------------------------------------------------------------
+                                 34.72   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08942_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj/u_mac_wrap/_09439_
+          (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Path Group: mac_tx_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1   11.12                           mprj_io[5] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[5] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.01 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.26    7.28 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.08                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
+                  0.14    0.00    7.28 ^ mprj/u_mac_wrap/_08942_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.45    7.73 v mprj/u_mac_wrap/_08942_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
+                  0.08    0.00    7.73 v mprj/u_mac_wrap/_04543_/A (sky130_fd_sc_hd__xnor2_2)
+                  0.22    0.25    7.98 ^ mprj/u_mac_wrap/_04543_/Y (sky130_fd_sc_hd__xnor2_2)
+     3    0.01                           mprj/u_mac_wrap/_01852_ (net)
+                  0.22    0.00    7.98 ^ mprj/u_mac_wrap/_04544_/B (sky130_fd_sc_hd__xor2_2)
+                  0.25    0.27    8.25 ^ mprj/u_mac_wrap/_04544_/X (sky130_fd_sc_hd__xor2_2)
+     4    0.02                           mprj/u_mac_wrap/_01853_ (net)
+                  0.25    0.00    8.25 ^ mprj/u_mac_wrap/_04548_/B (sky130_fd_sc_hd__xor2_1)
+                  0.28    0.30    8.56 ^ mprj/u_mac_wrap/_04548_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01857_ (net)
+                  0.28    0.00    8.56 ^ mprj/u_mac_wrap/_04550_/B (sky130_fd_sc_hd__xor2_1)
+                  0.26    0.29    8.85 ^ mprj/u_mac_wrap/_04550_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01859_ (net)
+                  0.26    0.00    8.85 ^ mprj/u_mac_wrap/_04551_/B (sky130_fd_sc_hd__xor2_1)
+                  0.09    0.13    8.98 v mprj/u_mac_wrap/_04551_/X (sky130_fd_sc_hd__xor2_1)
+     2    0.01                           mprj/u_mac_wrap/_01860_ (net)
+                  0.09    0.00    8.98 v mprj/u_mac_wrap/_04556_/A2 (sky130_fd_sc_hd__a211o_1)
+                  0.08    0.36    9.34 v mprj/u_mac_wrap/_04556_/X (sky130_fd_sc_hd__a211o_1)
+     3    0.01                           mprj/u_mac_wrap/_01865_ (net)
+                  0.08    0.00    9.34 v mprj/u_mac_wrap/_04567_/C (sky130_fd_sc_hd__or4_1)
+                  0.13    0.56    9.89 v mprj/u_mac_wrap/_04567_/X (sky130_fd_sc_hd__or4_1)
+     2    0.01                           mprj/u_mac_wrap/_01876_ (net)
+                  0.13    0.00    9.89 v mprj/u_mac_wrap/_04568_/A (sky130_fd_sc_hd__inv_2)
+                  0.04    0.07    9.97 ^ mprj/u_mac_wrap/_04568_/Y (sky130_fd_sc_hd__inv_2)
+     1    0.00                           mprj/u_mac_wrap/_01877_ (net)
+                  0.04    0.00    9.97 ^ mprj/u_mac_wrap/_04583_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.18    0.30   10.27 ^ mprj/u_mac_wrap/_04583_/X (sky130_fd_sc_hd__o2111a_1)
+     4    0.02                           mprj/u_mac_wrap/_01889_ (net)
+                  0.18    0.00   10.27 ^ mprj/u_mac_wrap/_04618_/A_N (sky130_fd_sc_hd__and4bb_2)
+                  0.10    0.33   10.59 v mprj/u_mac_wrap/_04618_/X (sky130_fd_sc_hd__and4bb_2)
+     4    0.03                           mprj/u_mac_wrap/_01917_ (net)
+                  0.10    0.00   10.60 v mprj/u_mac_wrap/fanout251/A (sky130_fd_sc_hd__buf_4)
+                  0.10    0.24   10.83 v mprj/u_mac_wrap/fanout251/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_mac_wrap/net251 (net)
+                  0.10    0.00   10.83 v mprj/u_mac_wrap/_05773_/B1 (sky130_fd_sc_hd__a31oi_1)
+                  0.13    0.16   10.99 ^ mprj/u_mac_wrap/_05773_/Y (sky130_fd_sc_hd__a31oi_1)
+     1    0.00                           mprj/u_mac_wrap/_02610_ (net)
+                  0.13    0.00   10.99 ^ mprj/u_mac_wrap/fanout249/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.18    0.27   11.26 ^ mprj/u_mac_wrap/fanout249/X (sky130_fd_sc_hd__clkbuf_4)
+    16    0.06                           mprj/u_mac_wrap/net249 (net)
+                  0.18    0.00   11.26 ^ mprj/u_mac_wrap/fanout248/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.29   11.55 ^ mprj/u_mac_wrap/fanout248/X (sky130_fd_sc_hd__buf_2)
+    12    0.04                           mprj/u_mac_wrap/net248 (net)
+                  0.22    0.00   11.55 ^ mprj/u_mac_wrap/fanout247/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.19    0.31   11.86 ^ mprj/u_mac_wrap/fanout247/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.06                           mprj/u_mac_wrap/net247 (net)
+                  0.19    0.00   11.86 ^ mprj/u_mac_wrap/_07091_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.35   12.21 v mprj/u_mac_wrap/_07091_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00889_ (net)
+                  0.05    0.00   12.21 v mprj/u_mac_wrap/_09439_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 12.21   data arrival time
+
+                         40.00   40.00   clock mac_tx_clk (rise edge)
+                          0.00   40.00   clock source latency
+                  1.00    0.00   40.00 ^ mprj_io[5] (inout)
+     1    6.12                           mprj_io[5] (net)
+                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[5] (net)
+                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00   41.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10   41.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00   41.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21   41.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15   41.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00   41.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27   41.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02   41.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43   42.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09   42.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34   42.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00   42.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15   43.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00   43.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42   43.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01   43.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34   43.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01   43.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20   44.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00   44.12 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.17   44.29 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00   44.29 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.24   44.53 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01   44.54 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.24   44.79 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.08                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
+                  0.14    0.00   44.79 ^ mprj/u_mac_wrap/_09439_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   44.54   clock uncertainty
+                          2.49   47.03   clock reconvergence pessimism
+                         -0.10   46.93   library setup time
+                                 46.93   data required time
+-----------------------------------------------------------------------------
+                                 46.93   data required time
+                                -12.21   data arrival time
+-----------------------------------------------------------------------------
+                                 34.72   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08942_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj/u_mac_wrap/_08535_
+          (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Path Group: mac_tx_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1   11.12                           mprj_io[5] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[5] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.01 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.26    7.28 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.08                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
+                  0.14    0.00    7.28 ^ mprj/u_mac_wrap/_08942_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.45    7.73 v mprj/u_mac_wrap/_08942_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
+                  0.08    0.00    7.73 v mprj/u_mac_wrap/_04543_/A (sky130_fd_sc_hd__xnor2_2)
+                  0.22    0.25    7.98 ^ mprj/u_mac_wrap/_04543_/Y (sky130_fd_sc_hd__xnor2_2)
+     3    0.01                           mprj/u_mac_wrap/_01852_ (net)
+                  0.22    0.00    7.98 ^ mprj/u_mac_wrap/_04544_/B (sky130_fd_sc_hd__xor2_2)
+                  0.25    0.27    8.25 ^ mprj/u_mac_wrap/_04544_/X (sky130_fd_sc_hd__xor2_2)
+     4    0.02                           mprj/u_mac_wrap/_01853_ (net)
+                  0.25    0.00    8.25 ^ mprj/u_mac_wrap/_04548_/B (sky130_fd_sc_hd__xor2_1)
+                  0.28    0.30    8.56 ^ mprj/u_mac_wrap/_04548_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01857_ (net)
+                  0.28    0.00    8.56 ^ mprj/u_mac_wrap/_04550_/B (sky130_fd_sc_hd__xor2_1)
+                  0.26    0.29    8.85 ^ mprj/u_mac_wrap/_04550_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01859_ (net)
+                  0.26    0.00    8.85 ^ mprj/u_mac_wrap/_04551_/B (sky130_fd_sc_hd__xor2_1)
+                  0.17    0.23    9.07 ^ mprj/u_mac_wrap/_04551_/X (sky130_fd_sc_hd__xor2_1)
+     2    0.01                           mprj/u_mac_wrap/_01860_ (net)
+                  0.17    0.00    9.07 ^ mprj/u_mac_wrap/_04556_/A2 (sky130_fd_sc_hd__a211o_1)
+                  0.11    0.21    9.28 ^ mprj/u_mac_wrap/_04556_/X (sky130_fd_sc_hd__a211o_1)
+     3    0.01                           mprj/u_mac_wrap/_01865_ (net)
+                  0.11    0.00    9.28 ^ mprj/u_mac_wrap/_04558_/B2 (sky130_fd_sc_hd__a221oi_2)
+                  0.08    0.08    9.36 v mprj/u_mac_wrap/_04558_/Y (sky130_fd_sc_hd__a221oi_2)
+     2    0.01                           mprj/u_mac_wrap/_01867_ (net)
+                  0.08    0.00    9.36 v mprj/u_mac_wrap/_04561_/B (sky130_fd_sc_hd__or4_1)
+                  0.10    0.55    9.91 v mprj/u_mac_wrap/_04561_/X (sky130_fd_sc_hd__or4_1)
+     2    0.00                           mprj/u_mac_wrap/_01870_ (net)
+                  0.10    0.00    9.91 v mprj/u_mac_wrap/_04583_/B1 (sky130_fd_sc_hd__o2111a_1)
+                  0.11    0.23   10.14 v mprj/u_mac_wrap/_04583_/X (sky130_fd_sc_hd__o2111a_1)
+     4    0.02                           mprj/u_mac_wrap/_01889_ (net)
+                  0.11    0.00   10.14 v mprj/u_mac_wrap/_04618_/A_N (sky130_fd_sc_hd__and4bb_2)
+                  0.18    0.44   10.57 ^ mprj/u_mac_wrap/_04618_/X (sky130_fd_sc_hd__and4bb_2)
+     4    0.03                           mprj/u_mac_wrap/_01917_ (net)
+                  0.18    0.00   10.57 ^ mprj/u_mac_wrap/fanout251/A (sky130_fd_sc_hd__buf_4)
+                  0.22    0.29   10.86 ^ mprj/u_mac_wrap/fanout251/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_mac_wrap/net251 (net)
+                  0.22    0.01   10.87 ^ mprj/u_mac_wrap/_04912_/A1 (sky130_fd_sc_hd__a21o_2)
+                  0.23    0.31   11.18 ^ mprj/u_mac_wrap/_04912_/X (sky130_fd_sc_hd__a21o_2)
+    14    0.05                           mprj/u_mac_wrap/_02119_ (net)
+                  0.23    0.00   11.19 ^ mprj/u_mac_wrap/_04928_/B (sky130_fd_sc_hd__nand2_1)
+                  0.14    0.18   11.37 v mprj/u_mac_wrap/_04928_/Y (sky130_fd_sc_hd__nand2_1)
+     3    0.02                           mprj/u_mac_wrap/_02134_ (net)
+                  0.14    0.00   11.37 v mprj/u_mac_wrap/_04954_/B1 (sky130_fd_sc_hd__o211a_1)
+                  0.05    0.18   11.55 v mprj/u_mac_wrap/_04954_/X (sky130_fd_sc_hd__o211a_1)
+     1    0.01                           mprj/u_mac_wrap/_02158_ (net)
+                  0.05    0.00   11.55 v mprj/u_mac_wrap/_04965_/C1 (sky130_fd_sc_hd__a211o_1)
+                  0.07    0.26   11.81 v mprj/u_mac_wrap/_04965_/X (sky130_fd_sc_hd__a211o_1)
+     1    0.01                           mprj/u_mac_wrap/_00089_ (net)
+                  0.07    0.00   11.81 v mprj/u_mac_wrap/_08535_/D (sky130_fd_sc_hd__dfrtp_4)
+                                 11.81   data arrival time
+
+                         40.00   40.00   clock mac_tx_clk (rise edge)
+                          0.00   40.00   clock source latency
+                  1.00    0.00   40.00 ^ mprj_io[5] (inout)
+     1    6.12                           mprj_io[5] (net)
+                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[5] (net)
+                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00   41.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10   41.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00   41.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21   41.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15   41.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00   41.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27   41.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02   41.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43   42.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09   42.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34   42.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00   42.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15   43.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00   43.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42   43.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01   43.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34   43.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01   43.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20   44.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00   44.12 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.17   44.29 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.14    0.00   44.30 ^ mprj/u_mac_wrap/clkbuf_4_10_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.16   44.46 ^ mprj/u_mac_wrap/clkbuf_4_10_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     6    0.02                           mprj/u_mac_wrap/clknet_4_10_0_phy_tx_clk (net)
+                  0.06    0.00   44.46 ^ mprj/u_mac_wrap/_08535_/CLK (sky130_fd_sc_hd__dfrtp_4)
+                         -0.25   44.21   clock uncertainty
+                          2.45   46.66   clock reconvergence pessimism
+                         -0.12   46.54   library setup time
+                                 46.54   data required time
+-----------------------------------------------------------------------------
+                                 46.54   data required time
+                                -11.81   data arrival time
+-----------------------------------------------------------------------------
+                                 34.73   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08942_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj/u_mac_wrap/_09411_
+          (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Path Group: mac_tx_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1   11.12                           mprj_io[5] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[5] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.01 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.26    7.28 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.08                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
+                  0.14    0.00    7.28 ^ mprj/u_mac_wrap/_08942_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.45    7.73 v mprj/u_mac_wrap/_08942_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
+                  0.08    0.00    7.73 v mprj/u_mac_wrap/_04543_/A (sky130_fd_sc_hd__xnor2_2)
+                  0.22    0.25    7.98 ^ mprj/u_mac_wrap/_04543_/Y (sky130_fd_sc_hd__xnor2_2)
+     3    0.01                           mprj/u_mac_wrap/_01852_ (net)
+                  0.22    0.00    7.98 ^ mprj/u_mac_wrap/_04544_/B (sky130_fd_sc_hd__xor2_2)
+                  0.25    0.27    8.25 ^ mprj/u_mac_wrap/_04544_/X (sky130_fd_sc_hd__xor2_2)
+     4    0.02                           mprj/u_mac_wrap/_01853_ (net)
+                  0.25    0.00    8.25 ^ mprj/u_mac_wrap/_04548_/B (sky130_fd_sc_hd__xor2_1)
+                  0.28    0.30    8.56 ^ mprj/u_mac_wrap/_04548_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01857_ (net)
+                  0.28    0.00    8.56 ^ mprj/u_mac_wrap/_04550_/B (sky130_fd_sc_hd__xor2_1)
+                  0.26    0.29    8.85 ^ mprj/u_mac_wrap/_04550_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01859_ (net)
+                  0.26    0.00    8.85 ^ mprj/u_mac_wrap/_04551_/B (sky130_fd_sc_hd__xor2_1)
+                  0.09    0.13    8.98 v mprj/u_mac_wrap/_04551_/X (sky130_fd_sc_hd__xor2_1)
+     2    0.01                           mprj/u_mac_wrap/_01860_ (net)
+                  0.09    0.00    8.98 v mprj/u_mac_wrap/_04556_/A2 (sky130_fd_sc_hd__a211o_1)
+                  0.08    0.36    9.34 v mprj/u_mac_wrap/_04556_/X (sky130_fd_sc_hd__a211o_1)
+     3    0.01                           mprj/u_mac_wrap/_01865_ (net)
+                  0.08    0.00    9.34 v mprj/u_mac_wrap/_04567_/C (sky130_fd_sc_hd__or4_1)
+                  0.13    0.56    9.89 v mprj/u_mac_wrap/_04567_/X (sky130_fd_sc_hd__or4_1)
+     2    0.01                           mprj/u_mac_wrap/_01876_ (net)
+                  0.13    0.00    9.89 v mprj/u_mac_wrap/_04568_/A (sky130_fd_sc_hd__inv_2)
+                  0.04    0.07    9.97 ^ mprj/u_mac_wrap/_04568_/Y (sky130_fd_sc_hd__inv_2)
+     1    0.00                           mprj/u_mac_wrap/_01877_ (net)
+                  0.04    0.00    9.97 ^ mprj/u_mac_wrap/_04583_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.18    0.30   10.27 ^ mprj/u_mac_wrap/_04583_/X (sky130_fd_sc_hd__o2111a_1)
+     4    0.02                           mprj/u_mac_wrap/_01889_ (net)
+                  0.18    0.00   10.27 ^ mprj/u_mac_wrap/_04618_/A_N (sky130_fd_sc_hd__and4bb_2)
+                  0.10    0.33   10.59 v mprj/u_mac_wrap/_04618_/X (sky130_fd_sc_hd__and4bb_2)
+     4    0.03                           mprj/u_mac_wrap/_01917_ (net)
+                  0.10    0.00   10.60 v mprj/u_mac_wrap/fanout251/A (sky130_fd_sc_hd__buf_4)
+                  0.10    0.24   10.83 v mprj/u_mac_wrap/fanout251/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_mac_wrap/net251 (net)
+                  0.10    0.00   10.84 v mprj/u_mac_wrap/_06757_/B (sky130_fd_sc_hd__or2_2)
+                  0.12    0.39   11.22 v mprj/u_mac_wrap/_06757_/X (sky130_fd_sc_hd__or2_2)
+     8    0.03                           mprj/u_mac_wrap/_03200_ (net)
+                  0.12    0.00   11.22 v mprj/u_mac_wrap/fanout243/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.11    0.25   11.47 v mprj/u_mac_wrap/fanout243/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.05                           mprj/u_mac_wrap/net243 (net)
+                  0.11    0.00   11.48 v mprj/u_mac_wrap/_06812_/A2 (sky130_fd_sc_hd__o22a_1)
+                  0.04    0.22   11.70 v mprj/u_mac_wrap/_06812_/X (sky130_fd_sc_hd__o22a_1)
+     1    0.00                           mprj/u_mac_wrap/_00861_ (net)
+                  0.04    0.00   11.70 v mprj/u_mac_wrap/_09411_/D (sky130_fd_sc_hd__dfstp_1)
+                                 11.70   data arrival time
+
+                         40.00   40.00   clock mac_tx_clk (rise edge)
+                          0.00   40.00   clock source latency
+                  1.00    0.00   40.00 ^ mprj_io[5] (inout)
+     1    6.12                           mprj_io[5] (net)
+                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[5] (net)
+                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00   41.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10   41.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00   41.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21   41.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15   41.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00   41.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27   41.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02   41.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43   42.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09   42.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34   42.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00   42.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15   43.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00   43.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42   43.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01   43.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34   43.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01   43.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20   44.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00   44.13 ^ mprj/u_mac_wrap/clkbuf_4_7_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.10    0.17   44.30 ^ mprj/u_mac_wrap/clkbuf_4_7_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    22    0.05                           mprj/u_mac_wrap/clknet_4_7_0_phy_tx_clk (net)
+                  0.10    0.00   44.30 ^ mprj/u_mac_wrap/_09411_/CLK (sky130_fd_sc_hd__dfstp_1)
+                         -0.25   44.05   clock uncertainty
+                          2.44   46.49   clock reconvergence pessimism
+                         -0.05   46.44   library setup time
+                                 46.44   data required time
+-----------------------------------------------------------------------------
+                                 46.44   data required time
+                                -11.70   data arrival time
+-----------------------------------------------------------------------------
+                                 34.74   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08942_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj/u_mac_wrap/_09430_
+          (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Path Group: mac_tx_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1   11.12                           mprj_io[5] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[5] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.01 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.26    7.28 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.08                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
+                  0.14    0.00    7.28 ^ mprj/u_mac_wrap/_08942_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.45    7.73 v mprj/u_mac_wrap/_08942_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
+                  0.08    0.00    7.73 v mprj/u_mac_wrap/_04543_/A (sky130_fd_sc_hd__xnor2_2)
+                  0.22    0.25    7.98 ^ mprj/u_mac_wrap/_04543_/Y (sky130_fd_sc_hd__xnor2_2)
+     3    0.01                           mprj/u_mac_wrap/_01852_ (net)
+                  0.22    0.00    7.98 ^ mprj/u_mac_wrap/_04544_/B (sky130_fd_sc_hd__xor2_2)
+                  0.25    0.27    8.25 ^ mprj/u_mac_wrap/_04544_/X (sky130_fd_sc_hd__xor2_2)
+     4    0.02                           mprj/u_mac_wrap/_01853_ (net)
+                  0.25    0.00    8.25 ^ mprj/u_mac_wrap/_04548_/B (sky130_fd_sc_hd__xor2_1)
+                  0.28    0.30    8.56 ^ mprj/u_mac_wrap/_04548_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01857_ (net)
+                  0.28    0.00    8.56 ^ mprj/u_mac_wrap/_04550_/B (sky130_fd_sc_hd__xor2_1)
+                  0.26    0.29    8.85 ^ mprj/u_mac_wrap/_04550_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01859_ (net)
+                  0.26    0.00    8.85 ^ mprj/u_mac_wrap/_04551_/B (sky130_fd_sc_hd__xor2_1)
+                  0.09    0.13    8.98 v mprj/u_mac_wrap/_04551_/X (sky130_fd_sc_hd__xor2_1)
+     2    0.01                           mprj/u_mac_wrap/_01860_ (net)
+                  0.09    0.00    8.98 v mprj/u_mac_wrap/_04556_/A2 (sky130_fd_sc_hd__a211o_1)
+                  0.08    0.36    9.34 v mprj/u_mac_wrap/_04556_/X (sky130_fd_sc_hd__a211o_1)
+     3    0.01                           mprj/u_mac_wrap/_01865_ (net)
+                  0.08    0.00    9.34 v mprj/u_mac_wrap/_04567_/C (sky130_fd_sc_hd__or4_1)
+                  0.13    0.56    9.89 v mprj/u_mac_wrap/_04567_/X (sky130_fd_sc_hd__or4_1)
+     2    0.01                           mprj/u_mac_wrap/_01876_ (net)
+                  0.13    0.00    9.89 v mprj/u_mac_wrap/_04568_/A (sky130_fd_sc_hd__inv_2)
+                  0.04    0.07    9.97 ^ mprj/u_mac_wrap/_04568_/Y (sky130_fd_sc_hd__inv_2)
+     1    0.00                           mprj/u_mac_wrap/_01877_ (net)
+                  0.04    0.00    9.97 ^ mprj/u_mac_wrap/_04583_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.18    0.30   10.27 ^ mprj/u_mac_wrap/_04583_/X (sky130_fd_sc_hd__o2111a_1)
+     4    0.02                           mprj/u_mac_wrap/_01889_ (net)
+                  0.18    0.00   10.27 ^ mprj/u_mac_wrap/_04618_/A_N (sky130_fd_sc_hd__and4bb_2)
+                  0.10    0.33   10.59 v mprj/u_mac_wrap/_04618_/X (sky130_fd_sc_hd__and4bb_2)
+     4    0.03                           mprj/u_mac_wrap/_01917_ (net)
+                  0.10    0.00   10.60 v mprj/u_mac_wrap/fanout251/A (sky130_fd_sc_hd__buf_4)
+                  0.10    0.24   10.83 v mprj/u_mac_wrap/fanout251/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_mac_wrap/net251 (net)
+                  0.10    0.00   10.84 v mprj/u_mac_wrap/_06757_/B (sky130_fd_sc_hd__or2_2)
+                  0.12    0.39   11.22 v mprj/u_mac_wrap/_06757_/X (sky130_fd_sc_hd__or2_2)
+     8    0.03                           mprj/u_mac_wrap/_03200_ (net)
+                  0.12    0.00   11.22 v mprj/u_mac_wrap/fanout244/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.22   11.44 v mprj/u_mac_wrap/fanout244/X (sky130_fd_sc_hd__clkbuf_2)
+     6    0.03                           mprj/u_mac_wrap/net244 (net)
+                  0.12    0.00   11.44 v mprj/u_mac_wrap/_06898_/A2 (sky130_fd_sc_hd__o22a_1)
+                  0.04    0.23   11.67 v mprj/u_mac_wrap/_06898_/X (sky130_fd_sc_hd__o22a_1)
+     1    0.00                           mprj/u_mac_wrap/_00880_ (net)
+                  0.04    0.00   11.67 v mprj/u_mac_wrap/_09430_/D (sky130_fd_sc_hd__dfstp_1)
+                                 11.67   data arrival time
+
+                         40.00   40.00   clock mac_tx_clk (rise edge)
+                          0.00   40.00   clock source latency
+                  1.00    0.00   40.00 ^ mprj_io[5] (inout)
+     1    6.12                           mprj_io[5] (net)
+                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[5] (net)
+                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00   41.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10   41.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00   41.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21   41.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15   41.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00   41.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27   41.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02   41.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43   42.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09   42.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34   42.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00   42.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15   43.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00   43.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42   43.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01   43.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34   43.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01   43.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20   44.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00   44.13 ^ mprj/u_mac_wrap/clkbuf_4_7_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.10    0.17   44.30 ^ mprj/u_mac_wrap/clkbuf_4_7_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    22    0.05                           mprj/u_mac_wrap/clknet_4_7_0_phy_tx_clk (net)
+                  0.10    0.00   44.30 ^ mprj/u_mac_wrap/_09430_/CLK (sky130_fd_sc_hd__dfstp_1)
+                         -0.25   44.05   clock uncertainty
+                          2.44   46.49   clock reconvergence pessimism
+                         -0.06   46.44   library setup time
+                                 46.44   data required time
+-----------------------------------------------------------------------------
+                                 46.44   data required time
+                                -11.67   data arrival time
+-----------------------------------------------------------------------------
+                                 34.77   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08942_
             (rising edge-triggered flip-flop clocked by mac_tx_clk)
 Endpoint: mprj/u_mac_wrap/_09417_
           (rising edge-triggered flip-flop clocked by mac_tx_clk)
@@ -144798,1933 +164941,89 @@
                   0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.92 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.21    5.13 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    13    0.04                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
-                  0.09    0.00    5.13 ^ mprj/u_mac_wrap/_08892_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.08    0.43    5.56 v mprj/u_mac_wrap/_08892_/Q (sky130_fd_sc_hd__dfrtp_1)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.01 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.26    7.28 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.08                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
+                  0.14    0.00    7.28 ^ mprj/u_mac_wrap/_08942_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.45    7.73 v mprj/u_mac_wrap/_08942_/Q (sky130_fd_sc_hd__dfrtp_1)
      2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
-                  0.08    0.00    5.56 v mprj/u_mac_wrap/_04513_/A (sky130_fd_sc_hd__xnor2_2)
-                  0.23    0.26    5.82 ^ mprj/u_mac_wrap/_04513_/Y (sky130_fd_sc_hd__xnor2_2)
-     3    0.01                           mprj/u_mac_wrap/_01847_ (net)
-                  0.23    0.00    5.82 ^ mprj/u_mac_wrap/_04514_/B (sky130_fd_sc_hd__xor2_2)
-                  0.22    0.26    6.07 ^ mprj/u_mac_wrap/_04514_/X (sky130_fd_sc_hd__xor2_2)
-     4    0.02                           mprj/u_mac_wrap/_01848_ (net)
-                  0.22    0.00    6.07 ^ mprj/u_mac_wrap/_04518_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.37 ^ mprj/u_mac_wrap/_04518_/X (sky130_fd_sc_hd__xor2_1)
+                  0.08    0.00    7.73 v mprj/u_mac_wrap/_04543_/A (sky130_fd_sc_hd__xnor2_2)
+                  0.22    0.25    7.98 ^ mprj/u_mac_wrap/_04543_/Y (sky130_fd_sc_hd__xnor2_2)
      3    0.01                           mprj/u_mac_wrap/_01852_ (net)
-                  0.26    0.00    6.37 ^ mprj/u_mac_wrap/_04520_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.65 ^ mprj/u_mac_wrap/_04520_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01854_ (net)
-                  0.26    0.00    6.65 ^ mprj/u_mac_wrap/_04521_/B (sky130_fd_sc_hd__xor2_1)
-                  0.17    0.23    6.88 ^ mprj/u_mac_wrap/_04521_/X (sky130_fd_sc_hd__xor2_1)
-     2    0.01                           mprj/u_mac_wrap/_01855_ (net)
-                  0.17    0.00    6.88 ^ mprj/u_mac_wrap/_04526_/A2 (sky130_fd_sc_hd__a211o_1)
-                  0.09    0.19    7.07 ^ mprj/u_mac_wrap/_04526_/X (sky130_fd_sc_hd__a211o_1)
-     3    0.01                           mprj/u_mac_wrap/_01860_ (net)
-                  0.09    0.00    7.07 ^ mprj/u_mac_wrap/_04528_/B2 (sky130_fd_sc_hd__a221oi_1)
-                  0.10    0.10    7.16 v mprj/u_mac_wrap/_04528_/Y (sky130_fd_sc_hd__a221oi_1)
-     2    0.01                           mprj/u_mac_wrap/_01862_ (net)
-                  0.10    0.00    7.16 v mprj/u_mac_wrap/_04531_/B (sky130_fd_sc_hd__or4_1)
-                  0.10    0.56    7.72 v mprj/u_mac_wrap/_04531_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01865_ (net)
-                  0.10    0.00    7.72 v mprj/u_mac_wrap/_04554_/B1 (sky130_fd_sc_hd__o2111a_1)
-                  0.15    0.27    7.99 v mprj/u_mac_wrap/_04554_/X (sky130_fd_sc_hd__o2111a_1)
-     6    0.03                           mprj/u_mac_wrap/_01885_ (net)
-                  0.15    0.00    7.99 v mprj/u_mac_wrap/_04592_/A (sky130_fd_sc_hd__nor4b_2)
-                  0.42    0.50    8.49 ^ mprj/u_mac_wrap/_04592_/Y (sky130_fd_sc_hd__nor4b_2)
-     2    0.02                           mprj/u_mac_wrap/_01916_ (net)
-                  0.42    0.00    8.49 ^ mprj/u_mac_wrap/fanout254/A (sky130_fd_sc_hd__buf_4)
-                  0.21    0.33    8.82 ^ mprj/u_mac_wrap/fanout254/X (sky130_fd_sc_hd__buf_4)
-    20    0.07                           mprj/u_mac_wrap/net254 (net)
-                  0.21    0.00    8.82 ^ mprj/u_mac_wrap/_04889_/A0 (sky130_fd_sc_hd__mux2_4)
-                  0.18    0.32    9.14 ^ mprj/u_mac_wrap/_04889_/X (sky130_fd_sc_hd__mux2_4)
-    18    0.06                           mprj/u_mac_wrap/_02121_ (net)
-                  0.18    0.01    9.14 ^ mprj/u_mac_wrap/_07066_/B (sky130_fd_sc_hd__or3b_1)
-                  0.05    0.15    9.29 ^ mprj/u_mac_wrap/_07066_/X (sky130_fd_sc_hd__or3b_1)
-     1    0.00                           mprj/u_mac_wrap/_03487_ (net)
-                  0.05    0.00    9.29 ^ mprj/u_mac_wrap/_07067_/B1_N (sky130_fd_sc_hd__a21bo_1)
-                  0.03    0.20    9.49 v mprj/u_mac_wrap/_07067_/X (sky130_fd_sc_hd__a21bo_1)
-     1    0.00                           mprj/u_mac_wrap/_00897_ (net)
-                  0.03    0.00    9.49 v mprj/u_mac_wrap/_09417_/D (sky130_fd_sc_hd__dfrtp_4)
-                                  9.49   data arrival time
-
-                         40.00   40.00   clock mac_tx_clk (rise edge)
-                          0.00   40.00   clock source latency
-                  1.00    0.00   40.00 ^ mprj_io[5] (inout)
-     1    6.12                           mprj_io[5] (net)
-                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[5] (net)
-                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00   41.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10   41.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00   41.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21   41.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21   41.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00   41.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15   41.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00   41.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37   42.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01   42.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32   42.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.00   42.60 ^ mprj/u_mac_wrap/clkbuf_4_11_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.17   42.77 ^ mprj/u_mac_wrap/clkbuf_4_11_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     6    0.02                           mprj/u_mac_wrap/clknet_4_11_0_phy_tx_clk (net)
-                  0.05    0.00   42.77 ^ mprj/u_mac_wrap/_09417_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                         -0.25   42.52   clock uncertainty
-                          2.32   44.84   clock reconvergence pessimism
-                         -0.11   44.73   library setup time
-                                 44.73   data required time
------------------------------------------------------------------------------
-                                 44.73   data required time
-                                 -9.49   data arrival time
------------------------------------------------------------------------------
-                                 35.24   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_08892_
-            (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_09369_
-          (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Path Group: mac_tx_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1   11.12                           mprj_io[5] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[5] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.92 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.21    5.13 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    13    0.04                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
-                  0.09    0.00    5.13 ^ mprj/u_mac_wrap/_08892_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.08    0.43    5.56 v mprj/u_mac_wrap/_08892_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
-                  0.08    0.00    5.56 v mprj/u_mac_wrap/_04513_/A (sky130_fd_sc_hd__xnor2_2)
-                  0.23    0.26    5.82 ^ mprj/u_mac_wrap/_04513_/Y (sky130_fd_sc_hd__xnor2_2)
-     3    0.01                           mprj/u_mac_wrap/_01847_ (net)
-                  0.23    0.00    5.82 ^ mprj/u_mac_wrap/_04514_/B (sky130_fd_sc_hd__xor2_2)
-                  0.22    0.26    6.07 ^ mprj/u_mac_wrap/_04514_/X (sky130_fd_sc_hd__xor2_2)
-     4    0.02                           mprj/u_mac_wrap/_01848_ (net)
-                  0.22    0.00    6.07 ^ mprj/u_mac_wrap/_04518_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.37 ^ mprj/u_mac_wrap/_04518_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01852_ (net)
-                  0.26    0.00    6.37 ^ mprj/u_mac_wrap/_04520_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.65 ^ mprj/u_mac_wrap/_04520_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01854_ (net)
-                  0.26    0.00    6.65 ^ mprj/u_mac_wrap/_04521_/B (sky130_fd_sc_hd__xor2_1)
-                  0.17    0.23    6.88 ^ mprj/u_mac_wrap/_04521_/X (sky130_fd_sc_hd__xor2_1)
-     2    0.01                           mprj/u_mac_wrap/_01855_ (net)
-                  0.17    0.00    6.88 ^ mprj/u_mac_wrap/_04526_/A2 (sky130_fd_sc_hd__a211o_1)
-                  0.09    0.19    7.07 ^ mprj/u_mac_wrap/_04526_/X (sky130_fd_sc_hd__a211o_1)
-     3    0.01                           mprj/u_mac_wrap/_01860_ (net)
-                  0.09    0.00    7.07 ^ mprj/u_mac_wrap/_04528_/B2 (sky130_fd_sc_hd__a221oi_1)
-                  0.10    0.10    7.16 v mprj/u_mac_wrap/_04528_/Y (sky130_fd_sc_hd__a221oi_1)
-     2    0.01                           mprj/u_mac_wrap/_01862_ (net)
-                  0.10    0.00    7.16 v mprj/u_mac_wrap/_04531_/B (sky130_fd_sc_hd__or4_1)
-                  0.10    0.56    7.72 v mprj/u_mac_wrap/_04531_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01865_ (net)
-                  0.10    0.00    7.72 v mprj/u_mac_wrap/_04554_/B1 (sky130_fd_sc_hd__o2111a_1)
-                  0.15    0.27    7.99 v mprj/u_mac_wrap/_04554_/X (sky130_fd_sc_hd__o2111a_1)
-     6    0.03                           mprj/u_mac_wrap/_01885_ (net)
-                  0.15    0.00    7.99 v mprj/u_mac_wrap/_04592_/A (sky130_fd_sc_hd__nor4b_2)
-                  0.42    0.50    8.49 ^ mprj/u_mac_wrap/_04592_/Y (sky130_fd_sc_hd__nor4b_2)
-     2    0.02                           mprj/u_mac_wrap/_01916_ (net)
-                  0.42    0.00    8.49 ^ mprj/u_mac_wrap/fanout254/A (sky130_fd_sc_hd__buf_4)
-                  0.21    0.33    8.82 ^ mprj/u_mac_wrap/fanout254/X (sky130_fd_sc_hd__buf_4)
-    20    0.07                           mprj/u_mac_wrap/net254 (net)
-                  0.21    0.00    8.83 ^ mprj/u_mac_wrap/_06698_/B (sky130_fd_sc_hd__or2_1)
-                  0.18    0.24    9.06 ^ mprj/u_mac_wrap/_06698_/X (sky130_fd_sc_hd__or2_1)
-     3    0.02                           mprj/u_mac_wrap/_03166_ (net)
-                  0.18    0.00    9.06 ^ mprj/u_mac_wrap/fanout242/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.19    0.30    9.36 ^ mprj/u_mac_wrap/fanout242/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.06                           mprj/u_mac_wrap/net242 (net)
-                  0.19    0.00    9.36 ^ mprj/u_mac_wrap/_06797_/B1 (sky130_fd_sc_hd__o32a_1)
-                  0.05    0.19    9.55 ^ mprj/u_mac_wrap/_06797_/X (sky130_fd_sc_hd__o32a_1)
-     1    0.00                           mprj/u_mac_wrap/_00869_ (net)
-                  0.05    0.00    9.55 ^ mprj/u_mac_wrap/_09369_/D (sky130_fd_sc_hd__dfstp_1)
-                                  9.55   data arrival time
-
-                         40.00   40.00   clock mac_tx_clk (rise edge)
-                          0.00   40.00   clock source latency
-                  1.00    0.00   40.00 ^ mprj_io[5] (inout)
-     1    6.12                           mprj_io[5] (net)
-                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[5] (net)
-                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00   41.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10   41.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00   41.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21   41.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21   41.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00   41.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15   41.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00   41.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37   42.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01   42.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32   42.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01   42.60 ^ mprj/u_mac_wrap/clkbuf_4_5_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.18   42.78 ^ mprj/u_mac_wrap/clkbuf_4_5_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     8    0.03                           mprj/u_mac_wrap/clknet_4_5_0_phy_tx_clk (net)
-                  0.06    0.00   42.78 ^ mprj/u_mac_wrap/_09369_/CLK (sky130_fd_sc_hd__dfstp_1)
-                         -0.25   42.53   clock uncertainty
-                          2.32   44.85   clock reconvergence pessimism
-                         -0.05   44.79   library setup time
-                                 44.79   data required time
------------------------------------------------------------------------------
-                                 44.79   data required time
-                                 -9.55   data arrival time
------------------------------------------------------------------------------
-                                 35.24   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_08892_
-            (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_09353_
-          (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Path Group: mac_tx_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1   11.12                           mprj_io[5] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[5] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.92 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.21    5.13 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    13    0.04                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
-                  0.09    0.00    5.13 ^ mprj/u_mac_wrap/_08892_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.08    0.43    5.56 v mprj/u_mac_wrap/_08892_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
-                  0.08    0.00    5.56 v mprj/u_mac_wrap/_04513_/A (sky130_fd_sc_hd__xnor2_2)
-                  0.23    0.26    5.82 ^ mprj/u_mac_wrap/_04513_/Y (sky130_fd_sc_hd__xnor2_2)
-     3    0.01                           mprj/u_mac_wrap/_01847_ (net)
-                  0.23    0.00    5.82 ^ mprj/u_mac_wrap/_04514_/B (sky130_fd_sc_hd__xor2_2)
-                  0.22    0.26    6.07 ^ mprj/u_mac_wrap/_04514_/X (sky130_fd_sc_hd__xor2_2)
-     4    0.02                           mprj/u_mac_wrap/_01848_ (net)
-                  0.22    0.00    6.07 ^ mprj/u_mac_wrap/_04518_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.37 ^ mprj/u_mac_wrap/_04518_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01852_ (net)
-                  0.26    0.00    6.37 ^ mprj/u_mac_wrap/_04520_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.65 ^ mprj/u_mac_wrap/_04520_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01854_ (net)
-                  0.26    0.00    6.65 ^ mprj/u_mac_wrap/_04521_/B (sky130_fd_sc_hd__xor2_1)
-                  0.17    0.23    6.88 ^ mprj/u_mac_wrap/_04521_/X (sky130_fd_sc_hd__xor2_1)
-     2    0.01                           mprj/u_mac_wrap/_01855_ (net)
-                  0.17    0.00    6.88 ^ mprj/u_mac_wrap/_04526_/A2 (sky130_fd_sc_hd__a211o_1)
-                  0.09    0.19    7.07 ^ mprj/u_mac_wrap/_04526_/X (sky130_fd_sc_hd__a211o_1)
-     3    0.01                           mprj/u_mac_wrap/_01860_ (net)
-                  0.09    0.00    7.07 ^ mprj/u_mac_wrap/_04528_/B2 (sky130_fd_sc_hd__a221oi_1)
-                  0.10    0.10    7.16 v mprj/u_mac_wrap/_04528_/Y (sky130_fd_sc_hd__a221oi_1)
-     2    0.01                           mprj/u_mac_wrap/_01862_ (net)
-                  0.10    0.00    7.16 v mprj/u_mac_wrap/_04531_/B (sky130_fd_sc_hd__or4_1)
-                  0.10    0.56    7.72 v mprj/u_mac_wrap/_04531_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01865_ (net)
-                  0.10    0.00    7.72 v mprj/u_mac_wrap/_04554_/B1 (sky130_fd_sc_hd__o2111a_1)
-                  0.15    0.27    7.99 v mprj/u_mac_wrap/_04554_/X (sky130_fd_sc_hd__o2111a_1)
-     6    0.03                           mprj/u_mac_wrap/_01885_ (net)
-                  0.15    0.00    7.99 v mprj/u_mac_wrap/_04592_/A (sky130_fd_sc_hd__nor4b_2)
-                  0.42    0.50    8.49 ^ mprj/u_mac_wrap/_04592_/Y (sky130_fd_sc_hd__nor4b_2)
-     2    0.02                           mprj/u_mac_wrap/_01916_ (net)
-                  0.42    0.00    8.49 ^ mprj/u_mac_wrap/fanout254/A (sky130_fd_sc_hd__buf_4)
-                  0.21    0.33    8.82 ^ mprj/u_mac_wrap/fanout254/X (sky130_fd_sc_hd__buf_4)
-    20    0.07                           mprj/u_mac_wrap/net254 (net)
-                  0.21    0.00    8.83 ^ mprj/u_mac_wrap/_06698_/B (sky130_fd_sc_hd__or2_1)
-                  0.18    0.24    9.06 ^ mprj/u_mac_wrap/_06698_/X (sky130_fd_sc_hd__or2_1)
-     3    0.02                           mprj/u_mac_wrap/_03166_ (net)
-                  0.18    0.00    9.06 ^ mprj/u_mac_wrap/fanout242/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.19    0.30    9.36 ^ mprj/u_mac_wrap/fanout242/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.06                           mprj/u_mac_wrap/net242 (net)
-                  0.19    0.00    9.36 ^ mprj/u_mac_wrap/_06720_/B1 (sky130_fd_sc_hd__o32a_1)
-                  0.05    0.19    9.55 ^ mprj/u_mac_wrap/_06720_/X (sky130_fd_sc_hd__o32a_1)
-     1    0.00                           mprj/u_mac_wrap/_00853_ (net)
-                  0.05    0.00    9.55 ^ mprj/u_mac_wrap/_09353_/D (sky130_fd_sc_hd__dfstp_2)
-                                  9.55   data arrival time
-
-                         40.00   40.00   clock mac_tx_clk (rise edge)
-                          0.00   40.00   clock source latency
-                  1.00    0.00   40.00 ^ mprj_io[5] (inout)
-     1    6.12                           mprj_io[5] (net)
-                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[5] (net)
-                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00   41.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10   41.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00   41.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21   41.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21   41.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00   41.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15   41.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00   41.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37   42.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01   42.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32   42.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01   42.60 ^ mprj/u_mac_wrap/clkbuf_4_4_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.18   42.78 ^ mprj/u_mac_wrap/clkbuf_4_4_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     9    0.03                           mprj/u_mac_wrap/clknet_4_4_0_phy_tx_clk (net)
-                  0.07    0.00   42.78 ^ mprj/u_mac_wrap/_09353_/CLK (sky130_fd_sc_hd__dfstp_2)
-                         -0.25   42.53   clock uncertainty
-                          2.32   44.85   clock reconvergence pessimism
-                         -0.05   44.80   library setup time
-                                 44.80   data required time
------------------------------------------------------------------------------
-                                 44.80   data required time
-                                 -9.55   data arrival time
------------------------------------------------------------------------------
-                                 35.24   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_08892_
-            (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_09358_
-          (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Path Group: mac_tx_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1   11.12                           mprj_io[5] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[5] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.92 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.21    5.13 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    13    0.04                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
-                  0.09    0.00    5.13 ^ mprj/u_mac_wrap/_08892_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.08    0.43    5.56 v mprj/u_mac_wrap/_08892_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
-                  0.08    0.00    5.56 v mprj/u_mac_wrap/_04513_/A (sky130_fd_sc_hd__xnor2_2)
-                  0.23    0.26    5.82 ^ mprj/u_mac_wrap/_04513_/Y (sky130_fd_sc_hd__xnor2_2)
-     3    0.01                           mprj/u_mac_wrap/_01847_ (net)
-                  0.23    0.00    5.82 ^ mprj/u_mac_wrap/_04514_/B (sky130_fd_sc_hd__xor2_2)
-                  0.22    0.26    6.07 ^ mprj/u_mac_wrap/_04514_/X (sky130_fd_sc_hd__xor2_2)
-     4    0.02                           mprj/u_mac_wrap/_01848_ (net)
-                  0.22    0.00    6.07 ^ mprj/u_mac_wrap/_04518_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.37 ^ mprj/u_mac_wrap/_04518_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01852_ (net)
-                  0.26    0.00    6.37 ^ mprj/u_mac_wrap/_04520_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.65 ^ mprj/u_mac_wrap/_04520_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01854_ (net)
-                  0.26    0.00    6.65 ^ mprj/u_mac_wrap/_04521_/B (sky130_fd_sc_hd__xor2_1)
-                  0.17    0.23    6.88 ^ mprj/u_mac_wrap/_04521_/X (sky130_fd_sc_hd__xor2_1)
-     2    0.01                           mprj/u_mac_wrap/_01855_ (net)
-                  0.17    0.00    6.88 ^ mprj/u_mac_wrap/_04526_/A2 (sky130_fd_sc_hd__a211o_1)
-                  0.09    0.19    7.07 ^ mprj/u_mac_wrap/_04526_/X (sky130_fd_sc_hd__a211o_1)
-     3    0.01                           mprj/u_mac_wrap/_01860_ (net)
-                  0.09    0.00    7.07 ^ mprj/u_mac_wrap/_04528_/B2 (sky130_fd_sc_hd__a221oi_1)
-                  0.10    0.10    7.16 v mprj/u_mac_wrap/_04528_/Y (sky130_fd_sc_hd__a221oi_1)
-     2    0.01                           mprj/u_mac_wrap/_01862_ (net)
-                  0.10    0.00    7.16 v mprj/u_mac_wrap/_04531_/B (sky130_fd_sc_hd__or4_1)
-                  0.10    0.56    7.72 v mprj/u_mac_wrap/_04531_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01865_ (net)
-                  0.10    0.00    7.72 v mprj/u_mac_wrap/_04554_/B1 (sky130_fd_sc_hd__o2111a_1)
-                  0.15    0.27    7.99 v mprj/u_mac_wrap/_04554_/X (sky130_fd_sc_hd__o2111a_1)
-     6    0.03                           mprj/u_mac_wrap/_01885_ (net)
-                  0.15    0.00    7.99 v mprj/u_mac_wrap/_04592_/A (sky130_fd_sc_hd__nor4b_2)
-                  0.42    0.50    8.49 ^ mprj/u_mac_wrap/_04592_/Y (sky130_fd_sc_hd__nor4b_2)
-     2    0.02                           mprj/u_mac_wrap/_01916_ (net)
-                  0.42    0.00    8.49 ^ mprj/u_mac_wrap/fanout254/A (sky130_fd_sc_hd__buf_4)
-                  0.21    0.33    8.82 ^ mprj/u_mac_wrap/fanout254/X (sky130_fd_sc_hd__buf_4)
-    20    0.07                           mprj/u_mac_wrap/net254 (net)
-                  0.21    0.00    8.83 ^ mprj/u_mac_wrap/_06698_/B (sky130_fd_sc_hd__or2_1)
-                  0.18    0.24    9.06 ^ mprj/u_mac_wrap/_06698_/X (sky130_fd_sc_hd__or2_1)
-     3    0.02                           mprj/u_mac_wrap/_03166_ (net)
-                  0.18    0.00    9.06 ^ mprj/u_mac_wrap/fanout242/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.19    0.30    9.36 ^ mprj/u_mac_wrap/fanout242/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.06                           mprj/u_mac_wrap/net242 (net)
-                  0.19    0.00    9.37 ^ mprj/u_mac_wrap/_06747_/B1 (sky130_fd_sc_hd__o32a_1)
-                  0.05    0.19    9.55 ^ mprj/u_mac_wrap/_06747_/X (sky130_fd_sc_hd__o32a_1)
-     1    0.00                           mprj/u_mac_wrap/_00858_ (net)
-                  0.05    0.00    9.55 ^ mprj/u_mac_wrap/_09358_/D (sky130_fd_sc_hd__dfstp_1)
-                                  9.55   data arrival time
-
-                         40.00   40.00   clock mac_tx_clk (rise edge)
-                          0.00   40.00   clock source latency
-                  1.00    0.00   40.00 ^ mprj_io[5] (inout)
-     1    6.12                           mprj_io[5] (net)
-                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[5] (net)
-                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00   41.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10   41.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00   41.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21   41.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21   41.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00   41.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15   41.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00   41.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37   42.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01   42.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32   42.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.00   42.60 ^ mprj/u_mac_wrap/clkbuf_4_7_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.19   42.78 ^ mprj/u_mac_wrap/clkbuf_4_7_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     9    0.03                           mprj/u_mac_wrap/clknet_4_7_0_phy_tx_clk (net)
-                  0.07    0.00   42.78 ^ mprj/u_mac_wrap/_09358_/CLK (sky130_fd_sc_hd__dfstp_1)
-                         -0.25   42.53   clock uncertainty
-                          2.32   44.85   clock reconvergence pessimism
-                         -0.05   44.80   library setup time
-                                 44.80   data required time
------------------------------------------------------------------------------
-                                 44.80   data required time
-                                 -9.55   data arrival time
------------------------------------------------------------------------------
-                                 35.25   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_08892_
-            (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_09834_
-          (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Path Group: mac_tx_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1   11.12                           mprj_io[5] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[5] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.92 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.21    5.13 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    13    0.04                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
-                  0.09    0.00    5.13 ^ mprj/u_mac_wrap/_08892_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.08    0.43    5.56 v mprj/u_mac_wrap/_08892_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
-                  0.08    0.00    5.56 v mprj/u_mac_wrap/_04513_/A (sky130_fd_sc_hd__xnor2_2)
-                  0.23    0.26    5.82 ^ mprj/u_mac_wrap/_04513_/Y (sky130_fd_sc_hd__xnor2_2)
-     3    0.01                           mprj/u_mac_wrap/_01847_ (net)
-                  0.23    0.00    5.82 ^ mprj/u_mac_wrap/_04514_/B (sky130_fd_sc_hd__xor2_2)
-                  0.22    0.26    6.07 ^ mprj/u_mac_wrap/_04514_/X (sky130_fd_sc_hd__xor2_2)
-     4    0.02                           mprj/u_mac_wrap/_01848_ (net)
-                  0.22    0.00    6.07 ^ mprj/u_mac_wrap/_04518_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.37 ^ mprj/u_mac_wrap/_04518_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01852_ (net)
-                  0.26    0.00    6.37 ^ mprj/u_mac_wrap/_04520_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.65 ^ mprj/u_mac_wrap/_04520_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01854_ (net)
-                  0.26    0.00    6.65 ^ mprj/u_mac_wrap/_04521_/B (sky130_fd_sc_hd__xor2_1)
-                  0.17    0.23    6.88 ^ mprj/u_mac_wrap/_04521_/X (sky130_fd_sc_hd__xor2_1)
-     2    0.01                           mprj/u_mac_wrap/_01855_ (net)
-                  0.17    0.00    6.88 ^ mprj/u_mac_wrap/_04526_/A2 (sky130_fd_sc_hd__a211o_1)
-                  0.09    0.19    7.07 ^ mprj/u_mac_wrap/_04526_/X (sky130_fd_sc_hd__a211o_1)
-     3    0.01                           mprj/u_mac_wrap/_01860_ (net)
-                  0.09    0.00    7.07 ^ mprj/u_mac_wrap/_04528_/B2 (sky130_fd_sc_hd__a221oi_1)
-                  0.10    0.10    7.16 v mprj/u_mac_wrap/_04528_/Y (sky130_fd_sc_hd__a221oi_1)
-     2    0.01                           mprj/u_mac_wrap/_01862_ (net)
-                  0.10    0.00    7.16 v mprj/u_mac_wrap/_04531_/B (sky130_fd_sc_hd__or4_1)
-                  0.10    0.56    7.72 v mprj/u_mac_wrap/_04531_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01865_ (net)
-                  0.10    0.00    7.72 v mprj/u_mac_wrap/_04554_/B1 (sky130_fd_sc_hd__o2111a_1)
-                  0.15    0.27    7.99 v mprj/u_mac_wrap/_04554_/X (sky130_fd_sc_hd__o2111a_1)
-     6    0.03                           mprj/u_mac_wrap/_01885_ (net)
-                  0.15    0.00    7.99 v mprj/u_mac_wrap/_04593_/A (sky130_fd_sc_hd__or4b_4)
-                  0.16    0.72    8.71 v mprj/u_mac_wrap/_04593_/X (sky130_fd_sc_hd__or4b_4)
-    14    0.06                           mprj/u_mac_wrap/_01917_ (net)
-                  0.16    0.00    8.71 v mprj/u_mac_wrap/_07802_/A1 (sky130_fd_sc_hd__o311a_2)
-                  0.11    0.53    9.24 v mprj/u_mac_wrap/_07802_/X (sky130_fd_sc_hd__o311a_2)
-     7    0.03                           mprj/u_mac_wrap/_03848_ (net)
-                  0.11    0.00    9.24 v mprj/u_mac_wrap/_07810_/A2 (sky130_fd_sc_hd__o21ba_1)
-                  0.04    0.20    9.44 v mprj/u_mac_wrap/_07810_/X (sky130_fd_sc_hd__o21ba_1)
-     1    0.00                           mprj/u_mac_wrap/_03852_ (net)
-                  0.04    0.00    9.44 v mprj/u_mac_wrap/_07812_/A (sky130_fd_sc_hd__nor2_1)
-                  0.08    0.09    9.53 ^ mprj/u_mac_wrap/_07812_/Y (sky130_fd_sc_hd__nor2_1)
-     1    0.00                           mprj/u_mac_wrap/_01276_ (net)
-                  0.08    0.00    9.53 ^ mprj/u_mac_wrap/_09834_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  9.53   data arrival time
-
-                         40.00   40.00   clock mac_tx_clk (rise edge)
-                          0.00   40.00   clock source latency
-                  1.00    0.00   40.00 ^ mprj_io[5] (inout)
-     1    6.12                           mprj_io[5] (net)
-                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[5] (net)
-                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00   41.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10   41.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00   41.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21   41.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21   41.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00   41.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15   41.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00   41.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37   42.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01   42.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32   42.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.00   42.59 ^ mprj/u_mac_wrap/clkbuf_4_15_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.18   42.78 ^ mprj/u_mac_wrap/clkbuf_4_15_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    10    0.03                           mprj/u_mac_wrap/clknet_4_15_0_phy_tx_clk (net)
-                  0.07    0.00   42.78 ^ mprj/u_mac_wrap/_09834_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   42.53   clock uncertainty
-                          2.32   44.85   clock reconvergence pessimism
-                         -0.06   44.78   library setup time
-                                 44.78   data required time
------------------------------------------------------------------------------
-                                 44.78   data required time
-                                 -9.53   data arrival time
------------------------------------------------------------------------------
-                                 35.25   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_08892_
-            (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_09350_
-          (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Path Group: mac_tx_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1   11.12                           mprj_io[5] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[5] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.92 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.21    5.13 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    13    0.04                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
-                  0.09    0.00    5.13 ^ mprj/u_mac_wrap/_08892_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.08    0.43    5.56 v mprj/u_mac_wrap/_08892_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
-                  0.08    0.00    5.56 v mprj/u_mac_wrap/_04513_/A (sky130_fd_sc_hd__xnor2_2)
-                  0.23    0.26    5.82 ^ mprj/u_mac_wrap/_04513_/Y (sky130_fd_sc_hd__xnor2_2)
-     3    0.01                           mprj/u_mac_wrap/_01847_ (net)
-                  0.23    0.00    5.82 ^ mprj/u_mac_wrap/_04514_/B (sky130_fd_sc_hd__xor2_2)
-                  0.22    0.26    6.07 ^ mprj/u_mac_wrap/_04514_/X (sky130_fd_sc_hd__xor2_2)
-     4    0.02                           mprj/u_mac_wrap/_01848_ (net)
-                  0.22    0.00    6.07 ^ mprj/u_mac_wrap/_04518_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.37 ^ mprj/u_mac_wrap/_04518_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01852_ (net)
-                  0.26    0.00    6.37 ^ mprj/u_mac_wrap/_04520_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.65 ^ mprj/u_mac_wrap/_04520_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01854_ (net)
-                  0.26    0.00    6.65 ^ mprj/u_mac_wrap/_04521_/B (sky130_fd_sc_hd__xor2_1)
-                  0.17    0.23    6.88 ^ mprj/u_mac_wrap/_04521_/X (sky130_fd_sc_hd__xor2_1)
-     2    0.01                           mprj/u_mac_wrap/_01855_ (net)
-                  0.17    0.00    6.88 ^ mprj/u_mac_wrap/_04526_/A2 (sky130_fd_sc_hd__a211o_1)
-                  0.09    0.19    7.07 ^ mprj/u_mac_wrap/_04526_/X (sky130_fd_sc_hd__a211o_1)
-     3    0.01                           mprj/u_mac_wrap/_01860_ (net)
-                  0.09    0.00    7.07 ^ mprj/u_mac_wrap/_04528_/B2 (sky130_fd_sc_hd__a221oi_1)
-                  0.10    0.10    7.16 v mprj/u_mac_wrap/_04528_/Y (sky130_fd_sc_hd__a221oi_1)
-     2    0.01                           mprj/u_mac_wrap/_01862_ (net)
-                  0.10    0.00    7.16 v mprj/u_mac_wrap/_04531_/B (sky130_fd_sc_hd__or4_1)
-                  0.10    0.56    7.72 v mprj/u_mac_wrap/_04531_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01865_ (net)
-                  0.10    0.00    7.72 v mprj/u_mac_wrap/_04554_/B1 (sky130_fd_sc_hd__o2111a_1)
-                  0.15    0.27    7.99 v mprj/u_mac_wrap/_04554_/X (sky130_fd_sc_hd__o2111a_1)
-     6    0.03                           mprj/u_mac_wrap/_01885_ (net)
-                  0.15    0.00    7.99 v mprj/u_mac_wrap/_04592_/A (sky130_fd_sc_hd__nor4b_2)
-                  0.42    0.50    8.49 ^ mprj/u_mac_wrap/_04592_/Y (sky130_fd_sc_hd__nor4b_2)
-     2    0.02                           mprj/u_mac_wrap/_01916_ (net)
-                  0.42    0.00    8.49 ^ mprj/u_mac_wrap/fanout254/A (sky130_fd_sc_hd__buf_4)
-                  0.21    0.33    8.82 ^ mprj/u_mac_wrap/fanout254/X (sky130_fd_sc_hd__buf_4)
-    20    0.07                           mprj/u_mac_wrap/net254 (net)
-                  0.21    0.00    8.83 ^ mprj/u_mac_wrap/_06698_/B (sky130_fd_sc_hd__or2_1)
-                  0.18    0.24    9.06 ^ mprj/u_mac_wrap/_06698_/X (sky130_fd_sc_hd__or2_1)
-     3    0.02                           mprj/u_mac_wrap/_03166_ (net)
-                  0.18    0.00    9.06 ^ mprj/u_mac_wrap/fanout242/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.19    0.30    9.36 ^ mprj/u_mac_wrap/fanout242/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.06                           mprj/u_mac_wrap/net242 (net)
-                  0.19    0.00    9.37 ^ mprj/u_mac_wrap/_06702_/A2 (sky130_fd_sc_hd__o22a_1)
-                  0.04    0.16    9.53 ^ mprj/u_mac_wrap/_06702_/X (sky130_fd_sc_hd__o22a_1)
-     1    0.00                           mprj/u_mac_wrap/_00850_ (net)
-                  0.04    0.00    9.53 ^ mprj/u_mac_wrap/_09350_/D (sky130_fd_sc_hd__dfstp_2)
-                                  9.53   data arrival time
-
-                         40.00   40.00   clock mac_tx_clk (rise edge)
-                          0.00   40.00   clock source latency
-                  1.00    0.00   40.00 ^ mprj_io[5] (inout)
-     1    6.12                           mprj_io[5] (net)
-                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[5] (net)
-                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00   41.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10   41.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00   41.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21   41.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21   41.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00   41.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15   41.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00   41.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37   42.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01   42.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32   42.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.00   42.60 ^ mprj/u_mac_wrap/clkbuf_4_7_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.19   42.78 ^ mprj/u_mac_wrap/clkbuf_4_7_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     9    0.03                           mprj/u_mac_wrap/clknet_4_7_0_phy_tx_clk (net)
-                  0.07    0.00   42.78 ^ mprj/u_mac_wrap/_09350_/CLK (sky130_fd_sc_hd__dfstp_2)
-                         -0.25   42.53   clock uncertainty
-                          2.32   44.85   clock reconvergence pessimism
-                         -0.05   44.80   library setup time
-                                 44.80   data required time
------------------------------------------------------------------------------
-                                 44.80   data required time
-                                 -9.53   data arrival time
------------------------------------------------------------------------------
-                                 35.27   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_08892_
-            (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_08922_
-          (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Path Group: mac_tx_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1   11.12                           mprj_io[5] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[5] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.92 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.21    5.13 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    13    0.04                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
-                  0.09    0.00    5.13 ^ mprj/u_mac_wrap/_08892_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.08    0.43    5.56 v mprj/u_mac_wrap/_08892_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
-                  0.08    0.00    5.56 v mprj/u_mac_wrap/_04513_/A (sky130_fd_sc_hd__xnor2_2)
-                  0.23    0.26    5.82 ^ mprj/u_mac_wrap/_04513_/Y (sky130_fd_sc_hd__xnor2_2)
-     3    0.01                           mprj/u_mac_wrap/_01847_ (net)
-                  0.23    0.00    5.82 ^ mprj/u_mac_wrap/_04514_/B (sky130_fd_sc_hd__xor2_2)
-                  0.22    0.26    6.07 ^ mprj/u_mac_wrap/_04514_/X (sky130_fd_sc_hd__xor2_2)
-     4    0.02                           mprj/u_mac_wrap/_01848_ (net)
-                  0.22    0.00    6.07 ^ mprj/u_mac_wrap/_04518_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.37 ^ mprj/u_mac_wrap/_04518_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01852_ (net)
-                  0.26    0.00    6.37 ^ mprj/u_mac_wrap/_04520_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.65 ^ mprj/u_mac_wrap/_04520_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01854_ (net)
-                  0.26    0.00    6.65 ^ mprj/u_mac_wrap/_04521_/B (sky130_fd_sc_hd__xor2_1)
-                  0.17    0.23    6.88 ^ mprj/u_mac_wrap/_04521_/X (sky130_fd_sc_hd__xor2_1)
-     2    0.01                           mprj/u_mac_wrap/_01855_ (net)
-                  0.17    0.00    6.88 ^ mprj/u_mac_wrap/_04526_/A2 (sky130_fd_sc_hd__a211o_1)
-                  0.09    0.19    7.07 ^ mprj/u_mac_wrap/_04526_/X (sky130_fd_sc_hd__a211o_1)
-     3    0.01                           mprj/u_mac_wrap/_01860_ (net)
-                  0.09    0.00    7.07 ^ mprj/u_mac_wrap/_04528_/B2 (sky130_fd_sc_hd__a221oi_1)
-                  0.10    0.10    7.16 v mprj/u_mac_wrap/_04528_/Y (sky130_fd_sc_hd__a221oi_1)
-     2    0.01                           mprj/u_mac_wrap/_01862_ (net)
-                  0.10    0.00    7.16 v mprj/u_mac_wrap/_04531_/B (sky130_fd_sc_hd__or4_1)
-                  0.10    0.56    7.72 v mprj/u_mac_wrap/_04531_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01865_ (net)
-                  0.10    0.00    7.72 v mprj/u_mac_wrap/_04554_/B1 (sky130_fd_sc_hd__o2111a_1)
-                  0.15    0.27    7.99 v mprj/u_mac_wrap/_04554_/X (sky130_fd_sc_hd__o2111a_1)
-     6    0.03                           mprj/u_mac_wrap/_01885_ (net)
-                  0.15    0.00    7.99 v mprj/u_mac_wrap/_04592_/A (sky130_fd_sc_hd__nor4b_2)
-                  0.42    0.50    8.49 ^ mprj/u_mac_wrap/_04592_/Y (sky130_fd_sc_hd__nor4b_2)
-     2    0.02                           mprj/u_mac_wrap/_01916_ (net)
-                  0.42    0.00    8.49 ^ mprj/u_mac_wrap/fanout254/A (sky130_fd_sc_hd__buf_4)
-                  0.21    0.33    8.82 ^ mprj/u_mac_wrap/fanout254/X (sky130_fd_sc_hd__buf_4)
-    20    0.07                           mprj/u_mac_wrap/net254 (net)
-                  0.21    0.01    8.83 ^ mprj/u_mac_wrap/_05718_/B (sky130_fd_sc_hd__nor2_1)
-                  0.10    0.14    8.97 v mprj/u_mac_wrap/_05718_/Y (sky130_fd_sc_hd__nor2_1)
-     2    0.02                           mprj/u_mac_wrap/_02580_ (net)
-                  0.10    0.00    8.97 v mprj/u_mac_wrap/fanout247/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.13    0.26    9.23 v mprj/u_mac_wrap/fanout247/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.06                           mprj/u_mac_wrap/net247 (net)
-                  0.13    0.00    9.23 v mprj/u_mac_wrap/_05736_/A (sky130_fd_sc_hd__nor2_1)
-                  0.17    0.20    9.43 ^ mprj/u_mac_wrap/_05736_/Y (sky130_fd_sc_hd__nor2_1)
-     2    0.01                           mprj/u_mac_wrap/_02593_ (net)
-                  0.17    0.00    9.43 ^ mprj/u_mac_wrap/_05747_/B1 (sky130_fd_sc_hd__a21o_1)
-                  0.04    0.10    9.54 ^ mprj/u_mac_wrap/_05747_/X (sky130_fd_sc_hd__a21o_1)
-     1    0.00                           mprj/u_mac_wrap/_00467_ (net)
-                  0.04    0.00    9.54 ^ mprj/u_mac_wrap/_08922_/D (sky130_fd_sc_hd__dfrtp_2)
-                                  9.54   data arrival time
-
-                         40.00   40.00   clock mac_tx_clk (rise edge)
-                          0.00   40.00   clock source latency
-                  1.00    0.00   40.00 ^ mprj_io[5] (inout)
-     1    6.12                           mprj_io[5] (net)
-                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[5] (net)
-                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00   41.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10   41.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00   41.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21   41.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21   41.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00   41.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15   41.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00   41.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37   42.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01   42.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32   42.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01   42.60 ^ mprj/u_mac_wrap/clkbuf_4_0_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.10    0.21   42.81 ^ mprj/u_mac_wrap/clkbuf_4_0_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    20    0.05                           mprj/u_mac_wrap/clknet_4_0_0_phy_tx_clk (net)
-                  0.10    0.00   42.81 ^ mprj/u_mac_wrap/_08922_/CLK (sky130_fd_sc_hd__dfrtp_2)
-                         -0.25   42.56   clock uncertainty
-                          2.32   44.87   clock reconvergence pessimism
-                         -0.05   44.82   library setup time
-                                 44.82   data required time
------------------------------------------------------------------------------
-                                 44.82   data required time
-                                 -9.54   data arrival time
------------------------------------------------------------------------------
-                                 35.28   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_08892_
-            (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_08904_
-          (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Path Group: mac_tx_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1   11.12                           mprj_io[5] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[5] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.92 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.21    5.13 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    13    0.04                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
-                  0.09    0.00    5.13 ^ mprj/u_mac_wrap/_08892_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.08    0.43    5.56 v mprj/u_mac_wrap/_08892_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
-                  0.08    0.00    5.56 v mprj/u_mac_wrap/_04513_/A (sky130_fd_sc_hd__xnor2_2)
-                  0.23    0.26    5.82 ^ mprj/u_mac_wrap/_04513_/Y (sky130_fd_sc_hd__xnor2_2)
-     3    0.01                           mprj/u_mac_wrap/_01847_ (net)
-                  0.23    0.00    5.82 ^ mprj/u_mac_wrap/_04514_/B (sky130_fd_sc_hd__xor2_2)
-                  0.22    0.26    6.07 ^ mprj/u_mac_wrap/_04514_/X (sky130_fd_sc_hd__xor2_2)
-     4    0.02                           mprj/u_mac_wrap/_01848_ (net)
-                  0.22    0.00    6.07 ^ mprj/u_mac_wrap/_04518_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.37 ^ mprj/u_mac_wrap/_04518_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01852_ (net)
-                  0.26    0.00    6.37 ^ mprj/u_mac_wrap/_04520_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.65 ^ mprj/u_mac_wrap/_04520_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01854_ (net)
-                  0.26    0.00    6.65 ^ mprj/u_mac_wrap/_04521_/B (sky130_fd_sc_hd__xor2_1)
-                  0.17    0.23    6.88 ^ mprj/u_mac_wrap/_04521_/X (sky130_fd_sc_hd__xor2_1)
-     2    0.01                           mprj/u_mac_wrap/_01855_ (net)
-                  0.17    0.00    6.88 ^ mprj/u_mac_wrap/_04526_/A2 (sky130_fd_sc_hd__a211o_1)
-                  0.09    0.19    7.07 ^ mprj/u_mac_wrap/_04526_/X (sky130_fd_sc_hd__a211o_1)
-     3    0.01                           mprj/u_mac_wrap/_01860_ (net)
-                  0.09    0.00    7.07 ^ mprj/u_mac_wrap/_04528_/B2 (sky130_fd_sc_hd__a221oi_1)
-                  0.10    0.10    7.16 v mprj/u_mac_wrap/_04528_/Y (sky130_fd_sc_hd__a221oi_1)
-     2    0.01                           mprj/u_mac_wrap/_01862_ (net)
-                  0.10    0.00    7.16 v mprj/u_mac_wrap/_04531_/B (sky130_fd_sc_hd__or4_1)
-                  0.10    0.56    7.72 v mprj/u_mac_wrap/_04531_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01865_ (net)
-                  0.10    0.00    7.72 v mprj/u_mac_wrap/_04554_/B1 (sky130_fd_sc_hd__o2111a_1)
-                  0.15    0.27    7.99 v mprj/u_mac_wrap/_04554_/X (sky130_fd_sc_hd__o2111a_1)
-     6    0.03                           mprj/u_mac_wrap/_01885_ (net)
-                  0.15    0.00    7.99 v mprj/u_mac_wrap/_04592_/A (sky130_fd_sc_hd__nor4b_2)
-                  0.42    0.50    8.49 ^ mprj/u_mac_wrap/_04592_/Y (sky130_fd_sc_hd__nor4b_2)
-     2    0.02                           mprj/u_mac_wrap/_01916_ (net)
-                  0.42    0.00    8.49 ^ mprj/u_mac_wrap/fanout254/A (sky130_fd_sc_hd__buf_4)
-                  0.21    0.33    8.82 ^ mprj/u_mac_wrap/fanout254/X (sky130_fd_sc_hd__buf_4)
-    20    0.07                           mprj/u_mac_wrap/net254 (net)
-                  0.21    0.01    8.83 ^ mprj/u_mac_wrap/_05718_/B (sky130_fd_sc_hd__nor2_1)
-                  0.10    0.14    8.97 v mprj/u_mac_wrap/_05718_/Y (sky130_fd_sc_hd__nor2_1)
-     2    0.02                           mprj/u_mac_wrap/_02580_ (net)
-                  0.10    0.00    8.97 v mprj/u_mac_wrap/fanout247/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.13    0.26    9.23 v mprj/u_mac_wrap/fanout247/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.06                           mprj/u_mac_wrap/net247 (net)
-                  0.13    0.00    9.23 v mprj/u_mac_wrap/_05736_/A (sky130_fd_sc_hd__nor2_1)
-                  0.17    0.20    9.43 ^ mprj/u_mac_wrap/_05736_/Y (sky130_fd_sc_hd__nor2_1)
-     2    0.01                           mprj/u_mac_wrap/_02593_ (net)
-                  0.17    0.00    9.43 ^ mprj/u_mac_wrap/_05737_/B1 (sky130_fd_sc_hd__a21o_1)
-                  0.04    0.11    9.54 ^ mprj/u_mac_wrap/_05737_/X (sky130_fd_sc_hd__a21o_1)
-     1    0.00                           mprj/u_mac_wrap/_00461_ (net)
-                  0.04    0.00    9.54 ^ mprj/u_mac_wrap/_08904_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  9.54   data arrival time
-
-                         40.00   40.00   clock mac_tx_clk (rise edge)
-                          0.00   40.00   clock source latency
-                  1.00    0.00   40.00 ^ mprj_io[5] (inout)
-     1    6.12                           mprj_io[5] (net)
-                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[5] (net)
-                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00   41.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10   41.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00   41.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21   41.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21   41.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00   41.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15   41.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00   41.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37   42.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01   42.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32   42.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01   42.60 ^ mprj/u_mac_wrap/clkbuf_4_0_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.10    0.21   42.81 ^ mprj/u_mac_wrap/clkbuf_4_0_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    20    0.05                           mprj/u_mac_wrap/clknet_4_0_0_phy_tx_clk (net)
-                  0.10    0.00   42.81 ^ mprj/u_mac_wrap/_08904_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   42.56   clock uncertainty
-                          2.32   44.87   clock reconvergence pessimism
-                         -0.05   44.82   library setup time
-                                 44.82   data required time
------------------------------------------------------------------------------
-                                 44.82   data required time
-                                 -9.54   data arrival time
------------------------------------------------------------------------------
-                                 35.29   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_08892_
-            (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_09371_
-          (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Path Group: mac_tx_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1   11.12                           mprj_io[5] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[5] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.92 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.21    5.13 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    13    0.04                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
-                  0.09    0.00    5.13 ^ mprj/u_mac_wrap/_08892_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.08    0.43    5.56 v mprj/u_mac_wrap/_08892_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
-                  0.08    0.00    5.56 v mprj/u_mac_wrap/_04513_/A (sky130_fd_sc_hd__xnor2_2)
-                  0.23    0.26    5.82 ^ mprj/u_mac_wrap/_04513_/Y (sky130_fd_sc_hd__xnor2_2)
-     3    0.01                           mprj/u_mac_wrap/_01847_ (net)
-                  0.23    0.00    5.82 ^ mprj/u_mac_wrap/_04514_/B (sky130_fd_sc_hd__xor2_2)
-                  0.22    0.26    6.07 ^ mprj/u_mac_wrap/_04514_/X (sky130_fd_sc_hd__xor2_2)
-     4    0.02                           mprj/u_mac_wrap/_01848_ (net)
-                  0.22    0.00    6.07 ^ mprj/u_mac_wrap/_04518_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.37 ^ mprj/u_mac_wrap/_04518_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01852_ (net)
-                  0.26    0.00    6.37 ^ mprj/u_mac_wrap/_04520_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.65 ^ mprj/u_mac_wrap/_04520_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01854_ (net)
-                  0.26    0.00    6.65 ^ mprj/u_mac_wrap/_04521_/B (sky130_fd_sc_hd__xor2_1)
-                  0.17    0.23    6.88 ^ mprj/u_mac_wrap/_04521_/X (sky130_fd_sc_hd__xor2_1)
-     2    0.01                           mprj/u_mac_wrap/_01855_ (net)
-                  0.17    0.00    6.88 ^ mprj/u_mac_wrap/_04526_/A2 (sky130_fd_sc_hd__a211o_1)
-                  0.09    0.19    7.07 ^ mprj/u_mac_wrap/_04526_/X (sky130_fd_sc_hd__a211o_1)
-     3    0.01                           mprj/u_mac_wrap/_01860_ (net)
-                  0.09    0.00    7.07 ^ mprj/u_mac_wrap/_04528_/B2 (sky130_fd_sc_hd__a221oi_1)
-                  0.10    0.10    7.16 v mprj/u_mac_wrap/_04528_/Y (sky130_fd_sc_hd__a221oi_1)
-     2    0.01                           mprj/u_mac_wrap/_01862_ (net)
-                  0.10    0.00    7.16 v mprj/u_mac_wrap/_04531_/B (sky130_fd_sc_hd__or4_1)
-                  0.10    0.56    7.72 v mprj/u_mac_wrap/_04531_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01865_ (net)
-                  0.10    0.00    7.72 v mprj/u_mac_wrap/_04554_/B1 (sky130_fd_sc_hd__o2111a_1)
-                  0.15    0.27    7.99 v mprj/u_mac_wrap/_04554_/X (sky130_fd_sc_hd__o2111a_1)
-     6    0.03                           mprj/u_mac_wrap/_01885_ (net)
-                  0.15    0.00    7.99 v mprj/u_mac_wrap/_04592_/A (sky130_fd_sc_hd__nor4b_2)
-                  0.42    0.50    8.49 ^ mprj/u_mac_wrap/_04592_/Y (sky130_fd_sc_hd__nor4b_2)
-     2    0.02                           mprj/u_mac_wrap/_01916_ (net)
-                  0.42    0.00    8.49 ^ mprj/u_mac_wrap/fanout254/A (sky130_fd_sc_hd__buf_4)
-                  0.21    0.33    8.82 ^ mprj/u_mac_wrap/fanout254/X (sky130_fd_sc_hd__buf_4)
-    20    0.07                           mprj/u_mac_wrap/net254 (net)
-                  0.21    0.00    8.83 ^ mprj/u_mac_wrap/_06698_/B (sky130_fd_sc_hd__or2_1)
-                  0.18    0.24    9.06 ^ mprj/u_mac_wrap/_06698_/X (sky130_fd_sc_hd__or2_1)
-     3    0.02                           mprj/u_mac_wrap/_03166_ (net)
-                  0.18    0.00    9.06 ^ mprj/u_mac_wrap/fanout245/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.25    9.31 ^ mprj/u_mac_wrap/fanout245/X (sky130_fd_sc_hd__buf_2)
-     7    0.03                           mprj/u_mac_wrap/net245 (net)
-                  0.17    0.00    9.31 ^ mprj/u_mac_wrap/_06807_/B1 (sky130_fd_sc_hd__o32a_1)
-                  0.05    0.18    9.49 ^ mprj/u_mac_wrap/_06807_/X (sky130_fd_sc_hd__o32a_1)
-     1    0.00                           mprj/u_mac_wrap/_00871_ (net)
-                  0.05    0.00    9.49 ^ mprj/u_mac_wrap/_09371_/D (sky130_fd_sc_hd__dfstp_1)
-                                  9.49   data arrival time
-
-                         40.00   40.00   clock mac_tx_clk (rise edge)
-                          0.00   40.00   clock source latency
-                  1.00    0.00   40.00 ^ mprj_io[5] (inout)
-     1    6.12                           mprj_io[5] (net)
-                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[5] (net)
-                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00   41.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10   41.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00   41.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21   41.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21   41.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00   41.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15   41.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00   41.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37   42.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01   42.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32   42.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.00   42.60 ^ mprj/u_mac_wrap/clkbuf_4_12_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.17   42.77 ^ mprj/u_mac_wrap/clkbuf_4_12_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     7    0.02                           mprj/u_mac_wrap/clknet_4_12_0_phy_tx_clk (net)
-                  0.06    0.00   42.77 ^ mprj/u_mac_wrap/_09371_/CLK (sky130_fd_sc_hd__dfstp_1)
-                         -0.25   42.52   clock uncertainty
-                          2.32   44.84   clock reconvergence pessimism
-                         -0.05   44.78   library setup time
-                                 44.78   data required time
------------------------------------------------------------------------------
-                                 44.78   data required time
-                                 -9.49   data arrival time
------------------------------------------------------------------------------
-                                 35.29   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_08892_
-            (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_09379_
-          (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Path Group: mac_tx_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1   11.12                           mprj_io[5] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[5] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.92 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.21    5.13 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    13    0.04                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
-                  0.09    0.00    5.13 ^ mprj/u_mac_wrap/_08892_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.08    0.43    5.56 v mprj/u_mac_wrap/_08892_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
-                  0.08    0.00    5.56 v mprj/u_mac_wrap/_04513_/A (sky130_fd_sc_hd__xnor2_2)
-                  0.23    0.26    5.82 ^ mprj/u_mac_wrap/_04513_/Y (sky130_fd_sc_hd__xnor2_2)
-     3    0.01                           mprj/u_mac_wrap/_01847_ (net)
-                  0.23    0.00    5.82 ^ mprj/u_mac_wrap/_04514_/B (sky130_fd_sc_hd__xor2_2)
-                  0.22    0.26    6.07 ^ mprj/u_mac_wrap/_04514_/X (sky130_fd_sc_hd__xor2_2)
-     4    0.02                           mprj/u_mac_wrap/_01848_ (net)
-                  0.22    0.00    6.07 ^ mprj/u_mac_wrap/_04518_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.37 ^ mprj/u_mac_wrap/_04518_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01852_ (net)
-                  0.26    0.00    6.37 ^ mprj/u_mac_wrap/_04520_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.65 ^ mprj/u_mac_wrap/_04520_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01854_ (net)
-                  0.26    0.00    6.65 ^ mprj/u_mac_wrap/_04521_/B (sky130_fd_sc_hd__xor2_1)
-                  0.17    0.23    6.88 ^ mprj/u_mac_wrap/_04521_/X (sky130_fd_sc_hd__xor2_1)
-     2    0.01                           mprj/u_mac_wrap/_01855_ (net)
-                  0.17    0.00    6.88 ^ mprj/u_mac_wrap/_04526_/A2 (sky130_fd_sc_hd__a211o_1)
-                  0.09    0.19    7.07 ^ mprj/u_mac_wrap/_04526_/X (sky130_fd_sc_hd__a211o_1)
-     3    0.01                           mprj/u_mac_wrap/_01860_ (net)
-                  0.09    0.00    7.07 ^ mprj/u_mac_wrap/_04528_/B2 (sky130_fd_sc_hd__a221oi_1)
-                  0.10    0.10    7.16 v mprj/u_mac_wrap/_04528_/Y (sky130_fd_sc_hd__a221oi_1)
-     2    0.01                           mprj/u_mac_wrap/_01862_ (net)
-                  0.10    0.00    7.16 v mprj/u_mac_wrap/_04531_/B (sky130_fd_sc_hd__or4_1)
-                  0.10    0.56    7.72 v mprj/u_mac_wrap/_04531_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01865_ (net)
-                  0.10    0.00    7.72 v mprj/u_mac_wrap/_04554_/B1 (sky130_fd_sc_hd__o2111a_1)
-                  0.15    0.27    7.99 v mprj/u_mac_wrap/_04554_/X (sky130_fd_sc_hd__o2111a_1)
-     6    0.03                           mprj/u_mac_wrap/_01885_ (net)
-                  0.15    0.00    7.99 v mprj/u_mac_wrap/_04592_/A (sky130_fd_sc_hd__nor4b_2)
-                  0.42    0.50    8.49 ^ mprj/u_mac_wrap/_04592_/Y (sky130_fd_sc_hd__nor4b_2)
-     2    0.02                           mprj/u_mac_wrap/_01916_ (net)
-                  0.42    0.00    8.49 ^ mprj/u_mac_wrap/fanout254/A (sky130_fd_sc_hd__buf_4)
-                  0.21    0.33    8.82 ^ mprj/u_mac_wrap/fanout254/X (sky130_fd_sc_hd__buf_4)
-    20    0.07                           mprj/u_mac_wrap/net254 (net)
-                  0.21    0.00    8.83 ^ mprj/u_mac_wrap/_06698_/B (sky130_fd_sc_hd__or2_1)
-                  0.18    0.24    9.06 ^ mprj/u_mac_wrap/_06698_/X (sky130_fd_sc_hd__or2_1)
-     3    0.02                           mprj/u_mac_wrap/_03166_ (net)
-                  0.18    0.00    9.06 ^ mprj/u_mac_wrap/fanout245/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.25    9.31 ^ mprj/u_mac_wrap/fanout245/X (sky130_fd_sc_hd__buf_2)
-     7    0.03                           mprj/u_mac_wrap/net245 (net)
-                  0.17    0.00    9.31 ^ mprj/u_mac_wrap/_06835_/B1 (sky130_fd_sc_hd__o32a_1)
-                  0.05    0.18    9.50 ^ mprj/u_mac_wrap/_06835_/X (sky130_fd_sc_hd__o32a_1)
-     1    0.00                           mprj/u_mac_wrap/_00879_ (net)
-                  0.05    0.00    9.50 ^ mprj/u_mac_wrap/_09379_/D (sky130_fd_sc_hd__dfstp_1)
-                                  9.50   data arrival time
-
-                         40.00   40.00   clock mac_tx_clk (rise edge)
-                          0.00   40.00   clock source latency
-                  1.00    0.00   40.00 ^ mprj_io[5] (inout)
-     1    6.12                           mprj_io[5] (net)
-                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[5] (net)
-                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00   41.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10   41.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00   41.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21   41.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21   41.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00   41.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15   41.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00   41.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37   42.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01   42.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32   42.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.00   42.60 ^ mprj/u_mac_wrap/clkbuf_4_13_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.18   42.78 ^ mprj/u_mac_wrap/clkbuf_4_13_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     7    0.03                           mprj/u_mac_wrap/clknet_4_13_0_phy_tx_clk (net)
-                  0.06    0.00   42.78 ^ mprj/u_mac_wrap/_09379_/CLK (sky130_fd_sc_hd__dfstp_1)
-                         -0.25   42.53   clock uncertainty
-                          2.32   44.84   clock reconvergence pessimism
-                         -0.05   44.79   library setup time
-                                 44.79   data required time
------------------------------------------------------------------------------
-                                 44.79   data required time
-                                 -9.50   data arrival time
------------------------------------------------------------------------------
-                                 35.29   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_08892_
-            (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_09361_
-          (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Path Group: mac_tx_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1   11.12                           mprj_io[5] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[5] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.92 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.21    5.13 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    13    0.04                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
-                  0.09    0.00    5.13 ^ mprj/u_mac_wrap/_08892_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.08    0.43    5.56 v mprj/u_mac_wrap/_08892_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
-                  0.08    0.00    5.56 v mprj/u_mac_wrap/_04513_/A (sky130_fd_sc_hd__xnor2_2)
-                  0.23    0.26    5.82 ^ mprj/u_mac_wrap/_04513_/Y (sky130_fd_sc_hd__xnor2_2)
-     3    0.01                           mprj/u_mac_wrap/_01847_ (net)
-                  0.23    0.00    5.82 ^ mprj/u_mac_wrap/_04514_/B (sky130_fd_sc_hd__xor2_2)
-                  0.22    0.26    6.07 ^ mprj/u_mac_wrap/_04514_/X (sky130_fd_sc_hd__xor2_2)
-     4    0.02                           mprj/u_mac_wrap/_01848_ (net)
-                  0.22    0.00    6.07 ^ mprj/u_mac_wrap/_04518_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.37 ^ mprj/u_mac_wrap/_04518_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01852_ (net)
-                  0.26    0.00    6.37 ^ mprj/u_mac_wrap/_04520_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.65 ^ mprj/u_mac_wrap/_04520_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01854_ (net)
-                  0.26    0.00    6.65 ^ mprj/u_mac_wrap/_04521_/B (sky130_fd_sc_hd__xor2_1)
-                  0.17    0.23    6.88 ^ mprj/u_mac_wrap/_04521_/X (sky130_fd_sc_hd__xor2_1)
-     2    0.01                           mprj/u_mac_wrap/_01855_ (net)
-                  0.17    0.00    6.88 ^ mprj/u_mac_wrap/_04526_/A2 (sky130_fd_sc_hd__a211o_1)
-                  0.09    0.19    7.07 ^ mprj/u_mac_wrap/_04526_/X (sky130_fd_sc_hd__a211o_1)
-     3    0.01                           mprj/u_mac_wrap/_01860_ (net)
-                  0.09    0.00    7.07 ^ mprj/u_mac_wrap/_04528_/B2 (sky130_fd_sc_hd__a221oi_1)
-                  0.10    0.10    7.16 v mprj/u_mac_wrap/_04528_/Y (sky130_fd_sc_hd__a221oi_1)
-     2    0.01                           mprj/u_mac_wrap/_01862_ (net)
-                  0.10    0.00    7.16 v mprj/u_mac_wrap/_04531_/B (sky130_fd_sc_hd__or4_1)
-                  0.10    0.56    7.72 v mprj/u_mac_wrap/_04531_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01865_ (net)
-                  0.10    0.00    7.72 v mprj/u_mac_wrap/_04554_/B1 (sky130_fd_sc_hd__o2111a_1)
-                  0.15    0.27    7.99 v mprj/u_mac_wrap/_04554_/X (sky130_fd_sc_hd__o2111a_1)
-     6    0.03                           mprj/u_mac_wrap/_01885_ (net)
-                  0.15    0.00    7.99 v mprj/u_mac_wrap/_04592_/A (sky130_fd_sc_hd__nor4b_2)
-                  0.42    0.50    8.49 ^ mprj/u_mac_wrap/_04592_/Y (sky130_fd_sc_hd__nor4b_2)
-     2    0.02                           mprj/u_mac_wrap/_01916_ (net)
-                  0.42    0.00    8.49 ^ mprj/u_mac_wrap/fanout254/A (sky130_fd_sc_hd__buf_4)
-                  0.21    0.33    8.82 ^ mprj/u_mac_wrap/fanout254/X (sky130_fd_sc_hd__buf_4)
-    20    0.07                           mprj/u_mac_wrap/net254 (net)
-                  0.21    0.00    8.83 ^ mprj/u_mac_wrap/_06698_/B (sky130_fd_sc_hd__or2_1)
-                  0.18    0.24    9.06 ^ mprj/u_mac_wrap/_06698_/X (sky130_fd_sc_hd__or2_1)
-     3    0.02                           mprj/u_mac_wrap/_03166_ (net)
-                  0.18    0.00    9.06 ^ mprj/u_mac_wrap/fanout242/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.19    0.30    9.36 ^ mprj/u_mac_wrap/fanout242/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.06                           mprj/u_mac_wrap/net242 (net)
-                  0.19    0.00    9.36 ^ mprj/u_mac_wrap/_06753_/A2 (sky130_fd_sc_hd__o22a_1)
-                  0.05    0.17    9.53 ^ mprj/u_mac_wrap/_06753_/X (sky130_fd_sc_hd__o22a_1)
-     1    0.00                           mprj/u_mac_wrap/_00861_ (net)
-                  0.05    0.00    9.53 ^ mprj/u_mac_wrap/_09361_/D (sky130_fd_sc_hd__dfstp_1)
-                                  9.53   data arrival time
-
-                         40.00   40.00   clock mac_tx_clk (rise edge)
-                          0.00   40.00   clock source latency
-                  1.00    0.00   40.00 ^ mprj_io[5] (inout)
-     1    6.12                           mprj_io[5] (net)
-                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[5] (net)
-                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00   41.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10   41.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00   41.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21   41.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21   41.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00   41.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15   41.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00   41.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37   42.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01   42.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32   42.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.00   42.60 ^ mprj/u_mac_wrap/clkbuf_4_6_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.10    0.21   42.81 ^ mprj/u_mac_wrap/clkbuf_4_6_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    20    0.05                           mprj/u_mac_wrap/clknet_4_6_0_phy_tx_clk (net)
-                  0.10    0.00   42.81 ^ mprj/u_mac_wrap/_09361_/CLK (sky130_fd_sc_hd__dfstp_1)
-                         -0.25   42.56   clock uncertainty
-                          2.32   44.87   clock reconvergence pessimism
-                         -0.05   44.83   library setup time
-                                 44.83   data required time
------------------------------------------------------------------------------
-                                 44.83   data required time
-                                 -9.53   data arrival time
------------------------------------------------------------------------------
-                                 35.29   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_08892_
-            (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_09302_
-          (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Path Group: mac_tx_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1   11.12                           mprj_io[5] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[5] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.92 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.21    5.13 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    13    0.04                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
-                  0.09    0.00    5.13 ^ mprj/u_mac_wrap/_08892_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.08    0.43    5.56 v mprj/u_mac_wrap/_08892_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
-                  0.08    0.00    5.56 v mprj/u_mac_wrap/_04513_/A (sky130_fd_sc_hd__xnor2_2)
-                  0.23    0.26    5.82 ^ mprj/u_mac_wrap/_04513_/Y (sky130_fd_sc_hd__xnor2_2)
-     3    0.01                           mprj/u_mac_wrap/_01847_ (net)
-                  0.23    0.00    5.82 ^ mprj/u_mac_wrap/_04514_/B (sky130_fd_sc_hd__xor2_2)
-                  0.22    0.26    6.07 ^ mprj/u_mac_wrap/_04514_/X (sky130_fd_sc_hd__xor2_2)
-     4    0.02                           mprj/u_mac_wrap/_01848_ (net)
-                  0.22    0.00    6.07 ^ mprj/u_mac_wrap/_04518_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.37 ^ mprj/u_mac_wrap/_04518_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01852_ (net)
-                  0.26    0.00    6.37 ^ mprj/u_mac_wrap/_04520_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.65 ^ mprj/u_mac_wrap/_04520_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01854_ (net)
-                  0.26    0.00    6.65 ^ mprj/u_mac_wrap/_04521_/B (sky130_fd_sc_hd__xor2_1)
-                  0.17    0.23    6.88 ^ mprj/u_mac_wrap/_04521_/X (sky130_fd_sc_hd__xor2_1)
-     2    0.01                           mprj/u_mac_wrap/_01855_ (net)
-                  0.17    0.00    6.88 ^ mprj/u_mac_wrap/_04526_/A2 (sky130_fd_sc_hd__a211o_1)
-                  0.09    0.19    7.07 ^ mprj/u_mac_wrap/_04526_/X (sky130_fd_sc_hd__a211o_1)
-     3    0.01                           mprj/u_mac_wrap/_01860_ (net)
-                  0.09    0.00    7.07 ^ mprj/u_mac_wrap/_04528_/B2 (sky130_fd_sc_hd__a221oi_1)
-                  0.10    0.10    7.16 v mprj/u_mac_wrap/_04528_/Y (sky130_fd_sc_hd__a221oi_1)
-     2    0.01                           mprj/u_mac_wrap/_01862_ (net)
-                  0.10    0.00    7.16 v mprj/u_mac_wrap/_04531_/B (sky130_fd_sc_hd__or4_1)
-                  0.10    0.56    7.72 v mprj/u_mac_wrap/_04531_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01865_ (net)
-                  0.10    0.00    7.72 v mprj/u_mac_wrap/_04554_/B1 (sky130_fd_sc_hd__o2111a_1)
-                  0.15    0.27    7.99 v mprj/u_mac_wrap/_04554_/X (sky130_fd_sc_hd__o2111a_1)
-     6    0.03                           mprj/u_mac_wrap/_01885_ (net)
-                  0.15    0.00    7.99 v mprj/u_mac_wrap/_04592_/A (sky130_fd_sc_hd__nor4b_2)
-                  0.42    0.50    8.49 ^ mprj/u_mac_wrap/_04592_/Y (sky130_fd_sc_hd__nor4b_2)
-     2    0.02                           mprj/u_mac_wrap/_01916_ (net)
-                  0.42    0.00    8.49 ^ mprj/u_mac_wrap/fanout254/A (sky130_fd_sc_hd__buf_4)
-                  0.21    0.33    8.82 ^ mprj/u_mac_wrap/fanout254/X (sky130_fd_sc_hd__buf_4)
-    20    0.07                           mprj/u_mac_wrap/net254 (net)
-                  0.21    0.00    8.83 ^ mprj/u_mac_wrap/_04680_/B (sky130_fd_sc_hd__nor2_4)
-                  0.09    0.12    8.95 v mprj/u_mac_wrap/_04680_/Y (sky130_fd_sc_hd__nor2_4)
-    18    0.05                           mprj/u_mac_wrap/_01989_ (net)
-                  0.09    0.00    8.95 v mprj/u_mac_wrap/_06621_/B1 (sky130_fd_sc_hd__a21o_1)
-                  0.05    0.19    9.14 v mprj/u_mac_wrap/_06621_/X (sky130_fd_sc_hd__a21o_1)
-     2    0.01                           mprj/u_mac_wrap/_03129_ (net)
-                  0.05    0.00    9.14 v mprj/u_mac_wrap/_06625_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.30    9.44 v mprj/u_mac_wrap/_06625_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mac_wrap/_00811_ (net)
-                  0.06    0.00    9.44 v mprj/u_mac_wrap/_09302_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  9.44   data arrival time
-
-                         40.00   40.00   clock mac_tx_clk (rise edge)
-                          0.00   40.00   clock source latency
-                  1.00    0.00   40.00 ^ mprj_io[5] (inout)
-     1    6.12                           mprj_io[5] (net)
-                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[5] (net)
-                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00   41.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10   41.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00   41.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21   41.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21   41.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00   41.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15   41.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00   41.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37   42.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01   42.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32   42.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01   42.60 ^ mprj/u_mac_wrap/clkbuf_4_2_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.19   42.79 ^ mprj/u_mac_wrap/clkbuf_4_2_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    12    0.04                           mprj/u_mac_wrap/clknet_4_2_0_phy_tx_clk (net)
-                  0.08    0.00   42.79 ^ mprj/u_mac_wrap/_09302_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   42.54   clock uncertainty
-                          2.32   44.85   clock reconvergence pessimism
-                         -0.11   44.74   library setup time
-                                 44.74   data required time
------------------------------------------------------------------------------
-                                 44.74   data required time
-                                 -9.44   data arrival time
------------------------------------------------------------------------------
-                                 35.30   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_08892_
-            (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_09355_
-          (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Path Group: mac_tx_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1   11.12                           mprj_io[5] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[5] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.92 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.21    5.13 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    13    0.04                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
-                  0.09    0.00    5.13 ^ mprj/u_mac_wrap/_08892_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.08    0.43    5.56 v mprj/u_mac_wrap/_08892_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
-                  0.08    0.00    5.56 v mprj/u_mac_wrap/_04513_/A (sky130_fd_sc_hd__xnor2_2)
-                  0.23    0.26    5.82 ^ mprj/u_mac_wrap/_04513_/Y (sky130_fd_sc_hd__xnor2_2)
-     3    0.01                           mprj/u_mac_wrap/_01847_ (net)
-                  0.23    0.00    5.82 ^ mprj/u_mac_wrap/_04514_/B (sky130_fd_sc_hd__xor2_2)
-                  0.22    0.26    6.07 ^ mprj/u_mac_wrap/_04514_/X (sky130_fd_sc_hd__xor2_2)
-     4    0.02                           mprj/u_mac_wrap/_01848_ (net)
-                  0.22    0.00    6.07 ^ mprj/u_mac_wrap/_04518_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.37 ^ mprj/u_mac_wrap/_04518_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01852_ (net)
-                  0.26    0.00    6.37 ^ mprj/u_mac_wrap/_04520_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.65 ^ mprj/u_mac_wrap/_04520_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01854_ (net)
-                  0.26    0.00    6.65 ^ mprj/u_mac_wrap/_04521_/B (sky130_fd_sc_hd__xor2_1)
-                  0.17    0.23    6.88 ^ mprj/u_mac_wrap/_04521_/X (sky130_fd_sc_hd__xor2_1)
-     2    0.01                           mprj/u_mac_wrap/_01855_ (net)
-                  0.17    0.00    6.88 ^ mprj/u_mac_wrap/_04526_/A2 (sky130_fd_sc_hd__a211o_1)
-                  0.09    0.19    7.07 ^ mprj/u_mac_wrap/_04526_/X (sky130_fd_sc_hd__a211o_1)
-     3    0.01                           mprj/u_mac_wrap/_01860_ (net)
-                  0.09    0.00    7.07 ^ mprj/u_mac_wrap/_04528_/B2 (sky130_fd_sc_hd__a221oi_1)
-                  0.10    0.10    7.16 v mprj/u_mac_wrap/_04528_/Y (sky130_fd_sc_hd__a221oi_1)
-     2    0.01                           mprj/u_mac_wrap/_01862_ (net)
-                  0.10    0.00    7.16 v mprj/u_mac_wrap/_04531_/B (sky130_fd_sc_hd__or4_1)
-                  0.10    0.56    7.72 v mprj/u_mac_wrap/_04531_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01865_ (net)
-                  0.10    0.00    7.72 v mprj/u_mac_wrap/_04554_/B1 (sky130_fd_sc_hd__o2111a_1)
-                  0.15    0.27    7.99 v mprj/u_mac_wrap/_04554_/X (sky130_fd_sc_hd__o2111a_1)
-     6    0.03                           mprj/u_mac_wrap/_01885_ (net)
-                  0.15    0.00    7.99 v mprj/u_mac_wrap/_04592_/A (sky130_fd_sc_hd__nor4b_2)
-                  0.42    0.50    8.49 ^ mprj/u_mac_wrap/_04592_/Y (sky130_fd_sc_hd__nor4b_2)
-     2    0.02                           mprj/u_mac_wrap/_01916_ (net)
-                  0.42    0.00    8.49 ^ mprj/u_mac_wrap/fanout254/A (sky130_fd_sc_hd__buf_4)
-                  0.21    0.33    8.82 ^ mprj/u_mac_wrap/fanout254/X (sky130_fd_sc_hd__buf_4)
-    20    0.07                           mprj/u_mac_wrap/net254 (net)
-                  0.21    0.00    8.83 ^ mprj/u_mac_wrap/_06698_/B (sky130_fd_sc_hd__or2_1)
-                  0.18    0.24    9.06 ^ mprj/u_mac_wrap/_06698_/X (sky130_fd_sc_hd__or2_1)
-     3    0.02                           mprj/u_mac_wrap/_03166_ (net)
-                  0.18    0.00    9.06 ^ mprj/u_mac_wrap/fanout245/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.25    9.31 ^ mprj/u_mac_wrap/fanout245/X (sky130_fd_sc_hd__buf_2)
-     7    0.03                           mprj/u_mac_wrap/net245 (net)
-                  0.17    0.00    9.31 ^ mprj/u_mac_wrap/_06732_/B1 (sky130_fd_sc_hd__o32a_1)
-                  0.05    0.18    9.49 ^ mprj/u_mac_wrap/_06732_/X (sky130_fd_sc_hd__o32a_1)
-     1    0.00                           mprj/u_mac_wrap/_00855_ (net)
-                  0.05    0.00    9.49 ^ mprj/u_mac_wrap/_09355_/D (sky130_fd_sc_hd__dfstp_2)
-                                  9.49   data arrival time
-
-                         40.00   40.00   clock mac_tx_clk (rise edge)
-                          0.00   40.00   clock source latency
-                  1.00    0.00   40.00 ^ mprj_io[5] (inout)
-     1    6.12                           mprj_io[5] (net)
-                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[5] (net)
-                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00   41.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10   41.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00   41.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21   41.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21   41.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00   41.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15   41.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00   41.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37   42.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01   42.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32   42.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.00   42.60 ^ mprj/u_mac_wrap/clkbuf_4_13_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.18   42.78 ^ mprj/u_mac_wrap/clkbuf_4_13_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     7    0.03                           mprj/u_mac_wrap/clknet_4_13_0_phy_tx_clk (net)
-                  0.06    0.00   42.78 ^ mprj/u_mac_wrap/_09355_/CLK (sky130_fd_sc_hd__dfstp_2)
-                         -0.25   42.53   clock uncertainty
-                          2.32   44.84   clock reconvergence pessimism
-                         -0.05   44.79   library setup time
-                                 44.79   data required time
------------------------------------------------------------------------------
-                                 44.79   data required time
-                                 -9.49   data arrival time
------------------------------------------------------------------------------
-                                 35.30   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_08892_
-            (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_09359_
-          (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Path Group: mac_tx_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1   11.12                           mprj_io[5] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[5] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.92 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.21    5.13 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    13    0.04                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
-                  0.09    0.00    5.13 ^ mprj/u_mac_wrap/_08892_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.08    0.43    5.56 v mprj/u_mac_wrap/_08892_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
-                  0.08    0.00    5.56 v mprj/u_mac_wrap/_04513_/A (sky130_fd_sc_hd__xnor2_2)
-                  0.23    0.26    5.82 ^ mprj/u_mac_wrap/_04513_/Y (sky130_fd_sc_hd__xnor2_2)
-     3    0.01                           mprj/u_mac_wrap/_01847_ (net)
-                  0.23    0.00    5.82 ^ mprj/u_mac_wrap/_04514_/B (sky130_fd_sc_hd__xor2_2)
-                  0.22    0.26    6.07 ^ mprj/u_mac_wrap/_04514_/X (sky130_fd_sc_hd__xor2_2)
-     4    0.02                           mprj/u_mac_wrap/_01848_ (net)
-                  0.22    0.00    6.07 ^ mprj/u_mac_wrap/_04518_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.37 ^ mprj/u_mac_wrap/_04518_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01852_ (net)
-                  0.26    0.00    6.37 ^ mprj/u_mac_wrap/_04520_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.65 ^ mprj/u_mac_wrap/_04520_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01854_ (net)
-                  0.26    0.00    6.65 ^ mprj/u_mac_wrap/_04521_/B (sky130_fd_sc_hd__xor2_1)
-                  0.17    0.23    6.88 ^ mprj/u_mac_wrap/_04521_/X (sky130_fd_sc_hd__xor2_1)
-     2    0.01                           mprj/u_mac_wrap/_01855_ (net)
-                  0.17    0.00    6.88 ^ mprj/u_mac_wrap/_04526_/A2 (sky130_fd_sc_hd__a211o_1)
-                  0.09    0.19    7.07 ^ mprj/u_mac_wrap/_04526_/X (sky130_fd_sc_hd__a211o_1)
-     3    0.01                           mprj/u_mac_wrap/_01860_ (net)
-                  0.09    0.00    7.07 ^ mprj/u_mac_wrap/_04528_/B2 (sky130_fd_sc_hd__a221oi_1)
-                  0.10    0.10    7.16 v mprj/u_mac_wrap/_04528_/Y (sky130_fd_sc_hd__a221oi_1)
-     2    0.01                           mprj/u_mac_wrap/_01862_ (net)
-                  0.10    0.00    7.16 v mprj/u_mac_wrap/_04531_/B (sky130_fd_sc_hd__or4_1)
-                  0.10    0.56    7.72 v mprj/u_mac_wrap/_04531_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01865_ (net)
-                  0.10    0.00    7.72 v mprj/u_mac_wrap/_04554_/B1 (sky130_fd_sc_hd__o2111a_1)
-                  0.15    0.27    7.99 v mprj/u_mac_wrap/_04554_/X (sky130_fd_sc_hd__o2111a_1)
-     6    0.03                           mprj/u_mac_wrap/_01885_ (net)
-                  0.15    0.00    7.99 v mprj/u_mac_wrap/_04592_/A (sky130_fd_sc_hd__nor4b_2)
-                  0.42    0.50    8.49 ^ mprj/u_mac_wrap/_04592_/Y (sky130_fd_sc_hd__nor4b_2)
-     2    0.02                           mprj/u_mac_wrap/_01916_ (net)
-                  0.42    0.00    8.49 ^ mprj/u_mac_wrap/fanout254/A (sky130_fd_sc_hd__buf_4)
-                  0.21    0.33    8.82 ^ mprj/u_mac_wrap/fanout254/X (sky130_fd_sc_hd__buf_4)
-    20    0.07                           mprj/u_mac_wrap/net254 (net)
-                  0.21    0.00    8.83 ^ mprj/u_mac_wrap/_06698_/B (sky130_fd_sc_hd__or2_1)
-                  0.18    0.24    9.06 ^ mprj/u_mac_wrap/_06698_/X (sky130_fd_sc_hd__or2_1)
-     3    0.02                           mprj/u_mac_wrap/_03166_ (net)
-                  0.18    0.00    9.06 ^ mprj/u_mac_wrap/fanout242/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.19    0.30    9.36 ^ mprj/u_mac_wrap/fanout242/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.06                           mprj/u_mac_wrap/net242 (net)
-                  0.19    0.00    9.36 ^ mprj/u_mac_wrap/_06749_/A2 (sky130_fd_sc_hd__o22a_1)
-                  0.05    0.17    9.53 ^ mprj/u_mac_wrap/_06749_/X (sky130_fd_sc_hd__o22a_1)
-     1    0.00                           mprj/u_mac_wrap/_00859_ (net)
-                  0.05    0.00    9.53 ^ mprj/u_mac_wrap/_09359_/D (sky130_fd_sc_hd__dfstp_1)
-                                  9.53   data arrival time
-
-                         40.00   40.00   clock mac_tx_clk (rise edge)
-                          0.00   40.00   clock source latency
-                  1.00    0.00   40.00 ^ mprj_io[5] (inout)
-     1    6.12                           mprj_io[5] (net)
-                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    6.12                           mprj_io[5] (net)
-                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00   41.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.10   41.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00   41.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.21   41.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21   41.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00   41.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15   41.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00   41.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37   42.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01   42.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32   42.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.00   42.60 ^ mprj/u_mac_wrap/clkbuf_4_6_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.10    0.21   42.81 ^ mprj/u_mac_wrap/clkbuf_4_6_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    20    0.05                           mprj/u_mac_wrap/clknet_4_6_0_phy_tx_clk (net)
-                  0.10    0.00   42.81 ^ mprj/u_mac_wrap/_09359_/CLK (sky130_fd_sc_hd__dfstp_1)
-                         -0.25   42.56   clock uncertainty
-                          2.32   44.87   clock reconvergence pessimism
-                         -0.05   44.83   library setup time
-                                 44.83   data required time
------------------------------------------------------------------------------
-                                 44.83   data required time
-                                 -9.53   data arrival time
------------------------------------------------------------------------------
-                                 35.30   slack (MET)
-
-
-Startpoint: mprj/u_mac_wrap/_08892_
-            (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Endpoint: mprj/u_mac_wrap/_09367_
-          (rising edge-triggered flip-flop clocked by mac_tx_clk)
-Path Group: mac_tx_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock mac_tx_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
-     1   11.12                           mprj_io[5] (net)
-                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0   11.12                           mprj_io[5] (net)
-                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     2    0.00                           mprj_io_in[5] (net)
-                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
-     2    0.00                           gpio_control_in_1a[3]/net3 (net)
-                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
-     1    0.03                           gpio_control_in_1a[3]/net22 (net)
-                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
-                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
-     2    0.00                           user_io_in[5] (net)
-                  0.03    0.00    3.76 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.23    3.99 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
-     2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.01    3.99 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.16    4.15 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00    4.15 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.40    4.55 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01    4.57 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.34    4.91 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.01    4.92 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.21    5.13 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    13    0.04                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
-                  0.09    0.00    5.13 ^ mprj/u_mac_wrap/_08892_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.08    0.43    5.56 v mprj/u_mac_wrap/_08892_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
-                  0.08    0.00    5.56 v mprj/u_mac_wrap/_04513_/A (sky130_fd_sc_hd__xnor2_2)
-                  0.23    0.26    5.82 ^ mprj/u_mac_wrap/_04513_/Y (sky130_fd_sc_hd__xnor2_2)
-     3    0.01                           mprj/u_mac_wrap/_01847_ (net)
-                  0.23    0.00    5.82 ^ mprj/u_mac_wrap/_04514_/B (sky130_fd_sc_hd__xor2_2)
-                  0.22    0.26    6.07 ^ mprj/u_mac_wrap/_04514_/X (sky130_fd_sc_hd__xor2_2)
-     4    0.02                           mprj/u_mac_wrap/_01848_ (net)
-                  0.22    0.00    6.07 ^ mprj/u_mac_wrap/_04518_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.37 ^ mprj/u_mac_wrap/_04518_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01852_ (net)
-                  0.26    0.00    6.37 ^ mprj/u_mac_wrap/_04520_/B (sky130_fd_sc_hd__xor2_1)
-                  0.26    0.29    6.65 ^ mprj/u_mac_wrap/_04520_/X (sky130_fd_sc_hd__xor2_1)
-     3    0.01                           mprj/u_mac_wrap/_01854_ (net)
-                  0.26    0.00    6.65 ^ mprj/u_mac_wrap/_04521_/B (sky130_fd_sc_hd__xor2_1)
-                  0.17    0.23    6.88 ^ mprj/u_mac_wrap/_04521_/X (sky130_fd_sc_hd__xor2_1)
-     2    0.01                           mprj/u_mac_wrap/_01855_ (net)
-                  0.17    0.00    6.88 ^ mprj/u_mac_wrap/_04526_/A2 (sky130_fd_sc_hd__a211o_1)
-                  0.09    0.19    7.07 ^ mprj/u_mac_wrap/_04526_/X (sky130_fd_sc_hd__a211o_1)
-     3    0.01                           mprj/u_mac_wrap/_01860_ (net)
-                  0.09    0.00    7.07 ^ mprj/u_mac_wrap/_04528_/B2 (sky130_fd_sc_hd__a221oi_1)
-                  0.10    0.10    7.16 v mprj/u_mac_wrap/_04528_/Y (sky130_fd_sc_hd__a221oi_1)
-     2    0.01                           mprj/u_mac_wrap/_01862_ (net)
-                  0.10    0.00    7.16 v mprj/u_mac_wrap/_04531_/B (sky130_fd_sc_hd__or4_1)
-                  0.10    0.56    7.72 v mprj/u_mac_wrap/_04531_/X (sky130_fd_sc_hd__or4_1)
-     2    0.01                           mprj/u_mac_wrap/_01865_ (net)
-                  0.10    0.00    7.72 v mprj/u_mac_wrap/_04554_/B1 (sky130_fd_sc_hd__o2111a_1)
-                  0.15    0.27    7.99 v mprj/u_mac_wrap/_04554_/X (sky130_fd_sc_hd__o2111a_1)
-     6    0.03                           mprj/u_mac_wrap/_01885_ (net)
-                  0.15    0.00    7.99 v mprj/u_mac_wrap/_04592_/A (sky130_fd_sc_hd__nor4b_2)
-                  0.42    0.50    8.49 ^ mprj/u_mac_wrap/_04592_/Y (sky130_fd_sc_hd__nor4b_2)
-     2    0.02                           mprj/u_mac_wrap/_01916_ (net)
-                  0.42    0.00    8.49 ^ mprj/u_mac_wrap/fanout254/A (sky130_fd_sc_hd__buf_4)
-                  0.21    0.33    8.82 ^ mprj/u_mac_wrap/fanout254/X (sky130_fd_sc_hd__buf_4)
-    20    0.07                           mprj/u_mac_wrap/net254 (net)
-                  0.21    0.00    8.83 ^ mprj/u_mac_wrap/_06698_/B (sky130_fd_sc_hd__or2_1)
-                  0.18    0.24    9.06 ^ mprj/u_mac_wrap/_06698_/X (sky130_fd_sc_hd__or2_1)
-     3    0.02                           mprj/u_mac_wrap/_03166_ (net)
-                  0.18    0.00    9.06 ^ mprj/u_mac_wrap/fanout242/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.19    0.30    9.36 ^ mprj/u_mac_wrap/fanout242/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.06                           mprj/u_mac_wrap/net242 (net)
-                  0.19    0.00    9.36 ^ mprj/u_mac_wrap/_06782_/A2 (sky130_fd_sc_hd__o22a_1)
-                  0.05    0.16    9.53 ^ mprj/u_mac_wrap/_06782_/X (sky130_fd_sc_hd__o22a_1)
+                  0.22    0.00    7.98 ^ mprj/u_mac_wrap/_04544_/B (sky130_fd_sc_hd__xor2_2)
+                  0.25    0.27    8.25 ^ mprj/u_mac_wrap/_04544_/X (sky130_fd_sc_hd__xor2_2)
+     4    0.02                           mprj/u_mac_wrap/_01853_ (net)
+                  0.25    0.00    8.25 ^ mprj/u_mac_wrap/_04548_/B (sky130_fd_sc_hd__xor2_1)
+                  0.28    0.30    8.56 ^ mprj/u_mac_wrap/_04548_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01857_ (net)
+                  0.28    0.00    8.56 ^ mprj/u_mac_wrap/_04550_/B (sky130_fd_sc_hd__xor2_1)
+                  0.26    0.29    8.85 ^ mprj/u_mac_wrap/_04550_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01859_ (net)
+                  0.26    0.00    8.85 ^ mprj/u_mac_wrap/_04551_/B (sky130_fd_sc_hd__xor2_1)
+                  0.09    0.13    8.98 v mprj/u_mac_wrap/_04551_/X (sky130_fd_sc_hd__xor2_1)
+     2    0.01                           mprj/u_mac_wrap/_01860_ (net)
+                  0.09    0.00    8.98 v mprj/u_mac_wrap/_04556_/A2 (sky130_fd_sc_hd__a211o_1)
+                  0.08    0.36    9.34 v mprj/u_mac_wrap/_04556_/X (sky130_fd_sc_hd__a211o_1)
+     3    0.01                           mprj/u_mac_wrap/_01865_ (net)
+                  0.08    0.00    9.34 v mprj/u_mac_wrap/_04567_/C (sky130_fd_sc_hd__or4_1)
+                  0.13    0.56    9.89 v mprj/u_mac_wrap/_04567_/X (sky130_fd_sc_hd__or4_1)
+     2    0.01                           mprj/u_mac_wrap/_01876_ (net)
+                  0.13    0.00    9.89 v mprj/u_mac_wrap/_04568_/A (sky130_fd_sc_hd__inv_2)
+                  0.04    0.07    9.97 ^ mprj/u_mac_wrap/_04568_/Y (sky130_fd_sc_hd__inv_2)
+     1    0.00                           mprj/u_mac_wrap/_01877_ (net)
+                  0.04    0.00    9.97 ^ mprj/u_mac_wrap/_04583_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.18    0.30   10.27 ^ mprj/u_mac_wrap/_04583_/X (sky130_fd_sc_hd__o2111a_1)
+     4    0.02                           mprj/u_mac_wrap/_01889_ (net)
+                  0.18    0.00   10.27 ^ mprj/u_mac_wrap/_04618_/A_N (sky130_fd_sc_hd__and4bb_2)
+                  0.10    0.33   10.59 v mprj/u_mac_wrap/_04618_/X (sky130_fd_sc_hd__and4bb_2)
+     4    0.03                           mprj/u_mac_wrap/_01917_ (net)
+                  0.10    0.00   10.60 v mprj/u_mac_wrap/fanout251/A (sky130_fd_sc_hd__buf_4)
+                  0.10    0.24   10.83 v mprj/u_mac_wrap/fanout251/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_mac_wrap/net251 (net)
+                  0.10    0.00   10.84 v mprj/u_mac_wrap/_06757_/B (sky130_fd_sc_hd__or2_2)
+                  0.12    0.39   11.22 v mprj/u_mac_wrap/_06757_/X (sky130_fd_sc_hd__or2_2)
+     8    0.03                           mprj/u_mac_wrap/_03200_ (net)
+                  0.12    0.00   11.22 v mprj/u_mac_wrap/fanout244/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.22   11.44 v mprj/u_mac_wrap/fanout244/X (sky130_fd_sc_hd__clkbuf_2)
+     6    0.03                           mprj/u_mac_wrap/net244 (net)
+                  0.12    0.00   11.44 v mprj/u_mac_wrap/_06840_/A2 (sky130_fd_sc_hd__o22a_1)
+                  0.04    0.23   11.67 v mprj/u_mac_wrap/_06840_/X (sky130_fd_sc_hd__o22a_1)
      1    0.00                           mprj/u_mac_wrap/_00867_ (net)
-                  0.05    0.00    9.53 ^ mprj/u_mac_wrap/_09367_/D (sky130_fd_sc_hd__dfstp_1)
-                                  9.53   data arrival time
+                  0.04    0.00   11.67 v mprj/u_mac_wrap/_09417_/D (sky130_fd_sc_hd__dfstp_1)
+                                 11.67   data arrival time
 
                          40.00   40.00   clock mac_tx_clk (rise edge)
                           0.00   40.00   clock source latency
@@ -146743,34 +165042,7745 @@
                   0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
                   0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
      2    0.00                           user_io_in[5] (net)
-                  0.03    0.00   41.53 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.22    0.21   41.74 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15   41.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00   41.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27   41.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02   41.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43   42.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09   42.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34   42.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00   42.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15   43.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00   43.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42   43.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01   43.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34   43.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01   43.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20   44.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00   44.13 ^ mprj/u_mac_wrap/clkbuf_4_7_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.10    0.17   44.30 ^ mprj/u_mac_wrap/clkbuf_4_7_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    22    0.05                           mprj/u_mac_wrap/clknet_4_7_0_phy_tx_clk (net)
+                  0.10    0.00   44.30 ^ mprj/u_mac_wrap/_09417_/CLK (sky130_fd_sc_hd__dfstp_1)
+                         -0.25   44.05   clock uncertainty
+                          2.44   46.49   clock reconvergence pessimism
+                         -0.05   46.44   library setup time
+                                 46.44   data required time
+-----------------------------------------------------------------------------
+                                 46.44   data required time
+                                -11.67   data arrival time
+-----------------------------------------------------------------------------
+                                 34.77   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08942_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj/u_mac_wrap/_09431_
+          (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Path Group: mac_tx_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1   11.12                           mprj_io[5] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[5] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.01 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.26    7.28 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.08                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
+                  0.14    0.00    7.28 ^ mprj/u_mac_wrap/_08942_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.45    7.73 v mprj/u_mac_wrap/_08942_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
+                  0.08    0.00    7.73 v mprj/u_mac_wrap/_04543_/A (sky130_fd_sc_hd__xnor2_2)
+                  0.22    0.25    7.98 ^ mprj/u_mac_wrap/_04543_/Y (sky130_fd_sc_hd__xnor2_2)
+     3    0.01                           mprj/u_mac_wrap/_01852_ (net)
+                  0.22    0.00    7.98 ^ mprj/u_mac_wrap/_04544_/B (sky130_fd_sc_hd__xor2_2)
+                  0.25    0.27    8.25 ^ mprj/u_mac_wrap/_04544_/X (sky130_fd_sc_hd__xor2_2)
+     4    0.02                           mprj/u_mac_wrap/_01853_ (net)
+                  0.25    0.00    8.25 ^ mprj/u_mac_wrap/_04548_/B (sky130_fd_sc_hd__xor2_1)
+                  0.28    0.30    8.56 ^ mprj/u_mac_wrap/_04548_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01857_ (net)
+                  0.28    0.00    8.56 ^ mprj/u_mac_wrap/_04550_/B (sky130_fd_sc_hd__xor2_1)
+                  0.26    0.29    8.85 ^ mprj/u_mac_wrap/_04550_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01859_ (net)
+                  0.26    0.00    8.85 ^ mprj/u_mac_wrap/_04551_/B (sky130_fd_sc_hd__xor2_1)
+                  0.09    0.13    8.98 v mprj/u_mac_wrap/_04551_/X (sky130_fd_sc_hd__xor2_1)
+     2    0.01                           mprj/u_mac_wrap/_01860_ (net)
+                  0.09    0.00    8.98 v mprj/u_mac_wrap/_04556_/A2 (sky130_fd_sc_hd__a211o_1)
+                  0.08    0.36    9.34 v mprj/u_mac_wrap/_04556_/X (sky130_fd_sc_hd__a211o_1)
+     3    0.01                           mprj/u_mac_wrap/_01865_ (net)
+                  0.08    0.00    9.34 v mprj/u_mac_wrap/_04567_/C (sky130_fd_sc_hd__or4_1)
+                  0.13    0.56    9.89 v mprj/u_mac_wrap/_04567_/X (sky130_fd_sc_hd__or4_1)
+     2    0.01                           mprj/u_mac_wrap/_01876_ (net)
+                  0.13    0.00    9.89 v mprj/u_mac_wrap/_04568_/A (sky130_fd_sc_hd__inv_2)
+                  0.04    0.07    9.97 ^ mprj/u_mac_wrap/_04568_/Y (sky130_fd_sc_hd__inv_2)
+     1    0.00                           mprj/u_mac_wrap/_01877_ (net)
+                  0.04    0.00    9.97 ^ mprj/u_mac_wrap/_04583_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.18    0.30   10.27 ^ mprj/u_mac_wrap/_04583_/X (sky130_fd_sc_hd__o2111a_1)
+     4    0.02                           mprj/u_mac_wrap/_01889_ (net)
+                  0.18    0.00   10.27 ^ mprj/u_mac_wrap/_04618_/A_N (sky130_fd_sc_hd__and4bb_2)
+                  0.10    0.33   10.59 v mprj/u_mac_wrap/_04618_/X (sky130_fd_sc_hd__and4bb_2)
+     4    0.03                           mprj/u_mac_wrap/_01917_ (net)
+                  0.10    0.00   10.60 v mprj/u_mac_wrap/fanout251/A (sky130_fd_sc_hd__buf_4)
+                  0.10    0.24   10.83 v mprj/u_mac_wrap/fanout251/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_mac_wrap/net251 (net)
+                  0.10    0.00   10.84 v mprj/u_mac_wrap/_06757_/B (sky130_fd_sc_hd__or2_2)
+                  0.12    0.39   11.22 v mprj/u_mac_wrap/_06757_/X (sky130_fd_sc_hd__or2_2)
+     8    0.03                           mprj/u_mac_wrap/_03200_ (net)
+                  0.12    0.00   11.22 v mprj/u_mac_wrap/fanout246/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.09    0.20   11.42 v mprj/u_mac_wrap/fanout246/X (sky130_fd_sc_hd__clkbuf_2)
+     6    0.02                           mprj/u_mac_wrap/net246 (net)
+                  0.09    0.00   11.42 v mprj/u_mac_wrap/_06899_/A2 (sky130_fd_sc_hd__o22a_1)
+                  0.04    0.22   11.64 v mprj/u_mac_wrap/_06899_/X (sky130_fd_sc_hd__o22a_1)
+     1    0.00                           mprj/u_mac_wrap/_00881_ (net)
+                  0.04    0.00   11.64 v mprj/u_mac_wrap/_09431_/D (sky130_fd_sc_hd__dfstp_1)
+                                 11.64   data arrival time
+
+                         40.00   40.00   clock mac_tx_clk (rise edge)
+                          0.00   40.00   clock source latency
+                  1.00    0.00   40.00 ^ mprj_io[5] (inout)
+     1    6.12                           mprj_io[5] (net)
+                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[5] (net)
+                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00   41.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10   41.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00   41.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21   41.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15   41.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00   41.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27   41.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02   41.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43   42.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09   42.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34   42.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00   42.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15   43.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00   43.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42   43.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01   43.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34   43.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01   43.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20   44.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00   44.13 ^ mprj/u_mac_wrap/clkbuf_4_12_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16   44.28 ^ mprj/u_mac_wrap/clkbuf_4_12_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     9    0.04                           mprj/u_mac_wrap/clknet_4_12_0_phy_tx_clk (net)
+                  0.08    0.00   44.28 ^ mprj/u_mac_wrap/_09431_/CLK (sky130_fd_sc_hd__dfstp_1)
+                         -0.25   44.03   clock uncertainty
+                          2.44   46.47   clock reconvergence pessimism
+                         -0.06   46.41   library setup time
+                                 46.41   data required time
+-----------------------------------------------------------------------------
+                                 46.41   data required time
+                                -11.64   data arrival time
+-----------------------------------------------------------------------------
+                                 34.77   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08942_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj/u_mac_wrap/_09406_
+          (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Path Group: mac_tx_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1   11.12                           mprj_io[5] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[5] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.01 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.26    7.28 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.08                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
+                  0.14    0.00    7.28 ^ mprj/u_mac_wrap/_08942_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.45    7.73 v mprj/u_mac_wrap/_08942_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
+                  0.08    0.00    7.73 v mprj/u_mac_wrap/_04543_/A (sky130_fd_sc_hd__xnor2_2)
+                  0.22    0.25    7.98 ^ mprj/u_mac_wrap/_04543_/Y (sky130_fd_sc_hd__xnor2_2)
+     3    0.01                           mprj/u_mac_wrap/_01852_ (net)
+                  0.22    0.00    7.98 ^ mprj/u_mac_wrap/_04544_/B (sky130_fd_sc_hd__xor2_2)
+                  0.25    0.27    8.25 ^ mprj/u_mac_wrap/_04544_/X (sky130_fd_sc_hd__xor2_2)
+     4    0.02                           mprj/u_mac_wrap/_01853_ (net)
+                  0.25    0.00    8.25 ^ mprj/u_mac_wrap/_04548_/B (sky130_fd_sc_hd__xor2_1)
+                  0.28    0.30    8.56 ^ mprj/u_mac_wrap/_04548_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01857_ (net)
+                  0.28    0.00    8.56 ^ mprj/u_mac_wrap/_04550_/B (sky130_fd_sc_hd__xor2_1)
+                  0.26    0.29    8.85 ^ mprj/u_mac_wrap/_04550_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01859_ (net)
+                  0.26    0.00    8.85 ^ mprj/u_mac_wrap/_04551_/B (sky130_fd_sc_hd__xor2_1)
+                  0.09    0.13    8.98 v mprj/u_mac_wrap/_04551_/X (sky130_fd_sc_hd__xor2_1)
+     2    0.01                           mprj/u_mac_wrap/_01860_ (net)
+                  0.09    0.00    8.98 v mprj/u_mac_wrap/_04556_/A2 (sky130_fd_sc_hd__a211o_1)
+                  0.08    0.36    9.34 v mprj/u_mac_wrap/_04556_/X (sky130_fd_sc_hd__a211o_1)
+     3    0.01                           mprj/u_mac_wrap/_01865_ (net)
+                  0.08    0.00    9.34 v mprj/u_mac_wrap/_04567_/C (sky130_fd_sc_hd__or4_1)
+                  0.13    0.56    9.89 v mprj/u_mac_wrap/_04567_/X (sky130_fd_sc_hd__or4_1)
+     2    0.01                           mprj/u_mac_wrap/_01876_ (net)
+                  0.13    0.00    9.89 v mprj/u_mac_wrap/_04568_/A (sky130_fd_sc_hd__inv_2)
+                  0.04    0.07    9.97 ^ mprj/u_mac_wrap/_04568_/Y (sky130_fd_sc_hd__inv_2)
+     1    0.00                           mprj/u_mac_wrap/_01877_ (net)
+                  0.04    0.00    9.97 ^ mprj/u_mac_wrap/_04583_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.18    0.30   10.27 ^ mprj/u_mac_wrap/_04583_/X (sky130_fd_sc_hd__o2111a_1)
+     4    0.02                           mprj/u_mac_wrap/_01889_ (net)
+                  0.18    0.00   10.27 ^ mprj/u_mac_wrap/_04618_/A_N (sky130_fd_sc_hd__and4bb_2)
+                  0.10    0.33   10.59 v mprj/u_mac_wrap/_04618_/X (sky130_fd_sc_hd__and4bb_2)
+     4    0.03                           mprj/u_mac_wrap/_01917_ (net)
+                  0.10    0.00   10.60 v mprj/u_mac_wrap/fanout251/A (sky130_fd_sc_hd__buf_4)
+                  0.10    0.24   10.83 v mprj/u_mac_wrap/fanout251/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_mac_wrap/net251 (net)
+                  0.10    0.00   10.84 v mprj/u_mac_wrap/_06757_/B (sky130_fd_sc_hd__or2_2)
+                  0.12    0.39   11.22 v mprj/u_mac_wrap/_06757_/X (sky130_fd_sc_hd__or2_2)
+     8    0.03                           mprj/u_mac_wrap/_03200_ (net)
+                  0.12    0.00   11.22 v mprj/u_mac_wrap/fanout244/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.22   11.44 v mprj/u_mac_wrap/fanout244/X (sky130_fd_sc_hd__clkbuf_2)
+     6    0.03                           mprj/u_mac_wrap/net244 (net)
+                  0.12    0.00   11.44 v mprj/u_mac_wrap/_06794_/A2 (sky130_fd_sc_hd__o22a_1)
+                  0.04    0.23   11.67 v mprj/u_mac_wrap/_06794_/X (sky130_fd_sc_hd__o22a_1)
+     1    0.00                           mprj/u_mac_wrap/_00856_ (net)
+                  0.04    0.00   11.67 v mprj/u_mac_wrap/_09406_/D (sky130_fd_sc_hd__dfstp_4)
+                                 11.67   data arrival time
+
+                         40.00   40.00   clock mac_tx_clk (rise edge)
+                          0.00   40.00   clock source latency
+                  1.00    0.00   40.00 ^ mprj_io[5] (inout)
+     1    6.12                           mprj_io[5] (net)
+                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[5] (net)
+                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00   41.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10   41.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00   41.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21   41.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15   41.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00   41.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27   41.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02   41.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43   42.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09   42.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34   42.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00   42.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15   43.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00   43.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42   43.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01   43.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34   43.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01   43.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20   44.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00   44.13 ^ mprj/u_mac_wrap/clkbuf_4_6_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.11    0.18   44.30 ^ mprj/u_mac_wrap/clkbuf_4_6_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.06                           mprj/u_mac_wrap/clknet_4_6_0_phy_tx_clk (net)
+                  0.11    0.00   44.30 ^ mprj/u_mac_wrap/_09406_/CLK (sky130_fd_sc_hd__dfstp_4)
+                         -0.25   44.05   clock uncertainty
+                          2.44   46.49   clock reconvergence pessimism
+                         -0.05   46.44   library setup time
+                                 46.44   data required time
+-----------------------------------------------------------------------------
+                                 46.44   data required time
+                                -11.67   data arrival time
+-----------------------------------------------------------------------------
+                                 34.77   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08942_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj/u_mac_wrap/_09409_
+          (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Path Group: mac_tx_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1   11.12                           mprj_io[5] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[5] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.01 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.26    7.28 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.08                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
+                  0.14    0.00    7.28 ^ mprj/u_mac_wrap/_08942_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.45    7.73 v mprj/u_mac_wrap/_08942_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
+                  0.08    0.00    7.73 v mprj/u_mac_wrap/_04543_/A (sky130_fd_sc_hd__xnor2_2)
+                  0.22    0.25    7.98 ^ mprj/u_mac_wrap/_04543_/Y (sky130_fd_sc_hd__xnor2_2)
+     3    0.01                           mprj/u_mac_wrap/_01852_ (net)
+                  0.22    0.00    7.98 ^ mprj/u_mac_wrap/_04544_/B (sky130_fd_sc_hd__xor2_2)
+                  0.25    0.27    8.25 ^ mprj/u_mac_wrap/_04544_/X (sky130_fd_sc_hd__xor2_2)
+     4    0.02                           mprj/u_mac_wrap/_01853_ (net)
+                  0.25    0.00    8.25 ^ mprj/u_mac_wrap/_04548_/B (sky130_fd_sc_hd__xor2_1)
+                  0.28    0.30    8.56 ^ mprj/u_mac_wrap/_04548_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01857_ (net)
+                  0.28    0.00    8.56 ^ mprj/u_mac_wrap/_04550_/B (sky130_fd_sc_hd__xor2_1)
+                  0.26    0.29    8.85 ^ mprj/u_mac_wrap/_04550_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01859_ (net)
+                  0.26    0.00    8.85 ^ mprj/u_mac_wrap/_04551_/B (sky130_fd_sc_hd__xor2_1)
+                  0.09    0.13    8.98 v mprj/u_mac_wrap/_04551_/X (sky130_fd_sc_hd__xor2_1)
+     2    0.01                           mprj/u_mac_wrap/_01860_ (net)
+                  0.09    0.00    8.98 v mprj/u_mac_wrap/_04556_/A2 (sky130_fd_sc_hd__a211o_1)
+                  0.08    0.36    9.34 v mprj/u_mac_wrap/_04556_/X (sky130_fd_sc_hd__a211o_1)
+     3    0.01                           mprj/u_mac_wrap/_01865_ (net)
+                  0.08    0.00    9.34 v mprj/u_mac_wrap/_04567_/C (sky130_fd_sc_hd__or4_1)
+                  0.13    0.56    9.89 v mprj/u_mac_wrap/_04567_/X (sky130_fd_sc_hd__or4_1)
+     2    0.01                           mprj/u_mac_wrap/_01876_ (net)
+                  0.13    0.00    9.89 v mprj/u_mac_wrap/_04568_/A (sky130_fd_sc_hd__inv_2)
+                  0.04    0.07    9.97 ^ mprj/u_mac_wrap/_04568_/Y (sky130_fd_sc_hd__inv_2)
+     1    0.00                           mprj/u_mac_wrap/_01877_ (net)
+                  0.04    0.00    9.97 ^ mprj/u_mac_wrap/_04583_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.18    0.30   10.27 ^ mprj/u_mac_wrap/_04583_/X (sky130_fd_sc_hd__o2111a_1)
+     4    0.02                           mprj/u_mac_wrap/_01889_ (net)
+                  0.18    0.00   10.27 ^ mprj/u_mac_wrap/_04618_/A_N (sky130_fd_sc_hd__and4bb_2)
+                  0.10    0.33   10.59 v mprj/u_mac_wrap/_04618_/X (sky130_fd_sc_hd__and4bb_2)
+     4    0.03                           mprj/u_mac_wrap/_01917_ (net)
+                  0.10    0.00   10.60 v mprj/u_mac_wrap/fanout251/A (sky130_fd_sc_hd__buf_4)
+                  0.10    0.24   10.83 v mprj/u_mac_wrap/fanout251/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_mac_wrap/net251 (net)
+                  0.10    0.00   10.84 v mprj/u_mac_wrap/_06757_/B (sky130_fd_sc_hd__or2_2)
+                  0.12    0.39   11.22 v mprj/u_mac_wrap/_06757_/X (sky130_fd_sc_hd__or2_2)
+     8    0.03                           mprj/u_mac_wrap/_03200_ (net)
+                  0.12    0.00   11.22 v mprj/u_mac_wrap/fanout244/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.22   11.44 v mprj/u_mac_wrap/fanout244/X (sky130_fd_sc_hd__clkbuf_2)
+     6    0.03                           mprj/u_mac_wrap/net244 (net)
+                  0.12    0.00   11.44 v mprj/u_mac_wrap/_06808_/A2 (sky130_fd_sc_hd__o22a_1)
+                  0.04    0.23   11.67 v mprj/u_mac_wrap/_06808_/X (sky130_fd_sc_hd__o22a_1)
+     1    0.00                           mprj/u_mac_wrap/_00859_ (net)
+                  0.04    0.00   11.67 v mprj/u_mac_wrap/_09409_/D (sky130_fd_sc_hd__dfstp_1)
+                                 11.67   data arrival time
+
+                         40.00   40.00   clock mac_tx_clk (rise edge)
+                          0.00   40.00   clock source latency
+                  1.00    0.00   40.00 ^ mprj_io[5] (inout)
+     1    6.12                           mprj_io[5] (net)
+                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[5] (net)
+                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00   41.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10   41.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00   41.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21   41.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15   41.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00   41.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27   41.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02   41.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43   42.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09   42.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34   42.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00   42.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15   43.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00   43.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42   43.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01   43.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34   43.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01   43.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20   44.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00   44.13 ^ mprj/u_mac_wrap/clkbuf_4_6_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.11    0.18   44.30 ^ mprj/u_mac_wrap/clkbuf_4_6_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.06                           mprj/u_mac_wrap/clknet_4_6_0_phy_tx_clk (net)
+                  0.11    0.00   44.31 ^ mprj/u_mac_wrap/_09409_/CLK (sky130_fd_sc_hd__dfstp_1)
+                         -0.25   44.06   clock uncertainty
+                          2.44   46.50   clock reconvergence pessimism
+                         -0.05   46.44   library setup time
+                                 46.44   data required time
+-----------------------------------------------------------------------------
+                                 46.44   data required time
+                                -11.67   data arrival time
+-----------------------------------------------------------------------------
+                                 34.77   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08942_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj/u_mac_wrap/_09407_
+          (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Path Group: mac_tx_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1   11.12                           mprj_io[5] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[5] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.01 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.26    7.28 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.08                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
+                  0.14    0.00    7.28 ^ mprj/u_mac_wrap/_08942_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.45    7.73 v mprj/u_mac_wrap/_08942_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
+                  0.08    0.00    7.73 v mprj/u_mac_wrap/_04543_/A (sky130_fd_sc_hd__xnor2_2)
+                  0.22    0.25    7.98 ^ mprj/u_mac_wrap/_04543_/Y (sky130_fd_sc_hd__xnor2_2)
+     3    0.01                           mprj/u_mac_wrap/_01852_ (net)
+                  0.22    0.00    7.98 ^ mprj/u_mac_wrap/_04544_/B (sky130_fd_sc_hd__xor2_2)
+                  0.25    0.27    8.25 ^ mprj/u_mac_wrap/_04544_/X (sky130_fd_sc_hd__xor2_2)
+     4    0.02                           mprj/u_mac_wrap/_01853_ (net)
+                  0.25    0.00    8.25 ^ mprj/u_mac_wrap/_04548_/B (sky130_fd_sc_hd__xor2_1)
+                  0.28    0.30    8.56 ^ mprj/u_mac_wrap/_04548_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01857_ (net)
+                  0.28    0.00    8.56 ^ mprj/u_mac_wrap/_04550_/B (sky130_fd_sc_hd__xor2_1)
+                  0.26    0.29    8.85 ^ mprj/u_mac_wrap/_04550_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01859_ (net)
+                  0.26    0.00    8.85 ^ mprj/u_mac_wrap/_04551_/B (sky130_fd_sc_hd__xor2_1)
+                  0.09    0.13    8.98 v mprj/u_mac_wrap/_04551_/X (sky130_fd_sc_hd__xor2_1)
+     2    0.01                           mprj/u_mac_wrap/_01860_ (net)
+                  0.09    0.00    8.98 v mprj/u_mac_wrap/_04556_/A2 (sky130_fd_sc_hd__a211o_1)
+                  0.08    0.36    9.34 v mprj/u_mac_wrap/_04556_/X (sky130_fd_sc_hd__a211o_1)
+     3    0.01                           mprj/u_mac_wrap/_01865_ (net)
+                  0.08    0.00    9.34 v mprj/u_mac_wrap/_04567_/C (sky130_fd_sc_hd__or4_1)
+                  0.13    0.56    9.89 v mprj/u_mac_wrap/_04567_/X (sky130_fd_sc_hd__or4_1)
+     2    0.01                           mprj/u_mac_wrap/_01876_ (net)
+                  0.13    0.00    9.89 v mprj/u_mac_wrap/_04568_/A (sky130_fd_sc_hd__inv_2)
+                  0.04    0.07    9.97 ^ mprj/u_mac_wrap/_04568_/Y (sky130_fd_sc_hd__inv_2)
+     1    0.00                           mprj/u_mac_wrap/_01877_ (net)
+                  0.04    0.00    9.97 ^ mprj/u_mac_wrap/_04583_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.18    0.30   10.27 ^ mprj/u_mac_wrap/_04583_/X (sky130_fd_sc_hd__o2111a_1)
+     4    0.02                           mprj/u_mac_wrap/_01889_ (net)
+                  0.18    0.00   10.27 ^ mprj/u_mac_wrap/_04618_/A_N (sky130_fd_sc_hd__and4bb_2)
+                  0.10    0.33   10.59 v mprj/u_mac_wrap/_04618_/X (sky130_fd_sc_hd__and4bb_2)
+     4    0.03                           mprj/u_mac_wrap/_01917_ (net)
+                  0.10    0.00   10.60 v mprj/u_mac_wrap/fanout251/A (sky130_fd_sc_hd__buf_4)
+                  0.10    0.24   10.83 v mprj/u_mac_wrap/fanout251/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_mac_wrap/net251 (net)
+                  0.10    0.00   10.84 v mprj/u_mac_wrap/_06760_/A_N (sky130_fd_sc_hd__nand2b_2)
+                  0.14    0.29   11.13 v mprj/u_mac_wrap/_06760_/Y (sky130_fd_sc_hd__nand2b_2)
+     8    0.03                           mprj/u_mac_wrap/_03203_ (net)
+                  0.14    0.00   11.13 v mprj/u_mac_wrap/fanout242/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.10    0.21   11.34 v mprj/u_mac_wrap/fanout242/X (sky130_fd_sc_hd__clkbuf_2)
+     6    0.02                           mprj/u_mac_wrap/net242 (net)
+                  0.10    0.00   11.34 v mprj/u_mac_wrap/_06800_/A1 (sky130_fd_sc_hd__o32a_1)
+                  0.05    0.37   11.71 v mprj/u_mac_wrap/_06800_/X (sky130_fd_sc_hd__o32a_1)
+     1    0.00                           mprj/u_mac_wrap/_00857_ (net)
+                  0.05    0.00   11.71 v mprj/u_mac_wrap/_09407_/D (sky130_fd_sc_hd__dfstp_2)
+                                 11.71   data arrival time
+
+                         40.00   40.00   clock mac_tx_clk (rise edge)
+                          0.00   40.00   clock source latency
+                  1.00    0.00   40.00 ^ mprj_io[5] (inout)
+     1    6.12                           mprj_io[5] (net)
+                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[5] (net)
+                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00   41.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10   41.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00   41.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21   41.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15   41.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00   41.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27   41.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02   41.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43   42.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09   42.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34   42.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00   42.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15   43.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00   43.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42   43.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01   43.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34   43.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01   43.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20   44.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00   44.12 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.17   44.29 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00   44.29 ^ mprj/u_mac_wrap/clkbuf_4_15_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.17   44.47 ^ mprj/u_mac_wrap/clkbuf_4_15_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     9    0.03                           mprj/u_mac_wrap/clknet_4_15_0_phy_tx_clk (net)
+                  0.07    0.00   44.47 ^ mprj/u_mac_wrap/_09407_/CLK (sky130_fd_sc_hd__dfstp_2)
+                         -0.25   44.22   clock uncertainty
+                          2.45   46.67   clock reconvergence pessimism
+                         -0.07   46.60   library setup time
+                                 46.60   data required time
+-----------------------------------------------------------------------------
+                                 46.60   data required time
+                                -11.71   data arrival time
+-----------------------------------------------------------------------------
+                                 34.89   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08942_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj/u_mac_wrap/_09467_
+          (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Path Group: mac_tx_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1   11.12                           mprj_io[5] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[5] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.01 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.26    7.28 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.08                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
+                  0.14    0.00    7.28 ^ mprj/u_mac_wrap/_08942_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.45    7.73 v mprj/u_mac_wrap/_08942_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
+                  0.08    0.00    7.73 v mprj/u_mac_wrap/_04543_/A (sky130_fd_sc_hd__xnor2_2)
+                  0.22    0.25    7.98 ^ mprj/u_mac_wrap/_04543_/Y (sky130_fd_sc_hd__xnor2_2)
+     3    0.01                           mprj/u_mac_wrap/_01852_ (net)
+                  0.22    0.00    7.98 ^ mprj/u_mac_wrap/_04544_/B (sky130_fd_sc_hd__xor2_2)
+                  0.25    0.27    8.25 ^ mprj/u_mac_wrap/_04544_/X (sky130_fd_sc_hd__xor2_2)
+     4    0.02                           mprj/u_mac_wrap/_01853_ (net)
+                  0.25    0.00    8.25 ^ mprj/u_mac_wrap/_04548_/B (sky130_fd_sc_hd__xor2_1)
+                  0.28    0.30    8.56 ^ mprj/u_mac_wrap/_04548_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01857_ (net)
+                  0.28    0.00    8.56 ^ mprj/u_mac_wrap/_04550_/B (sky130_fd_sc_hd__xor2_1)
+                  0.26    0.29    8.85 ^ mprj/u_mac_wrap/_04550_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01859_ (net)
+                  0.26    0.00    8.85 ^ mprj/u_mac_wrap/_04551_/B (sky130_fd_sc_hd__xor2_1)
+                  0.17    0.23    9.07 ^ mprj/u_mac_wrap/_04551_/X (sky130_fd_sc_hd__xor2_1)
+     2    0.01                           mprj/u_mac_wrap/_01860_ (net)
+                  0.17    0.00    9.07 ^ mprj/u_mac_wrap/_04556_/A2 (sky130_fd_sc_hd__a211o_1)
+                  0.11    0.21    9.28 ^ mprj/u_mac_wrap/_04556_/X (sky130_fd_sc_hd__a211o_1)
+     3    0.01                           mprj/u_mac_wrap/_01865_ (net)
+                  0.11    0.00    9.28 ^ mprj/u_mac_wrap/_04558_/B2 (sky130_fd_sc_hd__a221oi_2)
+                  0.08    0.08    9.36 v mprj/u_mac_wrap/_04558_/Y (sky130_fd_sc_hd__a221oi_2)
+     2    0.01                           mprj/u_mac_wrap/_01867_ (net)
+                  0.08    0.00    9.36 v mprj/u_mac_wrap/_04561_/B (sky130_fd_sc_hd__or4_1)
+                  0.10    0.55    9.91 v mprj/u_mac_wrap/_04561_/X (sky130_fd_sc_hd__or4_1)
+     2    0.00                           mprj/u_mac_wrap/_01870_ (net)
+                  0.10    0.00    9.91 v mprj/u_mac_wrap/_04583_/B1 (sky130_fd_sc_hd__o2111a_1)
+                  0.11    0.23   10.14 v mprj/u_mac_wrap/_04583_/X (sky130_fd_sc_hd__o2111a_1)
+     4    0.02                           mprj/u_mac_wrap/_01889_ (net)
+                  0.11    0.00   10.14 v mprj/u_mac_wrap/_04618_/A_N (sky130_fd_sc_hd__and4bb_2)
+                  0.18    0.44   10.57 ^ mprj/u_mac_wrap/_04618_/X (sky130_fd_sc_hd__and4bb_2)
+     4    0.03                           mprj/u_mac_wrap/_01917_ (net)
+                  0.18    0.00   10.57 ^ mprj/u_mac_wrap/fanout251/A (sky130_fd_sc_hd__buf_4)
+                  0.22    0.29   10.86 ^ mprj/u_mac_wrap/fanout251/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_mac_wrap/net251 (net)
+                  0.22    0.01   10.87 ^ mprj/u_mac_wrap/_04912_/A1 (sky130_fd_sc_hd__a21o_2)
+                  0.23    0.31   11.18 ^ mprj/u_mac_wrap/_04912_/X (sky130_fd_sc_hd__a21o_2)
+    14    0.05                           mprj/u_mac_wrap/_02119_ (net)
+                  0.23    0.00   11.19 ^ mprj/u_mac_wrap/_04964_/A (sky130_fd_sc_hd__nor2_1)
+                  0.13    0.13   11.32 v mprj/u_mac_wrap/_04964_/Y (sky130_fd_sc_hd__nor2_1)
+     2    0.01                           mprj/u_mac_wrap/_02168_ (net)
+                  0.13    0.00   11.32 v mprj/u_mac_wrap/_07127_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.32   11.64 v mprj/u_mac_wrap/_07127_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00897_ (net)
+                  0.05    0.00   11.64 v mprj/u_mac_wrap/_09467_/D (sky130_fd_sc_hd__dfrtp_4)
+                                 11.64   data arrival time
+
+                         40.00   40.00   clock mac_tx_clk (rise edge)
+                          0.00   40.00   clock source latency
+                  1.00    0.00   40.00 ^ mprj_io[5] (inout)
+     1    6.12                           mprj_io[5] (net)
+                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[5] (net)
+                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00   41.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10   41.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00   41.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21   41.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15   41.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00   41.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27   41.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02   41.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43   42.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09   42.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34   42.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00   42.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15   43.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00   43.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42   43.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01   43.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34   43.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01   43.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20   44.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00   44.12 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.17   44.29 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.14    0.00   44.30 ^ mprj/u_mac_wrap/clkbuf_4_10_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.16   44.46 ^ mprj/u_mac_wrap/clkbuf_4_10_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     6    0.02                           mprj/u_mac_wrap/clknet_4_10_0_phy_tx_clk (net)
+                  0.06    0.00   44.46 ^ mprj/u_mac_wrap/_09467_/CLK (sky130_fd_sc_hd__dfrtp_4)
+                         -0.25   44.21   clock uncertainty
+                          2.45   46.66   clock reconvergence pessimism
+                         -0.11   46.55   library setup time
+                                 46.55   data required time
+-----------------------------------------------------------------------------
+                                 46.55   data required time
+                                -11.64   data arrival time
+-----------------------------------------------------------------------------
+                                 34.91   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08942_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj/u_mac_wrap/_09433_
+          (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Path Group: mac_tx_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1   11.12                           mprj_io[5] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[5] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.01 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.26    7.28 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.08                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
+                  0.14    0.00    7.28 ^ mprj/u_mac_wrap/_08942_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.45    7.73 v mprj/u_mac_wrap/_08942_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
+                  0.08    0.00    7.73 v mprj/u_mac_wrap/_04543_/A (sky130_fd_sc_hd__xnor2_2)
+                  0.22    0.25    7.98 ^ mprj/u_mac_wrap/_04543_/Y (sky130_fd_sc_hd__xnor2_2)
+     3    0.01                           mprj/u_mac_wrap/_01852_ (net)
+                  0.22    0.00    7.98 ^ mprj/u_mac_wrap/_04544_/B (sky130_fd_sc_hd__xor2_2)
+                  0.25    0.27    8.25 ^ mprj/u_mac_wrap/_04544_/X (sky130_fd_sc_hd__xor2_2)
+     4    0.02                           mprj/u_mac_wrap/_01853_ (net)
+                  0.25    0.00    8.25 ^ mprj/u_mac_wrap/_04548_/B (sky130_fd_sc_hd__xor2_1)
+                  0.28    0.30    8.56 ^ mprj/u_mac_wrap/_04548_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01857_ (net)
+                  0.28    0.00    8.56 ^ mprj/u_mac_wrap/_04550_/B (sky130_fd_sc_hd__xor2_1)
+                  0.26    0.29    8.85 ^ mprj/u_mac_wrap/_04550_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01859_ (net)
+                  0.26    0.00    8.85 ^ mprj/u_mac_wrap/_04551_/B (sky130_fd_sc_hd__xor2_1)
+                  0.09    0.13    8.98 v mprj/u_mac_wrap/_04551_/X (sky130_fd_sc_hd__xor2_1)
+     2    0.01                           mprj/u_mac_wrap/_01860_ (net)
+                  0.09    0.00    8.98 v mprj/u_mac_wrap/_04556_/A2 (sky130_fd_sc_hd__a211o_1)
+                  0.08    0.36    9.34 v mprj/u_mac_wrap/_04556_/X (sky130_fd_sc_hd__a211o_1)
+     3    0.01                           mprj/u_mac_wrap/_01865_ (net)
+                  0.08    0.00    9.34 v mprj/u_mac_wrap/_04567_/C (sky130_fd_sc_hd__or4_1)
+                  0.13    0.56    9.89 v mprj/u_mac_wrap/_04567_/X (sky130_fd_sc_hd__or4_1)
+     2    0.01                           mprj/u_mac_wrap/_01876_ (net)
+                  0.13    0.00    9.89 v mprj/u_mac_wrap/_04568_/A (sky130_fd_sc_hd__inv_2)
+                  0.04    0.07    9.97 ^ mprj/u_mac_wrap/_04568_/Y (sky130_fd_sc_hd__inv_2)
+     1    0.00                           mprj/u_mac_wrap/_01877_ (net)
+                  0.04    0.00    9.97 ^ mprj/u_mac_wrap/_04583_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.18    0.30   10.27 ^ mprj/u_mac_wrap/_04583_/X (sky130_fd_sc_hd__o2111a_1)
+     4    0.02                           mprj/u_mac_wrap/_01889_ (net)
+                  0.18    0.00   10.27 ^ mprj/u_mac_wrap/_04618_/A_N (sky130_fd_sc_hd__and4bb_2)
+                  0.10    0.33   10.59 v mprj/u_mac_wrap/_04618_/X (sky130_fd_sc_hd__and4bb_2)
+     4    0.03                           mprj/u_mac_wrap/_01917_ (net)
+                  0.10    0.00   10.60 v mprj/u_mac_wrap/fanout251/A (sky130_fd_sc_hd__buf_4)
+                  0.10    0.24   10.83 v mprj/u_mac_wrap/fanout251/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_mac_wrap/net251 (net)
+                  0.10    0.00   10.83 v mprj/u_mac_wrap/_05773_/B1 (sky130_fd_sc_hd__a31oi_1)
+                  0.13    0.16   10.99 ^ mprj/u_mac_wrap/_05773_/Y (sky130_fd_sc_hd__a31oi_1)
+     1    0.00                           mprj/u_mac_wrap/_02610_ (net)
+                  0.13    0.00   10.99 ^ mprj/u_mac_wrap/fanout249/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.18    0.27   11.26 ^ mprj/u_mac_wrap/fanout249/X (sky130_fd_sc_hd__clkbuf_4)
+    16    0.06                           mprj/u_mac_wrap/net249 (net)
+                  0.18    0.00   11.26 ^ mprj/u_mac_wrap/fanout248/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.29   11.55 ^ mprj/u_mac_wrap/fanout248/X (sky130_fd_sc_hd__buf_2)
+    12    0.04                           mprj/u_mac_wrap/net248 (net)
+                  0.22    0.00   11.55 ^ mprj/u_mac_wrap/_06947_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.35   11.90 v mprj/u_mac_wrap/_06947_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00883_ (net)
+                  0.05    0.00   11.90 v mprj/u_mac_wrap/_09433_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 11.90   data arrival time
+
+                         40.00   40.00   clock mac_tx_clk (rise edge)
+                          0.00   40.00   clock source latency
+                  1.00    0.00   40.00 ^ mprj_io[5] (inout)
+     1    6.12                           mprj_io[5] (net)
+                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[5] (net)
+                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00   41.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10   41.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00   41.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21   41.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15   41.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00   41.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27   41.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02   41.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43   42.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09   42.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34   42.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00   42.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15   43.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00   43.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42   43.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01   43.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34   43.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01   43.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20   44.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00   44.12 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.17   44.29 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00   44.29 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.24   44.53 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01   44.54 ^ mprj/u_mac_wrap/clkbuf_4_5_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.19   44.73 ^ mprj/u_mac_wrap/clkbuf_4_5_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.03                           mprj/u_mac_wrap/clknet_4_5_0_phy_tx_clk (net)
+                  0.07    0.00   44.73 ^ mprj/u_mac_wrap/_09433_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   44.48   clock uncertainty
+                          2.47   46.95   clock reconvergence pessimism
+                         -0.11   46.84   library setup time
+                                 46.84   data required time
+-----------------------------------------------------------------------------
+                                 46.84   data required time
+                                -11.90   data arrival time
+-----------------------------------------------------------------------------
+                                 34.94   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08942_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj/u_mac_wrap/_09432_
+          (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Path Group: mac_tx_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1   11.12                           mprj_io[5] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[5] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.01 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.26    7.28 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.08                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
+                  0.14    0.00    7.28 ^ mprj/u_mac_wrap/_08942_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.45    7.73 v mprj/u_mac_wrap/_08942_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
+                  0.08    0.00    7.73 v mprj/u_mac_wrap/_04543_/A (sky130_fd_sc_hd__xnor2_2)
+                  0.22    0.25    7.98 ^ mprj/u_mac_wrap/_04543_/Y (sky130_fd_sc_hd__xnor2_2)
+     3    0.01                           mprj/u_mac_wrap/_01852_ (net)
+                  0.22    0.00    7.98 ^ mprj/u_mac_wrap/_04544_/B (sky130_fd_sc_hd__xor2_2)
+                  0.25    0.27    8.25 ^ mprj/u_mac_wrap/_04544_/X (sky130_fd_sc_hd__xor2_2)
+     4    0.02                           mprj/u_mac_wrap/_01853_ (net)
+                  0.25    0.00    8.25 ^ mprj/u_mac_wrap/_04548_/B (sky130_fd_sc_hd__xor2_1)
+                  0.28    0.30    8.56 ^ mprj/u_mac_wrap/_04548_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01857_ (net)
+                  0.28    0.00    8.56 ^ mprj/u_mac_wrap/_04550_/B (sky130_fd_sc_hd__xor2_1)
+                  0.26    0.29    8.85 ^ mprj/u_mac_wrap/_04550_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01859_ (net)
+                  0.26    0.00    8.85 ^ mprj/u_mac_wrap/_04551_/B (sky130_fd_sc_hd__xor2_1)
+                  0.09    0.13    8.98 v mprj/u_mac_wrap/_04551_/X (sky130_fd_sc_hd__xor2_1)
+     2    0.01                           mprj/u_mac_wrap/_01860_ (net)
+                  0.09    0.00    8.98 v mprj/u_mac_wrap/_04556_/A2 (sky130_fd_sc_hd__a211o_1)
+                  0.08    0.36    9.34 v mprj/u_mac_wrap/_04556_/X (sky130_fd_sc_hd__a211o_1)
+     3    0.01                           mprj/u_mac_wrap/_01865_ (net)
+                  0.08    0.00    9.34 v mprj/u_mac_wrap/_04567_/C (sky130_fd_sc_hd__or4_1)
+                  0.13    0.56    9.89 v mprj/u_mac_wrap/_04567_/X (sky130_fd_sc_hd__or4_1)
+     2    0.01                           mprj/u_mac_wrap/_01876_ (net)
+                  0.13    0.00    9.89 v mprj/u_mac_wrap/_04568_/A (sky130_fd_sc_hd__inv_2)
+                  0.04    0.07    9.97 ^ mprj/u_mac_wrap/_04568_/Y (sky130_fd_sc_hd__inv_2)
+     1    0.00                           mprj/u_mac_wrap/_01877_ (net)
+                  0.04    0.00    9.97 ^ mprj/u_mac_wrap/_04583_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.18    0.30   10.27 ^ mprj/u_mac_wrap/_04583_/X (sky130_fd_sc_hd__o2111a_1)
+     4    0.02                           mprj/u_mac_wrap/_01889_ (net)
+                  0.18    0.00   10.27 ^ mprj/u_mac_wrap/_04618_/A_N (sky130_fd_sc_hd__and4bb_2)
+                  0.10    0.33   10.59 v mprj/u_mac_wrap/_04618_/X (sky130_fd_sc_hd__and4bb_2)
+     4    0.03                           mprj/u_mac_wrap/_01917_ (net)
+                  0.10    0.00   10.60 v mprj/u_mac_wrap/fanout251/A (sky130_fd_sc_hd__buf_4)
+                  0.10    0.24   10.83 v mprj/u_mac_wrap/fanout251/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_mac_wrap/net251 (net)
+                  0.10    0.00   10.83 v mprj/u_mac_wrap/_05773_/B1 (sky130_fd_sc_hd__a31oi_1)
+                  0.13    0.16   10.99 ^ mprj/u_mac_wrap/_05773_/Y (sky130_fd_sc_hd__a31oi_1)
+     1    0.00                           mprj/u_mac_wrap/_02610_ (net)
+                  0.13    0.00   10.99 ^ mprj/u_mac_wrap/fanout249/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.18    0.27   11.26 ^ mprj/u_mac_wrap/fanout249/X (sky130_fd_sc_hd__clkbuf_4)
+    16    0.06                           mprj/u_mac_wrap/net249 (net)
+                  0.18    0.00   11.26 ^ mprj/u_mac_wrap/fanout248/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.29   11.55 ^ mprj/u_mac_wrap/fanout248/X (sky130_fd_sc_hd__buf_2)
+    12    0.04                           mprj/u_mac_wrap/net248 (net)
+                  0.22    0.00   11.55 ^ mprj/u_mac_wrap/_06923_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.35   11.91 v mprj/u_mac_wrap/_06923_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00882_ (net)
+                  0.05    0.00   11.91 v mprj/u_mac_wrap/_09432_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 11.91   data arrival time
+
+                         40.00   40.00   clock mac_tx_clk (rise edge)
+                          0.00   40.00   clock source latency
+                  1.00    0.00   40.00 ^ mprj_io[5] (inout)
+     1    6.12                           mprj_io[5] (net)
+                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[5] (net)
+                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00   41.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10   41.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00   41.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21   41.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15   41.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00   41.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27   41.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02   41.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43   42.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09   42.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34   42.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00   42.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15   43.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00   43.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42   43.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01   43.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34   43.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01   43.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20   44.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00   44.12 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.17   44.29 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00   44.29 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.24   44.53 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01   44.54 ^ mprj/u_mac_wrap/clkbuf_4_4_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.19   44.73 ^ mprj/u_mac_wrap/clkbuf_4_4_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.03                           mprj/u_mac_wrap/clknet_4_4_0_phy_tx_clk (net)
+                  0.07    0.00   44.73 ^ mprj/u_mac_wrap/_09432_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   44.48   clock uncertainty
+                          2.47   46.95   clock reconvergence pessimism
+                         -0.11   46.84   library setup time
+                                 46.84   data required time
+-----------------------------------------------------------------------------
+                                 46.84   data required time
+                                -11.91   data arrival time
+-----------------------------------------------------------------------------
+                                 34.94   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08942_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj/u_mac_wrap/_09438_
+          (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Path Group: mac_tx_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1   11.12                           mprj_io[5] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[5] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.01 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.26    7.28 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.08                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
+                  0.14    0.00    7.28 ^ mprj/u_mac_wrap/_08942_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.45    7.73 v mprj/u_mac_wrap/_08942_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
+                  0.08    0.00    7.73 v mprj/u_mac_wrap/_04543_/A (sky130_fd_sc_hd__xnor2_2)
+                  0.22    0.25    7.98 ^ mprj/u_mac_wrap/_04543_/Y (sky130_fd_sc_hd__xnor2_2)
+     3    0.01                           mprj/u_mac_wrap/_01852_ (net)
+                  0.22    0.00    7.98 ^ mprj/u_mac_wrap/_04544_/B (sky130_fd_sc_hd__xor2_2)
+                  0.25    0.27    8.25 ^ mprj/u_mac_wrap/_04544_/X (sky130_fd_sc_hd__xor2_2)
+     4    0.02                           mprj/u_mac_wrap/_01853_ (net)
+                  0.25    0.00    8.25 ^ mprj/u_mac_wrap/_04548_/B (sky130_fd_sc_hd__xor2_1)
+                  0.28    0.30    8.56 ^ mprj/u_mac_wrap/_04548_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01857_ (net)
+                  0.28    0.00    8.56 ^ mprj/u_mac_wrap/_04550_/B (sky130_fd_sc_hd__xor2_1)
+                  0.26    0.29    8.85 ^ mprj/u_mac_wrap/_04550_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01859_ (net)
+                  0.26    0.00    8.85 ^ mprj/u_mac_wrap/_04551_/B (sky130_fd_sc_hd__xor2_1)
+                  0.09    0.13    8.98 v mprj/u_mac_wrap/_04551_/X (sky130_fd_sc_hd__xor2_1)
+     2    0.01                           mprj/u_mac_wrap/_01860_ (net)
+                  0.09    0.00    8.98 v mprj/u_mac_wrap/_04556_/A2 (sky130_fd_sc_hd__a211o_1)
+                  0.08    0.36    9.34 v mprj/u_mac_wrap/_04556_/X (sky130_fd_sc_hd__a211o_1)
+     3    0.01                           mprj/u_mac_wrap/_01865_ (net)
+                  0.08    0.00    9.34 v mprj/u_mac_wrap/_04567_/C (sky130_fd_sc_hd__or4_1)
+                  0.13    0.56    9.89 v mprj/u_mac_wrap/_04567_/X (sky130_fd_sc_hd__or4_1)
+     2    0.01                           mprj/u_mac_wrap/_01876_ (net)
+                  0.13    0.00    9.89 v mprj/u_mac_wrap/_04568_/A (sky130_fd_sc_hd__inv_2)
+                  0.04    0.07    9.97 ^ mprj/u_mac_wrap/_04568_/Y (sky130_fd_sc_hd__inv_2)
+     1    0.00                           mprj/u_mac_wrap/_01877_ (net)
+                  0.04    0.00    9.97 ^ mprj/u_mac_wrap/_04583_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.18    0.30   10.27 ^ mprj/u_mac_wrap/_04583_/X (sky130_fd_sc_hd__o2111a_1)
+     4    0.02                           mprj/u_mac_wrap/_01889_ (net)
+                  0.18    0.00   10.27 ^ mprj/u_mac_wrap/_04618_/A_N (sky130_fd_sc_hd__and4bb_2)
+                  0.10    0.33   10.59 v mprj/u_mac_wrap/_04618_/X (sky130_fd_sc_hd__and4bb_2)
+     4    0.03                           mprj/u_mac_wrap/_01917_ (net)
+                  0.10    0.00   10.60 v mprj/u_mac_wrap/fanout251/A (sky130_fd_sc_hd__buf_4)
+                  0.10    0.24   10.83 v mprj/u_mac_wrap/fanout251/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_mac_wrap/net251 (net)
+                  0.10    0.00   10.83 v mprj/u_mac_wrap/_05773_/B1 (sky130_fd_sc_hd__a31oi_1)
+                  0.13    0.16   10.99 ^ mprj/u_mac_wrap/_05773_/Y (sky130_fd_sc_hd__a31oi_1)
+     1    0.00                           mprj/u_mac_wrap/_02610_ (net)
+                  0.13    0.00   10.99 ^ mprj/u_mac_wrap/fanout249/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.18    0.27   11.26 ^ mprj/u_mac_wrap/fanout249/X (sky130_fd_sc_hd__clkbuf_4)
+    16    0.06                           mprj/u_mac_wrap/net249 (net)
+                  0.18    0.00   11.26 ^ mprj/u_mac_wrap/fanout248/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.29   11.55 ^ mprj/u_mac_wrap/fanout248/X (sky130_fd_sc_hd__buf_2)
+    12    0.04                           mprj/u_mac_wrap/net248 (net)
+                  0.22    0.00   11.55 ^ mprj/u_mac_wrap/_07067_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.35   11.90 v mprj/u_mac_wrap/_07067_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00888_ (net)
+                  0.05    0.00   11.90 v mprj/u_mac_wrap/_09438_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 11.90   data arrival time
+
+                         40.00   40.00   clock mac_tx_clk (rise edge)
+                          0.00   40.00   clock source latency
+                  1.00    0.00   40.00 ^ mprj_io[5] (inout)
+     1    6.12                           mprj_io[5] (net)
+                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[5] (net)
+                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00   41.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10   41.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00   41.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21   41.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15   41.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00   41.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27   41.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02   41.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43   42.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09   42.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34   42.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00   42.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15   43.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00   43.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42   43.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01   43.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34   43.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01   43.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20   44.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00   44.12 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.17   44.29 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00   44.29 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.24   44.53 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01   44.54 ^ mprj/u_mac_wrap/clkbuf_4_4_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.19   44.73 ^ mprj/u_mac_wrap/clkbuf_4_4_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.03                           mprj/u_mac_wrap/clknet_4_4_0_phy_tx_clk (net)
+                  0.07    0.00   44.73 ^ mprj/u_mac_wrap/_09438_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   44.48   clock uncertainty
+                          2.47   46.95   clock reconvergence pessimism
+                         -0.11   46.84   library setup time
+                                 46.84   data required time
+-----------------------------------------------------------------------------
+                                 46.84   data required time
+                                -11.90   data arrival time
+-----------------------------------------------------------------------------
+                                 34.94   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08942_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj/u_mac_wrap/_09437_
+          (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Path Group: mac_tx_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1   11.12                           mprj_io[5] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[5] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.01 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.26    7.28 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.08                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
+                  0.14    0.00    7.28 ^ mprj/u_mac_wrap/_08942_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.45    7.73 v mprj/u_mac_wrap/_08942_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
+                  0.08    0.00    7.73 v mprj/u_mac_wrap/_04543_/A (sky130_fd_sc_hd__xnor2_2)
+                  0.22    0.25    7.98 ^ mprj/u_mac_wrap/_04543_/Y (sky130_fd_sc_hd__xnor2_2)
+     3    0.01                           mprj/u_mac_wrap/_01852_ (net)
+                  0.22    0.00    7.98 ^ mprj/u_mac_wrap/_04544_/B (sky130_fd_sc_hd__xor2_2)
+                  0.25    0.27    8.25 ^ mprj/u_mac_wrap/_04544_/X (sky130_fd_sc_hd__xor2_2)
+     4    0.02                           mprj/u_mac_wrap/_01853_ (net)
+                  0.25    0.00    8.25 ^ mprj/u_mac_wrap/_04548_/B (sky130_fd_sc_hd__xor2_1)
+                  0.28    0.30    8.56 ^ mprj/u_mac_wrap/_04548_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01857_ (net)
+                  0.28    0.00    8.56 ^ mprj/u_mac_wrap/_04550_/B (sky130_fd_sc_hd__xor2_1)
+                  0.26    0.29    8.85 ^ mprj/u_mac_wrap/_04550_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01859_ (net)
+                  0.26    0.00    8.85 ^ mprj/u_mac_wrap/_04551_/B (sky130_fd_sc_hd__xor2_1)
+                  0.09    0.13    8.98 v mprj/u_mac_wrap/_04551_/X (sky130_fd_sc_hd__xor2_1)
+     2    0.01                           mprj/u_mac_wrap/_01860_ (net)
+                  0.09    0.00    8.98 v mprj/u_mac_wrap/_04556_/A2 (sky130_fd_sc_hd__a211o_1)
+                  0.08    0.36    9.34 v mprj/u_mac_wrap/_04556_/X (sky130_fd_sc_hd__a211o_1)
+     3    0.01                           mprj/u_mac_wrap/_01865_ (net)
+                  0.08    0.00    9.34 v mprj/u_mac_wrap/_04567_/C (sky130_fd_sc_hd__or4_1)
+                  0.13    0.56    9.89 v mprj/u_mac_wrap/_04567_/X (sky130_fd_sc_hd__or4_1)
+     2    0.01                           mprj/u_mac_wrap/_01876_ (net)
+                  0.13    0.00    9.89 v mprj/u_mac_wrap/_04568_/A (sky130_fd_sc_hd__inv_2)
+                  0.04    0.07    9.97 ^ mprj/u_mac_wrap/_04568_/Y (sky130_fd_sc_hd__inv_2)
+     1    0.00                           mprj/u_mac_wrap/_01877_ (net)
+                  0.04    0.00    9.97 ^ mprj/u_mac_wrap/_04583_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.18    0.30   10.27 ^ mprj/u_mac_wrap/_04583_/X (sky130_fd_sc_hd__o2111a_1)
+     4    0.02                           mprj/u_mac_wrap/_01889_ (net)
+                  0.18    0.00   10.27 ^ mprj/u_mac_wrap/_04618_/A_N (sky130_fd_sc_hd__and4bb_2)
+                  0.10    0.33   10.59 v mprj/u_mac_wrap/_04618_/X (sky130_fd_sc_hd__and4bb_2)
+     4    0.03                           mprj/u_mac_wrap/_01917_ (net)
+                  0.10    0.00   10.60 v mprj/u_mac_wrap/fanout251/A (sky130_fd_sc_hd__buf_4)
+                  0.10    0.24   10.83 v mprj/u_mac_wrap/fanout251/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_mac_wrap/net251 (net)
+                  0.10    0.00   10.83 v mprj/u_mac_wrap/_05773_/B1 (sky130_fd_sc_hd__a31oi_1)
+                  0.13    0.16   10.99 ^ mprj/u_mac_wrap/_05773_/Y (sky130_fd_sc_hd__a31oi_1)
+     1    0.00                           mprj/u_mac_wrap/_02610_ (net)
+                  0.13    0.00   10.99 ^ mprj/u_mac_wrap/fanout249/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.18    0.27   11.26 ^ mprj/u_mac_wrap/fanout249/X (sky130_fd_sc_hd__clkbuf_4)
+    16    0.06                           mprj/u_mac_wrap/net249 (net)
+                  0.18    0.00   11.26 ^ mprj/u_mac_wrap/fanout248/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.29   11.55 ^ mprj/u_mac_wrap/fanout248/X (sky130_fd_sc_hd__buf_2)
+    12    0.04                           mprj/u_mac_wrap/net248 (net)
+                  0.22    0.00   11.55 ^ mprj/u_mac_wrap/_07043_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.35   11.90 v mprj/u_mac_wrap/_07043_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00887_ (net)
+                  0.05    0.00   11.90 v mprj/u_mac_wrap/_09437_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 11.90   data arrival time
+
+                         40.00   40.00   clock mac_tx_clk (rise edge)
+                          0.00   40.00   clock source latency
+                  1.00    0.00   40.00 ^ mprj_io[5] (inout)
+     1    6.12                           mprj_io[5] (net)
+                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[5] (net)
+                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00   41.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10   41.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00   41.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21   41.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15   41.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00   41.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27   41.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02   41.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43   42.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09   42.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34   42.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00   42.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15   43.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00   43.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42   43.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01   43.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34   43.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01   43.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20   44.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00   44.12 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.17   44.29 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00   44.29 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.24   44.53 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01   44.54 ^ mprj/u_mac_wrap/clkbuf_4_4_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.19   44.73 ^ mprj/u_mac_wrap/clkbuf_4_4_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.03                           mprj/u_mac_wrap/clknet_4_4_0_phy_tx_clk (net)
+                  0.07    0.00   44.73 ^ mprj/u_mac_wrap/_09437_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   44.48   clock uncertainty
+                          2.47   46.96   clock reconvergence pessimism
+                         -0.11   46.84   library setup time
+                                 46.84   data required time
+-----------------------------------------------------------------------------
+                                 46.84   data required time
+                                -11.90   data arrival time
+-----------------------------------------------------------------------------
+                                 34.94   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08942_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj/u_mac_wrap/_09882_
+          (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Path Group: mac_tx_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1   11.12                           mprj_io[5] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[5] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.01 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.26    7.28 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.08                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
+                  0.14    0.00    7.28 ^ mprj/u_mac_wrap/_08942_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.45    7.73 v mprj/u_mac_wrap/_08942_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
+                  0.08    0.00    7.73 v mprj/u_mac_wrap/_04543_/A (sky130_fd_sc_hd__xnor2_2)
+                  0.22    0.25    7.98 ^ mprj/u_mac_wrap/_04543_/Y (sky130_fd_sc_hd__xnor2_2)
+     3    0.01                           mprj/u_mac_wrap/_01852_ (net)
+                  0.22    0.00    7.98 ^ mprj/u_mac_wrap/_04544_/B (sky130_fd_sc_hd__xor2_2)
+                  0.25    0.27    8.25 ^ mprj/u_mac_wrap/_04544_/X (sky130_fd_sc_hd__xor2_2)
+     4    0.02                           mprj/u_mac_wrap/_01853_ (net)
+                  0.25    0.00    8.25 ^ mprj/u_mac_wrap/_04548_/B (sky130_fd_sc_hd__xor2_1)
+                  0.28    0.30    8.56 ^ mprj/u_mac_wrap/_04548_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01857_ (net)
+                  0.28    0.00    8.56 ^ mprj/u_mac_wrap/_04550_/B (sky130_fd_sc_hd__xor2_1)
+                  0.26    0.29    8.85 ^ mprj/u_mac_wrap/_04550_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01859_ (net)
+                  0.26    0.00    8.85 ^ mprj/u_mac_wrap/_04551_/B (sky130_fd_sc_hd__xor2_1)
+                  0.09    0.13    8.98 v mprj/u_mac_wrap/_04551_/X (sky130_fd_sc_hd__xor2_1)
+     2    0.01                           mprj/u_mac_wrap/_01860_ (net)
+                  0.09    0.00    8.98 v mprj/u_mac_wrap/_04556_/A2 (sky130_fd_sc_hd__a211o_1)
+                  0.08    0.36    9.34 v mprj/u_mac_wrap/_04556_/X (sky130_fd_sc_hd__a211o_1)
+     3    0.01                           mprj/u_mac_wrap/_01865_ (net)
+                  0.08    0.00    9.34 v mprj/u_mac_wrap/_04567_/C (sky130_fd_sc_hd__or4_1)
+                  0.13    0.56    9.89 v mprj/u_mac_wrap/_04567_/X (sky130_fd_sc_hd__or4_1)
+     2    0.01                           mprj/u_mac_wrap/_01876_ (net)
+                  0.13    0.00    9.89 v mprj/u_mac_wrap/_04568_/A (sky130_fd_sc_hd__inv_2)
+                  0.04    0.07    9.97 ^ mprj/u_mac_wrap/_04568_/Y (sky130_fd_sc_hd__inv_2)
+     1    0.00                           mprj/u_mac_wrap/_01877_ (net)
+                  0.04    0.00    9.97 ^ mprj/u_mac_wrap/_04583_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.18    0.30   10.27 ^ mprj/u_mac_wrap/_04583_/X (sky130_fd_sc_hd__o2111a_1)
+     4    0.02                           mprj/u_mac_wrap/_01889_ (net)
+                  0.18    0.00   10.27 ^ mprj/u_mac_wrap/_04618_/A_N (sky130_fd_sc_hd__and4bb_2)
+                  0.10    0.33   10.59 v mprj/u_mac_wrap/_04618_/X (sky130_fd_sc_hd__and4bb_2)
+     4    0.03                           mprj/u_mac_wrap/_01917_ (net)
+                  0.10    0.00   10.60 v mprj/u_mac_wrap/fanout252/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.12    0.24   10.83 v mprj/u_mac_wrap/fanout252/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     5    0.02                           mprj/u_mac_wrap/net252 (net)
+                  0.12    0.00   10.83 v mprj/u_mac_wrap/_07865_/A1 (sky130_fd_sc_hd__a311o_2)
+                  0.09    0.41   11.24 v mprj/u_mac_wrap/_07865_/X (sky130_fd_sc_hd__a311o_2)
+     5    0.02                           mprj/u_mac_wrap/_03886_ (net)
+                  0.09    0.00   11.24 v mprj/u_mac_wrap/_07867_/C (sky130_fd_sc_hd__and3_1)
+                  0.06    0.23   11.47 v mprj/u_mac_wrap/_07867_/X (sky130_fd_sc_hd__and3_1)
+     2    0.01                           mprj/u_mac_wrap/_03887_ (net)
+                  0.06    0.00   11.47 v mprj/u_mac_wrap/_07870_/B (sky130_fd_sc_hd__xor2_1)
+                  0.05    0.14   11.61 v mprj/u_mac_wrap/_07870_/X (sky130_fd_sc_hd__xor2_1)
+     1    0.00                           mprj/u_mac_wrap/_01274_ (net)
+                  0.05    0.00   11.61 v mprj/u_mac_wrap/_09882_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 11.61   data arrival time
+
+                         40.00   40.00   clock mac_tx_clk (rise edge)
+                          0.00   40.00   clock source latency
+                  1.00    0.00   40.00 ^ mprj_io[5] (inout)
+     1    6.12                           mprj_io[5] (net)
+                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[5] (net)
+                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00   41.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10   41.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00   41.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21   41.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15   41.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00   41.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27   41.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02   41.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43   42.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09   42.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34   42.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00   42.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15   43.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00   43.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42   43.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01   43.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34   43.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01   43.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20   44.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00   44.12 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.17   44.29 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00   44.29 ^ mprj/u_mac_wrap/clkbuf_4_15_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.17   44.47 ^ mprj/u_mac_wrap/clkbuf_4_15_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     9    0.03                           mprj/u_mac_wrap/clknet_4_15_0_phy_tx_clk (net)
+                  0.07    0.00   44.47 ^ mprj/u_mac_wrap/_09882_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   44.22   clock uncertainty
+                          2.45   46.67   clock reconvergence pessimism
+                         -0.11   46.56   library setup time
+                                 46.56   data required time
+-----------------------------------------------------------------------------
+                                 46.56   data required time
+                                -11.61   data arrival time
+-----------------------------------------------------------------------------
+                                 34.94   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08942_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj/u_mac_wrap/_09469_
+          (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Path Group: mac_tx_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1   11.12                           mprj_io[5] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[5] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.01 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.26    7.28 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.08                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
+                  0.14    0.00    7.28 ^ mprj/u_mac_wrap/_08942_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.45    7.73 v mprj/u_mac_wrap/_08942_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
+                  0.08    0.00    7.73 v mprj/u_mac_wrap/_04543_/A (sky130_fd_sc_hd__xnor2_2)
+                  0.22    0.25    7.98 ^ mprj/u_mac_wrap/_04543_/Y (sky130_fd_sc_hd__xnor2_2)
+     3    0.01                           mprj/u_mac_wrap/_01852_ (net)
+                  0.22    0.00    7.98 ^ mprj/u_mac_wrap/_04544_/B (sky130_fd_sc_hd__xor2_2)
+                  0.25    0.27    8.25 ^ mprj/u_mac_wrap/_04544_/X (sky130_fd_sc_hd__xor2_2)
+     4    0.02                           mprj/u_mac_wrap/_01853_ (net)
+                  0.25    0.00    8.25 ^ mprj/u_mac_wrap/_04548_/B (sky130_fd_sc_hd__xor2_1)
+                  0.28    0.30    8.56 ^ mprj/u_mac_wrap/_04548_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01857_ (net)
+                  0.28    0.00    8.56 ^ mprj/u_mac_wrap/_04550_/B (sky130_fd_sc_hd__xor2_1)
+                  0.26    0.29    8.85 ^ mprj/u_mac_wrap/_04550_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01859_ (net)
+                  0.26    0.00    8.85 ^ mprj/u_mac_wrap/_04551_/B (sky130_fd_sc_hd__xor2_1)
+                  0.17    0.23    9.07 ^ mprj/u_mac_wrap/_04551_/X (sky130_fd_sc_hd__xor2_1)
+     2    0.01                           mprj/u_mac_wrap/_01860_ (net)
+                  0.17    0.00    9.07 ^ mprj/u_mac_wrap/_04556_/A2 (sky130_fd_sc_hd__a211o_1)
+                  0.11    0.21    9.28 ^ mprj/u_mac_wrap/_04556_/X (sky130_fd_sc_hd__a211o_1)
+     3    0.01                           mprj/u_mac_wrap/_01865_ (net)
+                  0.11    0.00    9.28 ^ mprj/u_mac_wrap/_04558_/B2 (sky130_fd_sc_hd__a221oi_2)
+                  0.08    0.08    9.36 v mprj/u_mac_wrap/_04558_/Y (sky130_fd_sc_hd__a221oi_2)
+     2    0.01                           mprj/u_mac_wrap/_01867_ (net)
+                  0.08    0.00    9.36 v mprj/u_mac_wrap/_04561_/B (sky130_fd_sc_hd__or4_1)
+                  0.10    0.55    9.91 v mprj/u_mac_wrap/_04561_/X (sky130_fd_sc_hd__or4_1)
+     2    0.00                           mprj/u_mac_wrap/_01870_ (net)
+                  0.10    0.00    9.91 v mprj/u_mac_wrap/_04583_/B1 (sky130_fd_sc_hd__o2111a_1)
+                  0.11    0.23   10.14 v mprj/u_mac_wrap/_04583_/X (sky130_fd_sc_hd__o2111a_1)
+     4    0.02                           mprj/u_mac_wrap/_01889_ (net)
+                  0.11    0.00   10.14 v mprj/u_mac_wrap/_04618_/A_N (sky130_fd_sc_hd__and4bb_2)
+                  0.18    0.44   10.57 ^ mprj/u_mac_wrap/_04618_/X (sky130_fd_sc_hd__and4bb_2)
+     4    0.03                           mprj/u_mac_wrap/_01917_ (net)
+                  0.18    0.00   10.57 ^ mprj/u_mac_wrap/fanout251/A (sky130_fd_sc_hd__buf_4)
+                  0.22    0.29   10.86 ^ mprj/u_mac_wrap/fanout251/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_mac_wrap/net251 (net)
+                  0.22    0.01   10.87 ^ mprj/u_mac_wrap/_04912_/A1 (sky130_fd_sc_hd__a21o_2)
+                  0.23    0.31   11.18 ^ mprj/u_mac_wrap/_04912_/X (sky130_fd_sc_hd__a21o_2)
+    14    0.05                           mprj/u_mac_wrap/_02119_ (net)
+                  0.23    0.00   11.19 ^ mprj/u_mac_wrap/_04928_/B (sky130_fd_sc_hd__nand2_1)
+                  0.14    0.18   11.37 v mprj/u_mac_wrap/_04928_/Y (sky130_fd_sc_hd__nand2_1)
+     3    0.02                           mprj/u_mac_wrap/_02134_ (net)
+                  0.14    0.00   11.37 v mprj/u_mac_wrap/_07131_/A2 (sky130_fd_sc_hd__a31o_1)
+                  0.04    0.24   11.61 v mprj/u_mac_wrap/_07131_/X (sky130_fd_sc_hd__a31o_1)
+     1    0.00                           mprj/u_mac_wrap/_00899_ (net)
+                  0.04    0.00   11.61 v mprj/u_mac_wrap/_09469_/D (sky130_fd_sc_hd__dfrtp_4)
+                                 11.61   data arrival time
+
+                         40.00   40.00   clock mac_tx_clk (rise edge)
+                          0.00   40.00   clock source latency
+                  1.00    0.00   40.00 ^ mprj_io[5] (inout)
+     1    6.12                           mprj_io[5] (net)
+                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[5] (net)
+                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00   41.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10   41.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00   41.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21   41.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15   41.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00   41.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27   41.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02   41.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43   42.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09   42.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34   42.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00   42.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15   43.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00   43.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42   43.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01   43.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34   43.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01   43.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20   44.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00   44.12 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.17   44.29 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.14    0.00   44.30 ^ mprj/u_mac_wrap/clkbuf_4_11_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.16   44.46 ^ mprj/u_mac_wrap/clkbuf_4_11_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     7    0.02                           mprj/u_mac_wrap/clknet_4_11_0_phy_tx_clk (net)
+                  0.06    0.00   44.46 ^ mprj/u_mac_wrap/_09469_/CLK (sky130_fd_sc_hd__dfrtp_4)
+                         -0.25   44.21   clock uncertainty
+                          2.45   46.66   clock reconvergence pessimism
+                         -0.11   46.56   library setup time
+                                 46.56   data required time
+-----------------------------------------------------------------------------
+                                 46.56   data required time
+                                -11.61   data arrival time
+-----------------------------------------------------------------------------
+                                 34.94   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08942_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj/u_mac_wrap/_09881_
+          (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Path Group: mac_tx_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1   11.12                           mprj_io[5] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[5] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.01 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.26    7.28 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.08                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
+                  0.14    0.00    7.28 ^ mprj/u_mac_wrap/_08942_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.45    7.73 v mprj/u_mac_wrap/_08942_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
+                  0.08    0.00    7.73 v mprj/u_mac_wrap/_04543_/A (sky130_fd_sc_hd__xnor2_2)
+                  0.22    0.25    7.98 ^ mprj/u_mac_wrap/_04543_/Y (sky130_fd_sc_hd__xnor2_2)
+     3    0.01                           mprj/u_mac_wrap/_01852_ (net)
+                  0.22    0.00    7.98 ^ mprj/u_mac_wrap/_04544_/B (sky130_fd_sc_hd__xor2_2)
+                  0.25    0.27    8.25 ^ mprj/u_mac_wrap/_04544_/X (sky130_fd_sc_hd__xor2_2)
+     4    0.02                           mprj/u_mac_wrap/_01853_ (net)
+                  0.25    0.00    8.25 ^ mprj/u_mac_wrap/_04548_/B (sky130_fd_sc_hd__xor2_1)
+                  0.28    0.30    8.56 ^ mprj/u_mac_wrap/_04548_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01857_ (net)
+                  0.28    0.00    8.56 ^ mprj/u_mac_wrap/_04550_/B (sky130_fd_sc_hd__xor2_1)
+                  0.26    0.29    8.85 ^ mprj/u_mac_wrap/_04550_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01859_ (net)
+                  0.26    0.00    8.85 ^ mprj/u_mac_wrap/_04551_/B (sky130_fd_sc_hd__xor2_1)
+                  0.09    0.13    8.98 v mprj/u_mac_wrap/_04551_/X (sky130_fd_sc_hd__xor2_1)
+     2    0.01                           mprj/u_mac_wrap/_01860_ (net)
+                  0.09    0.00    8.98 v mprj/u_mac_wrap/_04556_/A2 (sky130_fd_sc_hd__a211o_1)
+                  0.08    0.36    9.34 v mprj/u_mac_wrap/_04556_/X (sky130_fd_sc_hd__a211o_1)
+     3    0.01                           mprj/u_mac_wrap/_01865_ (net)
+                  0.08    0.00    9.34 v mprj/u_mac_wrap/_04567_/C (sky130_fd_sc_hd__or4_1)
+                  0.13    0.56    9.89 v mprj/u_mac_wrap/_04567_/X (sky130_fd_sc_hd__or4_1)
+     2    0.01                           mprj/u_mac_wrap/_01876_ (net)
+                  0.13    0.00    9.89 v mprj/u_mac_wrap/_04568_/A (sky130_fd_sc_hd__inv_2)
+                  0.04    0.07    9.97 ^ mprj/u_mac_wrap/_04568_/Y (sky130_fd_sc_hd__inv_2)
+     1    0.00                           mprj/u_mac_wrap/_01877_ (net)
+                  0.04    0.00    9.97 ^ mprj/u_mac_wrap/_04583_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.18    0.30   10.27 ^ mprj/u_mac_wrap/_04583_/X (sky130_fd_sc_hd__o2111a_1)
+     4    0.02                           mprj/u_mac_wrap/_01889_ (net)
+                  0.18    0.00   10.27 ^ mprj/u_mac_wrap/_04618_/A_N (sky130_fd_sc_hd__and4bb_2)
+                  0.10    0.33   10.59 v mprj/u_mac_wrap/_04618_/X (sky130_fd_sc_hd__and4bb_2)
+     4    0.03                           mprj/u_mac_wrap/_01917_ (net)
+                  0.10    0.00   10.60 v mprj/u_mac_wrap/fanout252/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.12    0.24   10.83 v mprj/u_mac_wrap/fanout252/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     5    0.02                           mprj/u_mac_wrap/net252 (net)
+                  0.12    0.00   10.83 v mprj/u_mac_wrap/_07865_/A1 (sky130_fd_sc_hd__a311o_2)
+                  0.09    0.41   11.24 v mprj/u_mac_wrap/_07865_/X (sky130_fd_sc_hd__a311o_2)
+     5    0.02                           mprj/u_mac_wrap/_03886_ (net)
+                  0.09    0.00   11.24 v mprj/u_mac_wrap/_07867_/C (sky130_fd_sc_hd__and3_1)
+                  0.06    0.23   11.47 v mprj/u_mac_wrap/_07867_/X (sky130_fd_sc_hd__and3_1)
+     2    0.01                           mprj/u_mac_wrap/_03887_ (net)
+                  0.06    0.00   11.47 v mprj/u_mac_wrap/_07869_/A_N (sky130_fd_sc_hd__and2b_1)
+                  0.04    0.19   11.66 ^ mprj/u_mac_wrap/_07869_/X (sky130_fd_sc_hd__and2b_1)
+     1    0.00                           mprj/u_mac_wrap/_01273_ (net)
+                  0.04    0.00   11.66 ^ mprj/u_mac_wrap/_09881_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 11.66   data arrival time
+
+                         40.00   40.00   clock mac_tx_clk (rise edge)
+                          0.00   40.00   clock source latency
+                  1.00    0.00   40.00 ^ mprj_io[5] (inout)
+     1    6.12                           mprj_io[5] (net)
+                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[5] (net)
+                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00   41.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10   41.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00   41.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21   41.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15   41.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00   41.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27   41.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02   41.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43   42.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09   42.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34   42.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00   42.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15   43.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00   43.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42   43.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01   43.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34   43.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01   43.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20   44.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00   44.12 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.17   44.29 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00   44.29 ^ mprj/u_mac_wrap/clkbuf_4_15_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.17   44.47 ^ mprj/u_mac_wrap/clkbuf_4_15_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     9    0.03                           mprj/u_mac_wrap/clknet_4_15_0_phy_tx_clk (net)
+                  0.07    0.00   44.47 ^ mprj/u_mac_wrap/_09881_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   44.22   clock uncertainty
+                          2.45   46.67   clock reconvergence pessimism
+                         -0.06   46.61   library setup time
+                                 46.61   data required time
+-----------------------------------------------------------------------------
+                                 46.61   data required time
+                                -11.66   data arrival time
+-----------------------------------------------------------------------------
+                                 34.95   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08942_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj/u_mac_wrap/_09884_
+          (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Path Group: mac_tx_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1   11.12                           mprj_io[5] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[5] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.01 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.26    7.28 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.08                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
+                  0.14    0.00    7.28 ^ mprj/u_mac_wrap/_08942_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.45    7.73 v mprj/u_mac_wrap/_08942_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
+                  0.08    0.00    7.73 v mprj/u_mac_wrap/_04543_/A (sky130_fd_sc_hd__xnor2_2)
+                  0.22    0.25    7.98 ^ mprj/u_mac_wrap/_04543_/Y (sky130_fd_sc_hd__xnor2_2)
+     3    0.01                           mprj/u_mac_wrap/_01852_ (net)
+                  0.22    0.00    7.98 ^ mprj/u_mac_wrap/_04544_/B (sky130_fd_sc_hd__xor2_2)
+                  0.25    0.27    8.25 ^ mprj/u_mac_wrap/_04544_/X (sky130_fd_sc_hd__xor2_2)
+     4    0.02                           mprj/u_mac_wrap/_01853_ (net)
+                  0.25    0.00    8.25 ^ mprj/u_mac_wrap/_04548_/B (sky130_fd_sc_hd__xor2_1)
+                  0.28    0.30    8.56 ^ mprj/u_mac_wrap/_04548_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01857_ (net)
+                  0.28    0.00    8.56 ^ mprj/u_mac_wrap/_04550_/B (sky130_fd_sc_hd__xor2_1)
+                  0.26    0.29    8.85 ^ mprj/u_mac_wrap/_04550_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01859_ (net)
+                  0.26    0.00    8.85 ^ mprj/u_mac_wrap/_04551_/B (sky130_fd_sc_hd__xor2_1)
+                  0.09    0.13    8.98 v mprj/u_mac_wrap/_04551_/X (sky130_fd_sc_hd__xor2_1)
+     2    0.01                           mprj/u_mac_wrap/_01860_ (net)
+                  0.09    0.00    8.98 v mprj/u_mac_wrap/_04556_/A2 (sky130_fd_sc_hd__a211o_1)
+                  0.08    0.36    9.34 v mprj/u_mac_wrap/_04556_/X (sky130_fd_sc_hd__a211o_1)
+     3    0.01                           mprj/u_mac_wrap/_01865_ (net)
+                  0.08    0.00    9.34 v mprj/u_mac_wrap/_04567_/C (sky130_fd_sc_hd__or4_1)
+                  0.13    0.56    9.89 v mprj/u_mac_wrap/_04567_/X (sky130_fd_sc_hd__or4_1)
+     2    0.01                           mprj/u_mac_wrap/_01876_ (net)
+                  0.13    0.00    9.89 v mprj/u_mac_wrap/_04568_/A (sky130_fd_sc_hd__inv_2)
+                  0.04    0.07    9.97 ^ mprj/u_mac_wrap/_04568_/Y (sky130_fd_sc_hd__inv_2)
+     1    0.00                           mprj/u_mac_wrap/_01877_ (net)
+                  0.04    0.00    9.97 ^ mprj/u_mac_wrap/_04583_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.18    0.30   10.27 ^ mprj/u_mac_wrap/_04583_/X (sky130_fd_sc_hd__o2111a_1)
+     4    0.02                           mprj/u_mac_wrap/_01889_ (net)
+                  0.18    0.00   10.27 ^ mprj/u_mac_wrap/_04618_/A_N (sky130_fd_sc_hd__and4bb_2)
+                  0.10    0.33   10.59 v mprj/u_mac_wrap/_04618_/X (sky130_fd_sc_hd__and4bb_2)
+     4    0.03                           mprj/u_mac_wrap/_01917_ (net)
+                  0.10    0.00   10.60 v mprj/u_mac_wrap/fanout252/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.12    0.24   10.83 v mprj/u_mac_wrap/fanout252/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     5    0.02                           mprj/u_mac_wrap/net252 (net)
+                  0.12    0.00   10.83 v mprj/u_mac_wrap/_07865_/A1 (sky130_fd_sc_hd__a311o_2)
+                  0.09    0.41   11.24 v mprj/u_mac_wrap/_07865_/X (sky130_fd_sc_hd__a311o_2)
+     5    0.02                           mprj/u_mac_wrap/_03886_ (net)
+                  0.09    0.00   11.24 v mprj/u_mac_wrap/_07872_/B (sky130_fd_sc_hd__nand2_1)
+                  0.09    0.12   11.37 ^ mprj/u_mac_wrap/_07872_/Y (sky130_fd_sc_hd__nand2_1)
+     2    0.01                           mprj/u_mac_wrap/_03890_ (net)
+                  0.09    0.00   11.37 ^ mprj/u_mac_wrap/_07874_/B (sky130_fd_sc_hd__xor2_1)
+                  0.12    0.16   11.53 ^ mprj/u_mac_wrap/_07874_/X (sky130_fd_sc_hd__xor2_1)
+     1    0.00                           mprj/u_mac_wrap/_03891_ (net)
+                  0.12    0.00   11.53 ^ mprj/u_mac_wrap/_07875_/B (sky130_fd_sc_hd__nor2_1)
+                  0.06    0.05   11.58 v mprj/u_mac_wrap/_07875_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.00                           mprj/u_mac_wrap/_01276_ (net)
+                  0.06    0.00   11.58 v mprj/u_mac_wrap/_09884_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 11.58   data arrival time
+
+                         40.00   40.00   clock mac_tx_clk (rise edge)
+                          0.00   40.00   clock source latency
+                  1.00    0.00   40.00 ^ mprj_io[5] (inout)
+     1    6.12                           mprj_io[5] (net)
+                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[5] (net)
+                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00   41.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10   41.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00   41.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21   41.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15   41.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00   41.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27   41.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02   41.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43   42.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09   42.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34   42.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00   42.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15   43.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00   43.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42   43.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01   43.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34   43.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01   43.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20   44.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00   44.12 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.17   44.29 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00   44.30 ^ mprj/u_mac_wrap/clkbuf_4_9_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.15   44.45 ^ mprj/u_mac_wrap/clkbuf_4_9_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_4_9_0_phy_tx_clk (net)
+                  0.04    0.00   44.45 ^ mprj/u_mac_wrap/_09884_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   44.20   clock uncertainty
+                          2.45   46.65   clock reconvergence pessimism
+                         -0.12   46.53   library setup time
+                                 46.53   data required time
+-----------------------------------------------------------------------------
+                                 46.53   data required time
+                                -11.58   data arrival time
+-----------------------------------------------------------------------------
+                                 34.95   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08942_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj/u_mac_wrap/_09883_
+          (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Path Group: mac_tx_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1   11.12                           mprj_io[5] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[5] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.01 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.26    7.28 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.08                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
+                  0.14    0.00    7.28 ^ mprj/u_mac_wrap/_08942_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.45    7.73 v mprj/u_mac_wrap/_08942_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
+                  0.08    0.00    7.73 v mprj/u_mac_wrap/_04543_/A (sky130_fd_sc_hd__xnor2_2)
+                  0.22    0.25    7.98 ^ mprj/u_mac_wrap/_04543_/Y (sky130_fd_sc_hd__xnor2_2)
+     3    0.01                           mprj/u_mac_wrap/_01852_ (net)
+                  0.22    0.00    7.98 ^ mprj/u_mac_wrap/_04544_/B (sky130_fd_sc_hd__xor2_2)
+                  0.25    0.27    8.25 ^ mprj/u_mac_wrap/_04544_/X (sky130_fd_sc_hd__xor2_2)
+     4    0.02                           mprj/u_mac_wrap/_01853_ (net)
+                  0.25    0.00    8.25 ^ mprj/u_mac_wrap/_04548_/B (sky130_fd_sc_hd__xor2_1)
+                  0.28    0.30    8.56 ^ mprj/u_mac_wrap/_04548_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01857_ (net)
+                  0.28    0.00    8.56 ^ mprj/u_mac_wrap/_04550_/B (sky130_fd_sc_hd__xor2_1)
+                  0.26    0.29    8.85 ^ mprj/u_mac_wrap/_04550_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01859_ (net)
+                  0.26    0.00    8.85 ^ mprj/u_mac_wrap/_04551_/B (sky130_fd_sc_hd__xor2_1)
+                  0.09    0.13    8.98 v mprj/u_mac_wrap/_04551_/X (sky130_fd_sc_hd__xor2_1)
+     2    0.01                           mprj/u_mac_wrap/_01860_ (net)
+                  0.09    0.00    8.98 v mprj/u_mac_wrap/_04556_/A2 (sky130_fd_sc_hd__a211o_1)
+                  0.08    0.36    9.34 v mprj/u_mac_wrap/_04556_/X (sky130_fd_sc_hd__a211o_1)
+     3    0.01                           mprj/u_mac_wrap/_01865_ (net)
+                  0.08    0.00    9.34 v mprj/u_mac_wrap/_04567_/C (sky130_fd_sc_hd__or4_1)
+                  0.13    0.56    9.89 v mprj/u_mac_wrap/_04567_/X (sky130_fd_sc_hd__or4_1)
+     2    0.01                           mprj/u_mac_wrap/_01876_ (net)
+                  0.13    0.00    9.89 v mprj/u_mac_wrap/_04568_/A (sky130_fd_sc_hd__inv_2)
+                  0.04    0.07    9.97 ^ mprj/u_mac_wrap/_04568_/Y (sky130_fd_sc_hd__inv_2)
+     1    0.00                           mprj/u_mac_wrap/_01877_ (net)
+                  0.04    0.00    9.97 ^ mprj/u_mac_wrap/_04583_/A1 (sky130_fd_sc_hd__o2111a_1)
+                  0.18    0.30   10.27 ^ mprj/u_mac_wrap/_04583_/X (sky130_fd_sc_hd__o2111a_1)
+     4    0.02                           mprj/u_mac_wrap/_01889_ (net)
+                  0.18    0.00   10.27 ^ mprj/u_mac_wrap/_04618_/A_N (sky130_fd_sc_hd__and4bb_2)
+                  0.10    0.33   10.59 v mprj/u_mac_wrap/_04618_/X (sky130_fd_sc_hd__and4bb_2)
+     4    0.03                           mprj/u_mac_wrap/_01917_ (net)
+                  0.10    0.00   10.60 v mprj/u_mac_wrap/fanout252/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.12    0.24   10.83 v mprj/u_mac_wrap/fanout252/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     5    0.02                           mprj/u_mac_wrap/net252 (net)
+                  0.12    0.00   10.83 v mprj/u_mac_wrap/_07865_/A1 (sky130_fd_sc_hd__a311o_2)
+                  0.09    0.41   11.24 v mprj/u_mac_wrap/_07865_/X (sky130_fd_sc_hd__a311o_2)
+     5    0.02                           mprj/u_mac_wrap/_03886_ (net)
+                  0.09    0.00   11.24 v mprj/u_mac_wrap/_07871_/A2 (sky130_fd_sc_hd__a21o_1)
+                  0.03    0.19   11.43 v mprj/u_mac_wrap/_07871_/X (sky130_fd_sc_hd__a21o_1)
+     1    0.00                           mprj/u_mac_wrap/_03889_ (net)
+                  0.03    0.00   11.43 v mprj/u_mac_wrap/_07873_/B (sky130_fd_sc_hd__and3_1)
+                  0.03    0.15   11.59 v mprj/u_mac_wrap/_07873_/X (sky130_fd_sc_hd__and3_1)
+     1    0.00                           mprj/u_mac_wrap/_01275_ (net)
+                  0.03    0.00   11.59 v mprj/u_mac_wrap/_09883_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 11.59   data arrival time
+
+                         40.00   40.00   clock mac_tx_clk (rise edge)
+                          0.00   40.00   clock source latency
+                  1.00    0.00   40.00 ^ mprj_io[5] (inout)
+     1    6.12                           mprj_io[5] (net)
+                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[5] (net)
+                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00   41.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10   41.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00   41.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21   41.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15   41.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00   41.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27   41.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02   41.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43   42.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09   42.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34   42.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00   42.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15   43.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00   43.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42   43.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01   43.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34   43.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01   43.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20   44.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00   44.12 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.17   44.29 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00   44.30 ^ mprj/u_mac_wrap/clkbuf_4_9_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.15   44.45 ^ mprj/u_mac_wrap/clkbuf_4_9_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.02                           mprj/u_mac_wrap/clknet_4_9_0_phy_tx_clk (net)
+                  0.04    0.00   44.45 ^ mprj/u_mac_wrap/_09883_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   44.20   clock uncertainty
+                          2.45   46.65   clock reconvergence pessimism
+                         -0.11   46.54   library setup time
+                                 46.54   data required time
+-----------------------------------------------------------------------------
+                                 46.54   data required time
+                                -11.59   data arrival time
+-----------------------------------------------------------------------------
+                                 34.95   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08942_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj/u_mac_wrap/_08533_
+          (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Path Group: mac_tx_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1   11.12                           mprj_io[5] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[5] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.13    0.00    6.75 ^ mprj/u_mac_wrap/wire5/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.26    7.01 ^ mprj/u_mac_wrap/wire5/X (sky130_fd_sc_hd__buf_4)
+    12    0.07                           mprj/u_mac_wrap/net834 (net)
+                  0.20    0.01    7.01 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.26    7.28 ^ mprj/u_mac_wrap/clkbuf_4_1_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.08                           mprj/u_mac_wrap/clknet_4_1_0_phy_tx_clk (net)
+                  0.14    0.00    7.28 ^ mprj/u_mac_wrap/_08942_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.08    0.45    7.73 v mprj/u_mac_wrap/_08942_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_txfifo.sync_wr_ptr_1[5] (net)
+                  0.08    0.00    7.73 v mprj/u_mac_wrap/_04543_/A (sky130_fd_sc_hd__xnor2_2)
+                  0.22    0.25    7.98 ^ mprj/u_mac_wrap/_04543_/Y (sky130_fd_sc_hd__xnor2_2)
+     3    0.01                           mprj/u_mac_wrap/_01852_ (net)
+                  0.22    0.00    7.98 ^ mprj/u_mac_wrap/_04544_/B (sky130_fd_sc_hd__xor2_2)
+                  0.25    0.27    8.25 ^ mprj/u_mac_wrap/_04544_/X (sky130_fd_sc_hd__xor2_2)
+     4    0.02                           mprj/u_mac_wrap/_01853_ (net)
+                  0.25    0.00    8.25 ^ mprj/u_mac_wrap/_04548_/B (sky130_fd_sc_hd__xor2_1)
+                  0.28    0.30    8.56 ^ mprj/u_mac_wrap/_04548_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01857_ (net)
+                  0.28    0.00    8.56 ^ mprj/u_mac_wrap/_04550_/B (sky130_fd_sc_hd__xor2_1)
+                  0.26    0.29    8.85 ^ mprj/u_mac_wrap/_04550_/X (sky130_fd_sc_hd__xor2_1)
+     3    0.01                           mprj/u_mac_wrap/_01859_ (net)
+                  0.26    0.00    8.85 ^ mprj/u_mac_wrap/_04551_/B (sky130_fd_sc_hd__xor2_1)
+                  0.17    0.23    9.07 ^ mprj/u_mac_wrap/_04551_/X (sky130_fd_sc_hd__xor2_1)
+     2    0.01                           mprj/u_mac_wrap/_01860_ (net)
+                  0.17    0.00    9.07 ^ mprj/u_mac_wrap/_04556_/A2 (sky130_fd_sc_hd__a211o_1)
+                  0.11    0.21    9.28 ^ mprj/u_mac_wrap/_04556_/X (sky130_fd_sc_hd__a211o_1)
+     3    0.01                           mprj/u_mac_wrap/_01865_ (net)
+                  0.11    0.00    9.28 ^ mprj/u_mac_wrap/_04558_/B2 (sky130_fd_sc_hd__a221oi_2)
+                  0.08    0.08    9.36 v mprj/u_mac_wrap/_04558_/Y (sky130_fd_sc_hd__a221oi_2)
+     2    0.01                           mprj/u_mac_wrap/_01867_ (net)
+                  0.08    0.00    9.36 v mprj/u_mac_wrap/_04561_/B (sky130_fd_sc_hd__or4_1)
+                  0.10    0.55    9.91 v mprj/u_mac_wrap/_04561_/X (sky130_fd_sc_hd__or4_1)
+     2    0.00                           mprj/u_mac_wrap/_01870_ (net)
+                  0.10    0.00    9.91 v mprj/u_mac_wrap/_04583_/B1 (sky130_fd_sc_hd__o2111a_1)
+                  0.11    0.23   10.14 v mprj/u_mac_wrap/_04583_/X (sky130_fd_sc_hd__o2111a_1)
+     4    0.02                           mprj/u_mac_wrap/_01889_ (net)
+                  0.11    0.00   10.14 v mprj/u_mac_wrap/_04618_/A_N (sky130_fd_sc_hd__and4bb_2)
+                  0.18    0.44   10.57 ^ mprj/u_mac_wrap/_04618_/X (sky130_fd_sc_hd__and4bb_2)
+     4    0.03                           mprj/u_mac_wrap/_01917_ (net)
+                  0.18    0.00   10.57 ^ mprj/u_mac_wrap/fanout251/A (sky130_fd_sc_hd__buf_4)
+                  0.22    0.29   10.86 ^ mprj/u_mac_wrap/fanout251/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_mac_wrap/net251 (net)
+                  0.22    0.01   10.87 ^ mprj/u_mac_wrap/_04912_/A1 (sky130_fd_sc_hd__a21o_2)
+                  0.23    0.31   11.18 ^ mprj/u_mac_wrap/_04912_/X (sky130_fd_sc_hd__a21o_2)
+    14    0.05                           mprj/u_mac_wrap/_02119_ (net)
+                  0.23    0.00   11.19 ^ mprj/u_mac_wrap/_04928_/B (sky130_fd_sc_hd__nand2_1)
+                  0.14    0.18   11.37 v mprj/u_mac_wrap/_04928_/Y (sky130_fd_sc_hd__nand2_1)
+     3    0.02                           mprj/u_mac_wrap/_02134_ (net)
+                  0.14    0.00   11.37 v mprj/u_mac_wrap/_04942_/B1 (sky130_fd_sc_hd__a22o_1)
+                  0.04    0.22   11.59 v mprj/u_mac_wrap/_04942_/X (sky130_fd_sc_hd__a22o_1)
+     1    0.00                           mprj/u_mac_wrap/_00087_ (net)
+                  0.04    0.00   11.59 v mprj/u_mac_wrap/_08533_/D (sky130_fd_sc_hd__dfrtp_4)
+                                 11.59   data arrival time
+
+                         40.00   40.00   clock mac_tx_clk (rise edge)
+                          0.00   40.00   clock source latency
+                  1.00    0.00   40.00 ^ mprj_io[5] (inout)
+     1    6.12                           mprj_io[5] (net)
+                  1.00    0.00   40.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    6.12                           mprj_io[5] (net)
+                  0.07    1.11   41.11 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00   41.11 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.10   41.21 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00   41.21 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.21   41.42 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00   41.42 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.11   41.53 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00   41.53 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.15   41.68 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00   41.68 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.27   41.95 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02   41.97 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.43   42.40 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.09   42.49 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.34   42.83 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00   42.84 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.17   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00   43.00 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.05    0.15   43.15 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.05    0.00   43.15 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.42   43.58 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01   43.58 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.34   43.92 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01   43.92 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20   44.12 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00   44.12 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.17   44.29 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.14    0.00   44.30 ^ mprj/u_mac_wrap/clkbuf_4_11_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.16   44.46 ^ mprj/u_mac_wrap/clkbuf_4_11_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     7    0.02                           mprj/u_mac_wrap/clknet_4_11_0_phy_tx_clk (net)
+                  0.06    0.00   44.46 ^ mprj/u_mac_wrap/_08533_/CLK (sky130_fd_sc_hd__dfrtp_4)
+                         -0.25   44.21   clock uncertainty
+                          2.45   46.66   clock reconvergence pessimism
+                         -0.11   46.55   library setup time
+                                 46.55   data required time
+-----------------------------------------------------------------------------
+                                 46.55   data required time
+                                -11.59   data arrival time
+-----------------------------------------------------------------------------
+                                 34.96   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09164_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_09166_
+          (rising edge-triggered flip-flop clocked by mdio_clk')
+Path Group: mdio_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.19    0.78 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.06                           mprj/u_mac_wrap/clknet_3_2__leaf_mdio_clk (net)
+                  0.07    0.00    0.78 ^ mprj/u_mac_wrap/_09164_/CLK (sky130_fd_sc_hd__dfrtp_4)
+                  0.11    0.56    1.35 v mprj/u_mac_wrap/_09164_/Q (sky130_fd_sc_hd__dfrtp_4)
+    10    0.04                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.mdio_cur_st[2] (net)
+                  0.11    0.00    1.35 v mprj/u_mac_wrap/_04769_/B (sky130_fd_sc_hd__and2b_1)
+                  0.07    0.22    1.57 v mprj/u_mac_wrap/_04769_/X (sky130_fd_sc_hd__and2b_1)
+     4    0.01                           mprj/u_mac_wrap/_02026_ (net)
+                  0.07    0.00    1.57 v mprj/u_mac_wrap/_04771_/B (sky130_fd_sc_hd__and2_1)
+                  0.10    0.24    1.81 v mprj/u_mac_wrap/_04771_/X (sky130_fd_sc_hd__and2_1)
+     6    0.02                           mprj/u_mac_wrap/_02028_ (net)
+                  0.10    0.00    1.81 v mprj/u_mac_wrap/_04812_/A3 (sky130_fd_sc_hd__a32o_1)
+                  0.04    0.29    2.09 v mprj/u_mac_wrap/_04812_/X (sky130_fd_sc_hd__a32o_1)
+     1    0.00                           mprj/u_mac_wrap/_02065_ (net)
+                  0.04    0.00    2.09 v mprj/u_mac_wrap/_04816_/B (sky130_fd_sc_hd__or4b_1)
+                  0.09    0.52    2.61 v mprj/u_mac_wrap/_04816_/X (sky130_fd_sc_hd__or4b_1)
+     1    0.00                           mprj/u_mac_wrap/_02069_ (net)
+                  0.09    0.00    2.61 v mprj/u_mac_wrap/_04817_/B (sky130_fd_sc_hd__or3b_1)
+                  0.11    0.43    3.04 v mprj/u_mac_wrap/_04817_/X (sky130_fd_sc_hd__or3b_1)
+     1    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.mdio_out (net)
+                  0.11    0.00    3.04 v mprj/u_mac_wrap/_09166_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  3.04   data arrival time
+
+                         50.00   50.00   clock mdio_clk' (rise edge)
+                          0.00   50.00   clock source latency
+                  0.08    0.00   50.00 v mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.08    0.01   50.01 v mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.12    0.21   50.22 v mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.13    0.01   50.23 v mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.08    0.22   50.45 v mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.10                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.08    0.00   50.45 v mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.18   50.63 v mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.05                           mprj/u_mac_wrap/clknet_3_2__leaf_mdio_clk (net)
+                  0.05    0.00   50.63 v mprj/u_mac_wrap/_04308__1/A (sky130_fd_sc_hd__inv_2)
+                  0.05    0.06   50.69 ^ mprj/u_mac_wrap/_04308__1/Y (sky130_fd_sc_hd__inv_2)
+     1    0.01                           mprj/u_mac_wrap/net830 (net)
+                  0.05    0.00   50.69 ^ mprj/u_mac_wrap/_09166_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   50.44   clock uncertainty
+                          0.05   50.49   clock reconvergence pessimism
+                         -0.14   50.35   library setup time
+                                 50.35   data required time
+-----------------------------------------------------------------------------
+                                 50.35   data required time
+                                 -3.04   data arrival time
+-----------------------------------------------------------------------------
+                                 47.31   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09163_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_09167_
+          (rising edge-triggered flip-flop clocked by mdio_clk')
+Path Group: mdio_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.19    0.78 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.06                           mprj/u_mac_wrap/clknet_3_2__leaf_mdio_clk (net)
+                  0.07    0.00    0.78 ^ mprj/u_mac_wrap/_09163_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.38    1.16 ^ mprj/u_mac_wrap/_09163_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.mdio_cur_st[1] (net)
+                  0.09    0.00    1.16 ^ mprj/u_mac_wrap/fanout440/A (sky130_fd_sc_hd__buf_2)
+                  0.20    0.24    1.40 ^ mprj/u_mac_wrap/fanout440/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_mac_wrap/net440 (net)
+                  0.20    0.00    1.40 ^ mprj/u_mac_wrap/_04312_/A_N (sky130_fd_sc_hd__nand2b_1)
+                  0.19    0.25    1.64 ^ mprj/u_mac_wrap/_04312_/Y (sky130_fd_sc_hd__nand2b_1)
+     4    0.02                           mprj/u_mac_wrap/_01637_ (net)
+                  0.19    0.00    1.65 ^ mprj/u_mac_wrap/_04806_/A_N (sky130_fd_sc_hd__and2b_1)
+                  0.04    0.22    1.87 v mprj/u_mac_wrap/_04806_/X (sky130_fd_sc_hd__and2b_1)
+     2    0.01                           mprj/u_mac_wrap/_02061_ (net)
+                  0.04    0.00    1.87 v mprj/u_mac_wrap/_04807_/B (sky130_fd_sc_hd__or2_1)
+                  0.06    0.23    2.09 v mprj/u_mac_wrap/_04807_/X (sky130_fd_sc_hd__or2_1)
+     2    0.01                           mprj/u_mac_wrap/_02062_ (net)
+                  0.06    0.00    2.09 v mprj/u_mac_wrap/_04821_/A (sky130_fd_sc_hd__or4b_1)
+                  0.12    0.59    2.68 v mprj/u_mac_wrap/_04821_/X (sky130_fd_sc_hd__or4b_1)
+     1    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.mdio_out_en (net)
+                  0.12    0.00    2.68 v mprj/u_mac_wrap/_09167_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  2.68   data arrival time
+
+                         50.00   50.00   clock mdio_clk' (rise edge)
+                          0.00   50.00   clock source latency
+                  0.08    0.00   50.00 v mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.08    0.01   50.01 v mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.12    0.21   50.22 v mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.13    0.01   50.23 v mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.08    0.22   50.45 v mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.10                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.08    0.00   50.45 v mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.18   50.63 v mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.05                           mprj/u_mac_wrap/clknet_3_2__leaf_mdio_clk (net)
+                  0.05    0.00   50.63 v mprj/u_mac_wrap/_08500__2/A (sky130_fd_sc_hd__inv_2)
+                  0.04    0.06   50.69 ^ mprj/u_mac_wrap/_08500__2/Y (sky130_fd_sc_hd__inv_2)
+     1    0.01                           mprj/u_mac_wrap/net831 (net)
+                  0.04    0.00   50.69 ^ mprj/u_mac_wrap/_09167_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   50.44   clock uncertainty
+                          0.05   50.49   clock reconvergence pessimism
+                         -0.15   50.34   library setup time
+                                 50.34   data required time
+-----------------------------------------------------------------------------
+                                 50.34   data required time
+                                 -2.68   data arrival time
+-----------------------------------------------------------------------------
+                                 47.66   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09163_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_08777_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.19    0.78 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.06                           mprj/u_mac_wrap/clknet_3_2__leaf_mdio_clk (net)
+                  0.07    0.00    0.78 ^ mprj/u_mac_wrap/_09163_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.38    1.16 ^ mprj/u_mac_wrap/_09163_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.mdio_cur_st[1] (net)
+                  0.09    0.00    1.16 ^ mprj/u_mac_wrap/fanout440/A (sky130_fd_sc_hd__buf_2)
+                  0.20    0.24    1.40 ^ mprj/u_mac_wrap/fanout440/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_mac_wrap/net440 (net)
+                  0.20    0.00    1.40 ^ mprj/u_mac_wrap/_04312_/A_N (sky130_fd_sc_hd__nand2b_1)
+                  0.19    0.25    1.64 ^ mprj/u_mac_wrap/_04312_/Y (sky130_fd_sc_hd__nand2b_1)
+     4    0.02                           mprj/u_mac_wrap/_01637_ (net)
+                  0.19    0.00    1.65 ^ mprj/u_mac_wrap/_04806_/A_N (sky130_fd_sc_hd__and2b_1)
+                  0.04    0.22    1.87 v mprj/u_mac_wrap/_04806_/X (sky130_fd_sc_hd__and2b_1)
+     2    0.01                           mprj/u_mac_wrap/_02061_ (net)
+                  0.04    0.00    1.87 v mprj/u_mac_wrap/_05580_/D (sky130_fd_sc_hd__or4_1)
+                  0.13    0.47    2.34 v mprj/u_mac_wrap/_05580_/X (sky130_fd_sc_hd__or4_1)
+     2    0.01                           mprj/u_mac_wrap/_02555_ (net)
+                  0.13    0.00    2.34 v mprj/u_mac_wrap/_05581_/C1 (sky130_fd_sc_hd__a211o_1)
+                  0.13    0.35    2.69 v mprj/u_mac_wrap/_05581_/X (sky130_fd_sc_hd__a211o_1)
+     4    0.02                           mprj/u_mac_wrap/_02556_ (net)
+                  0.13    0.00    2.69 v mprj/u_mac_wrap/_05587_/S (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.35    3.04 v mprj/u_mac_wrap/_05587_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00320_ (net)
+                  0.06    0.00    3.04 v mprj/u_mac_wrap/_08777_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  3.04   data arrival time
+
+                        100.00  100.00   clock mdio_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.14    0.00  100.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01  100.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28  100.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01  100.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25  100.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.01  100.55 ^ mprj/u_mac_wrap/clkbuf_3_0__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16  100.71 ^ mprj/u_mac_wrap/clkbuf_3_0__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    12    0.04                           mprj/u_mac_wrap/clknet_3_0__leaf_mdio_clk (net)
+                  0.06    0.00  100.71 ^ mprj/u_mac_wrap/_08777_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25  100.46   clock uncertainty
+                          0.04  100.50   clock reconvergence pessimism
+                         -0.12  100.39   library setup time
+                                100.39   data required time
+-----------------------------------------------------------------------------
+                                100.39   data required time
+                                 -3.04   data arrival time
+-----------------------------------------------------------------------------
+                                 97.35   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09163_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_08778_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.19    0.78 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.06                           mprj/u_mac_wrap/clknet_3_2__leaf_mdio_clk (net)
+                  0.07    0.00    0.78 ^ mprj/u_mac_wrap/_09163_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.38    1.16 ^ mprj/u_mac_wrap/_09163_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.mdio_cur_st[1] (net)
+                  0.09    0.00    1.16 ^ mprj/u_mac_wrap/fanout440/A (sky130_fd_sc_hd__buf_2)
+                  0.20    0.24    1.40 ^ mprj/u_mac_wrap/fanout440/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_mac_wrap/net440 (net)
+                  0.20    0.00    1.40 ^ mprj/u_mac_wrap/_04312_/A_N (sky130_fd_sc_hd__nand2b_1)
+                  0.19    0.25    1.64 ^ mprj/u_mac_wrap/_04312_/Y (sky130_fd_sc_hd__nand2b_1)
+     4    0.02                           mprj/u_mac_wrap/_01637_ (net)
+                  0.19    0.00    1.65 ^ mprj/u_mac_wrap/_04806_/A_N (sky130_fd_sc_hd__and2b_1)
+                  0.04    0.22    1.87 v mprj/u_mac_wrap/_04806_/X (sky130_fd_sc_hd__and2b_1)
+     2    0.01                           mprj/u_mac_wrap/_02061_ (net)
+                  0.04    0.00    1.87 v mprj/u_mac_wrap/_05580_/D (sky130_fd_sc_hd__or4_1)
+                  0.13    0.47    2.34 v mprj/u_mac_wrap/_05580_/X (sky130_fd_sc_hd__or4_1)
+     2    0.01                           mprj/u_mac_wrap/_02555_ (net)
+                  0.13    0.00    2.34 v mprj/u_mac_wrap/_05581_/C1 (sky130_fd_sc_hd__a211o_1)
+                  0.13    0.35    2.69 v mprj/u_mac_wrap/_05581_/X (sky130_fd_sc_hd__a211o_1)
+     4    0.02                           mprj/u_mac_wrap/_02556_ (net)
+                  0.13    0.00    2.69 v mprj/u_mac_wrap/_05589_/S (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.35    3.04 v mprj/u_mac_wrap/_05589_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00321_ (net)
+                  0.06    0.00    3.04 v mprj/u_mac_wrap/_08778_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  3.04   data arrival time
+
+                        100.00  100.00   clock mdio_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.14    0.00  100.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01  100.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28  100.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01  100.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25  100.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.01  100.55 ^ mprj/u_mac_wrap/clkbuf_3_0__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16  100.71 ^ mprj/u_mac_wrap/clkbuf_3_0__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    12    0.04                           mprj/u_mac_wrap/clknet_3_0__leaf_mdio_clk (net)
+                  0.06    0.00  100.71 ^ mprj/u_mac_wrap/_08778_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25  100.46   clock uncertainty
+                          0.04  100.50   clock reconvergence pessimism
+                         -0.12  100.39   library setup time
+                                100.39   data required time
+-----------------------------------------------------------------------------
+                                100.39   data required time
+                                 -3.04   data arrival time
+-----------------------------------------------------------------------------
+                                 97.35   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09163_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_08775_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.19    0.78 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.06                           mprj/u_mac_wrap/clknet_3_2__leaf_mdio_clk (net)
+                  0.07    0.00    0.78 ^ mprj/u_mac_wrap/_09163_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.38    1.16 ^ mprj/u_mac_wrap/_09163_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.mdio_cur_st[1] (net)
+                  0.09    0.00    1.16 ^ mprj/u_mac_wrap/fanout440/A (sky130_fd_sc_hd__buf_2)
+                  0.20    0.24    1.40 ^ mprj/u_mac_wrap/fanout440/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_mac_wrap/net440 (net)
+                  0.20    0.00    1.40 ^ mprj/u_mac_wrap/_04312_/A_N (sky130_fd_sc_hd__nand2b_1)
+                  0.19    0.25    1.64 ^ mprj/u_mac_wrap/_04312_/Y (sky130_fd_sc_hd__nand2b_1)
+     4    0.02                           mprj/u_mac_wrap/_01637_ (net)
+                  0.19    0.00    1.65 ^ mprj/u_mac_wrap/_04806_/A_N (sky130_fd_sc_hd__and2b_1)
+                  0.04    0.22    1.87 v mprj/u_mac_wrap/_04806_/X (sky130_fd_sc_hd__and2b_1)
+     2    0.01                           mprj/u_mac_wrap/_02061_ (net)
+                  0.04    0.00    1.87 v mprj/u_mac_wrap/_05580_/D (sky130_fd_sc_hd__or4_1)
+                  0.13    0.47    2.34 v mprj/u_mac_wrap/_05580_/X (sky130_fd_sc_hd__or4_1)
+     2    0.01                           mprj/u_mac_wrap/_02555_ (net)
+                  0.13    0.00    2.34 v mprj/u_mac_wrap/_05581_/C1 (sky130_fd_sc_hd__a211o_1)
+                  0.13    0.35    2.69 v mprj/u_mac_wrap/_05581_/X (sky130_fd_sc_hd__a211o_1)
+     4    0.02                           mprj/u_mac_wrap/_02556_ (net)
+                  0.13    0.00    2.69 v mprj/u_mac_wrap/_05583_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.34    3.04 v mprj/u_mac_wrap/_05583_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00318_ (net)
+                  0.05    0.00    3.04 v mprj/u_mac_wrap/_08775_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  3.04   data arrival time
+
+                        100.00  100.00   clock mdio_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.14    0.00  100.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01  100.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28  100.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01  100.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25  100.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.01  100.55 ^ mprj/u_mac_wrap/clkbuf_3_0__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16  100.71 ^ mprj/u_mac_wrap/clkbuf_3_0__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    12    0.04                           mprj/u_mac_wrap/clknet_3_0__leaf_mdio_clk (net)
+                  0.06    0.00  100.71 ^ mprj/u_mac_wrap/_08775_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25  100.46   clock uncertainty
+                          0.04  100.50   clock reconvergence pessimism
+                         -0.12  100.39   library setup time
+                                100.39   data required time
+-----------------------------------------------------------------------------
+                                100.39   data required time
+                                 -3.04   data arrival time
+-----------------------------------------------------------------------------
+                                 97.35   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09163_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_08776_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.19    0.78 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.06                           mprj/u_mac_wrap/clknet_3_2__leaf_mdio_clk (net)
+                  0.07    0.00    0.78 ^ mprj/u_mac_wrap/_09163_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.38    1.16 ^ mprj/u_mac_wrap/_09163_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.mdio_cur_st[1] (net)
+                  0.09    0.00    1.16 ^ mprj/u_mac_wrap/fanout440/A (sky130_fd_sc_hd__buf_2)
+                  0.20    0.24    1.40 ^ mprj/u_mac_wrap/fanout440/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_mac_wrap/net440 (net)
+                  0.20    0.00    1.40 ^ mprj/u_mac_wrap/_04312_/A_N (sky130_fd_sc_hd__nand2b_1)
+                  0.19    0.25    1.64 ^ mprj/u_mac_wrap/_04312_/Y (sky130_fd_sc_hd__nand2b_1)
+     4    0.02                           mprj/u_mac_wrap/_01637_ (net)
+                  0.19    0.00    1.65 ^ mprj/u_mac_wrap/_04806_/A_N (sky130_fd_sc_hd__and2b_1)
+                  0.04    0.22    1.87 v mprj/u_mac_wrap/_04806_/X (sky130_fd_sc_hd__and2b_1)
+     2    0.01                           mprj/u_mac_wrap/_02061_ (net)
+                  0.04    0.00    1.87 v mprj/u_mac_wrap/_05580_/D (sky130_fd_sc_hd__or4_1)
+                  0.13    0.47    2.34 v mprj/u_mac_wrap/_05580_/X (sky130_fd_sc_hd__or4_1)
+     2    0.01                           mprj/u_mac_wrap/_02555_ (net)
+                  0.13    0.00    2.34 v mprj/u_mac_wrap/_05581_/C1 (sky130_fd_sc_hd__a211o_1)
+                  0.13    0.35    2.69 v mprj/u_mac_wrap/_05581_/X (sky130_fd_sc_hd__a211o_1)
+     4    0.02                           mprj/u_mac_wrap/_02556_ (net)
+                  0.13    0.00    2.69 v mprj/u_mac_wrap/_05585_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.34    3.03 v mprj/u_mac_wrap/_05585_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00319_ (net)
+                  0.05    0.00    3.03 v mprj/u_mac_wrap/_08776_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  3.03   data arrival time
+
+                        100.00  100.00   clock mdio_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.14    0.00  100.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01  100.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28  100.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01  100.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25  100.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.01  100.55 ^ mprj/u_mac_wrap/clkbuf_3_0__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16  100.71 ^ mprj/u_mac_wrap/clkbuf_3_0__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    12    0.04                           mprj/u_mac_wrap/clknet_3_0__leaf_mdio_clk (net)
+                  0.06    0.00  100.71 ^ mprj/u_mac_wrap/_08776_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25  100.46   clock uncertainty
+                          0.04  100.50   clock reconvergence pessimism
+                         -0.12  100.39   library setup time
+                                100.39   data required time
+-----------------------------------------------------------------------------
+                                100.39   data required time
+                                 -3.03   data arrival time
+-----------------------------------------------------------------------------
+                                 97.35   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09163_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_09159_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.19    0.78 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.06                           mprj/u_mac_wrap/clknet_3_2__leaf_mdio_clk (net)
+                  0.07    0.00    0.78 ^ mprj/u_mac_wrap/_09163_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.06    0.41    1.19 v mprj/u_mac_wrap/_09163_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.mdio_cur_st[1] (net)
+                  0.06    0.00    1.19 v mprj/u_mac_wrap/fanout441/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.11    0.22    1.41 v mprj/u_mac_wrap/fanout441/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     7    0.02                           mprj/u_mac_wrap/net441 (net)
+                  0.11    0.00    1.41 v mprj/u_mac_wrap/_04781_/A (sky130_fd_sc_hd__or2_2)
+                  0.10    0.40    1.80 v mprj/u_mac_wrap/_04781_/X (sky130_fd_sc_hd__or2_2)
+     5    0.02                           mprj/u_mac_wrap/_02038_ (net)
+                  0.10    0.00    1.80 v mprj/u_mac_wrap/_04782_/B (sky130_fd_sc_hd__nor2_2)
+                  0.23    0.23    2.03 ^ mprj/u_mac_wrap/_04782_/Y (sky130_fd_sc_hd__nor2_2)
+     5    0.02                           mprj/u_mac_wrap/_02039_ (net)
+                  0.23    0.00    2.03 ^ mprj/u_mac_wrap/_06389_/B1 (sky130_fd_sc_hd__a211o_1)
+                  0.18    0.25    2.28 ^ mprj/u_mac_wrap/_06389_/X (sky130_fd_sc_hd__a211o_1)
+     6    0.02                           mprj/u_mac_wrap/_03059_ (net)
+                  0.18    0.00    2.28 ^ mprj/u_mac_wrap/_06390_/B (sky130_fd_sc_hd__and2_1)
+                  0.16    0.25    2.53 ^ mprj/u_mac_wrap/_06390_/X (sky130_fd_sc_hd__and2_1)
+     3    0.02                           mprj/u_mac_wrap/_03060_ (net)
+                  0.16    0.00    2.53 ^ mprj/u_mac_wrap/_06396_/C (sky130_fd_sc_hd__and3_1)
+                  0.12    0.24    2.77 ^ mprj/u_mac_wrap/_06396_/X (sky130_fd_sc_hd__and3_1)
+     2    0.01                           mprj/u_mac_wrap/_03064_ (net)
+                  0.12    0.00    2.77 ^ mprj/u_mac_wrap/_06397_/A (sky130_fd_sc_hd__clkinv_2)
+                  0.04    0.07    2.85 v mprj/u_mac_wrap/_06397_/Y (sky130_fd_sc_hd__clkinv_2)
+     1    0.01                           mprj/u_mac_wrap/_03065_ (net)
+                  0.04    0.00    2.85 v mprj/u_mac_wrap/_06398_/C (sky130_fd_sc_hd__and3_1)
+                  0.04    0.18    3.03 v mprj/u_mac_wrap/_06398_/X (sky130_fd_sc_hd__and3_1)
+     1    0.00                           mprj/u_mac_wrap/_00625_ (net)
+                  0.04    0.00    3.03 v mprj/u_mac_wrap/_09159_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  3.03   data arrival time
+
+                        100.00  100.00   clock mdio_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.14    0.00  100.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01  100.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28  100.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01  100.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25  100.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00  100.55 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.18  100.72 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.06                           mprj/u_mac_wrap/clknet_3_2__leaf_mdio_clk (net)
+                  0.07    0.00  100.73 ^ mprj/u_mac_wrap/_09159_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25  100.48   clock uncertainty
+                          0.06  100.53   clock reconvergence pessimism
+                         -0.10  100.43   library setup time
+                                100.43   data required time
+-----------------------------------------------------------------------------
+                                100.43   data required time
+                                 -3.03   data arrival time
+-----------------------------------------------------------------------------
+                                 97.40   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09163_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_09289_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.19    0.78 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.06                           mprj/u_mac_wrap/clknet_3_2__leaf_mdio_clk (net)
+                  0.07    0.00    0.78 ^ mprj/u_mac_wrap/_09163_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.38    1.16 ^ mprj/u_mac_wrap/_09163_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.mdio_cur_st[1] (net)
+                  0.09    0.00    1.16 ^ mprj/u_mac_wrap/fanout441/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.23    0.24    1.40 ^ mprj/u_mac_wrap/fanout441/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     7    0.02                           mprj/u_mac_wrap/net441 (net)
+                  0.23    0.00    1.40 ^ mprj/u_mac_wrap/_04784_/A (sky130_fd_sc_hd__and3_1)
+                  0.22    0.33    1.73 ^ mprj/u_mac_wrap/_04784_/X (sky130_fd_sc_hd__and3_1)
+     4    0.02                           mprj/u_mac_wrap/_02041_ (net)
+                  0.22    0.00    1.73 ^ mprj/u_mac_wrap/fanout350/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.29    2.03 ^ mprj/u_mac_wrap/fanout350/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_mac_wrap/net350 (net)
+                  0.20    0.00    2.03 ^ mprj/u_mac_wrap/_06571_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.35    2.38 v mprj/u_mac_wrap/_06571_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00749_ (net)
+                  0.05    0.00    2.38 v mprj/u_mac_wrap/hold45/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.05    0.57    2.95 v mprj/u_mac_wrap/hold45/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.00                           mprj/u_mac_wrap/net882 (net)
+                  0.05    0.00    2.95 v mprj/u_mac_wrap/_09289_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  2.95   data arrival time
+
+                        100.00  100.00   clock mdio_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.14    0.00  100.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01  100.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28  100.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01  100.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25  100.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00  100.55 ^ mprj/u_mac_wrap/clkbuf_3_3__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.15  100.70 ^ mprj/u_mac_wrap/clkbuf_3_3__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.03                           mprj/u_mac_wrap/clknet_3_3__leaf_mdio_clk (net)
+                  0.05    0.00  100.70 ^ mprj/u_mac_wrap/_09289_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25  100.45   clock uncertainty
+                          0.04  100.50   clock reconvergence pessimism
+                         -0.12  100.38   library setup time
+                                100.38   data required time
+-----------------------------------------------------------------------------
+                                100.38   data required time
+                                 -2.95   data arrival time
+-----------------------------------------------------------------------------
+                                 97.43   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09163_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_09160_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.19    0.78 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.06                           mprj/u_mac_wrap/clknet_3_2__leaf_mdio_clk (net)
+                  0.07    0.00    0.78 ^ mprj/u_mac_wrap/_09163_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.06    0.41    1.19 v mprj/u_mac_wrap/_09163_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.mdio_cur_st[1] (net)
+                  0.06    0.00    1.19 v mprj/u_mac_wrap/fanout441/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.11    0.22    1.41 v mprj/u_mac_wrap/fanout441/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     7    0.02                           mprj/u_mac_wrap/net441 (net)
+                  0.11    0.00    1.41 v mprj/u_mac_wrap/_04781_/A (sky130_fd_sc_hd__or2_2)
+                  0.10    0.40    1.80 v mprj/u_mac_wrap/_04781_/X (sky130_fd_sc_hd__or2_2)
+     5    0.02                           mprj/u_mac_wrap/_02038_ (net)
+                  0.10    0.00    1.80 v mprj/u_mac_wrap/_04782_/B (sky130_fd_sc_hd__nor2_2)
+                  0.23    0.23    2.03 ^ mprj/u_mac_wrap/_04782_/Y (sky130_fd_sc_hd__nor2_2)
+     5    0.02                           mprj/u_mac_wrap/_02039_ (net)
+                  0.23    0.00    2.03 ^ mprj/u_mac_wrap/_06389_/B1 (sky130_fd_sc_hd__a211o_1)
+                  0.18    0.25    2.28 ^ mprj/u_mac_wrap/_06389_/X (sky130_fd_sc_hd__a211o_1)
+     6    0.02                           mprj/u_mac_wrap/_03059_ (net)
+                  0.18    0.00    2.28 ^ mprj/u_mac_wrap/_06390_/B (sky130_fd_sc_hd__and2_1)
+                  0.16    0.25    2.53 ^ mprj/u_mac_wrap/_06390_/X (sky130_fd_sc_hd__and2_1)
+     3    0.02                           mprj/u_mac_wrap/_03060_ (net)
+                  0.16    0.00    2.53 ^ mprj/u_mac_wrap/_06396_/C (sky130_fd_sc_hd__and3_1)
+                  0.12    0.24    2.77 ^ mprj/u_mac_wrap/_06396_/X (sky130_fd_sc_hd__and3_1)
+     2    0.01                           mprj/u_mac_wrap/_03064_ (net)
+                  0.12    0.00    2.77 ^ mprj/u_mac_wrap/_06399_/B (sky130_fd_sc_hd__nor2_1)
+                  0.04    0.06    2.83 v mprj/u_mac_wrap/_06399_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.00                           mprj/u_mac_wrap/_03066_ (net)
+                  0.04    0.00    2.83 v mprj/u_mac_wrap/_06400_/B1 (sky130_fd_sc_hd__a21oi_1)
+                  0.17    0.17    2.99 ^ mprj/u_mac_wrap/_06400_/Y (sky130_fd_sc_hd__a21oi_1)
+     1    0.01                           mprj/u_mac_wrap/_00626_ (net)
+                  0.17    0.00    2.99 ^ mprj/u_mac_wrap/_09160_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  2.99   data arrival time
+
+                        100.00  100.00   clock mdio_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.14    0.00  100.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01  100.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28  100.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01  100.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25  100.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00  100.55 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.18  100.72 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.06                           mprj/u_mac_wrap/clknet_3_2__leaf_mdio_clk (net)
+                  0.07    0.00  100.73 ^ mprj/u_mac_wrap/_09160_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25  100.48   clock uncertainty
+                          0.06  100.53   clock reconvergence pessimism
+                         -0.08  100.45   library setup time
+                                100.45   data required time
+-----------------------------------------------------------------------------
+                                100.45   data required time
+                                 -2.99   data arrival time
+-----------------------------------------------------------------------------
+                                 97.45   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09163_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_08523_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.19    0.78 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.06                           mprj/u_mac_wrap/clknet_3_2__leaf_mdio_clk (net)
+                  0.07    0.00    0.78 ^ mprj/u_mac_wrap/_09163_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.06    0.41    1.19 v mprj/u_mac_wrap/_09163_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.mdio_cur_st[1] (net)
+                  0.06    0.00    1.19 v mprj/u_mac_wrap/fanout441/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.11    0.22    1.41 v mprj/u_mac_wrap/fanout441/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     7    0.02                           mprj/u_mac_wrap/net441 (net)
+                  0.11    0.00    1.41 v mprj/u_mac_wrap/_04781_/A (sky130_fd_sc_hd__or2_2)
+                  0.10    0.40    1.80 v mprj/u_mac_wrap/_04781_/X (sky130_fd_sc_hd__or2_2)
+     5    0.02                           mprj/u_mac_wrap/_02038_ (net)
+                  0.10    0.00    1.80 v mprj/u_mac_wrap/_04862_/A1 (sky130_fd_sc_hd__a21oi_2)
+                  0.37    0.37    2.17 ^ mprj/u_mac_wrap/_04862_/Y (sky130_fd_sc_hd__a21oi_2)
+     4    0.03                           mprj/u_mac_wrap/_02090_ (net)
+                  0.37    0.00    2.18 ^ mprj/u_mac_wrap/fanout303/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.33    2.50 ^ mprj/u_mac_wrap/fanout303/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_mac_wrap/net303 (net)
+                  0.21    0.00    2.50 ^ mprj/u_mac_wrap/_04889_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.35    2.86 v mprj/u_mac_wrap/_04889_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00077_ (net)
+                  0.05    0.00    2.86 v mprj/u_mac_wrap/_08523_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  2.86   data arrival time
+
+                        100.00  100.00   clock mdio_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.14    0.00  100.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01  100.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28  100.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01  100.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25  100.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00  100.55 ^ mprj/u_mac_wrap/clkbuf_3_6__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15  100.70 ^ mprj/u_mac_wrap/clkbuf_3_6__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.02                           mprj/u_mac_wrap/clknet_3_6__leaf_mdio_clk (net)
+                  0.04    0.00  100.70 ^ mprj/u_mac_wrap/_08523_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25  100.45   clock uncertainty
+                          0.04  100.49   clock reconvergence pessimism
+                         -0.12  100.37   library setup time
+                                100.37   data required time
+-----------------------------------------------------------------------------
+                                100.37   data required time
+                                 -2.86   data arrival time
+-----------------------------------------------------------------------------
+                                 97.51   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09163_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_08524_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.19    0.78 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.06                           mprj/u_mac_wrap/clknet_3_2__leaf_mdio_clk (net)
+                  0.07    0.00    0.78 ^ mprj/u_mac_wrap/_09163_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.06    0.41    1.19 v mprj/u_mac_wrap/_09163_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.mdio_cur_st[1] (net)
+                  0.06    0.00    1.19 v mprj/u_mac_wrap/fanout441/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.11    0.22    1.41 v mprj/u_mac_wrap/fanout441/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     7    0.02                           mprj/u_mac_wrap/net441 (net)
+                  0.11    0.00    1.41 v mprj/u_mac_wrap/_04781_/A (sky130_fd_sc_hd__or2_2)
+                  0.10    0.40    1.80 v mprj/u_mac_wrap/_04781_/X (sky130_fd_sc_hd__or2_2)
+     5    0.02                           mprj/u_mac_wrap/_02038_ (net)
+                  0.10    0.00    1.80 v mprj/u_mac_wrap/_04862_/A1 (sky130_fd_sc_hd__a21oi_2)
+                  0.37    0.37    2.17 ^ mprj/u_mac_wrap/_04862_/Y (sky130_fd_sc_hd__a21oi_2)
+     4    0.03                           mprj/u_mac_wrap/_02090_ (net)
+                  0.37    0.00    2.18 ^ mprj/u_mac_wrap/fanout303/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.33    2.50 ^ mprj/u_mac_wrap/fanout303/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_mac_wrap/net303 (net)
+                  0.21    0.00    2.50 ^ mprj/u_mac_wrap/_04891_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.35    2.86 v mprj/u_mac_wrap/_04891_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00078_ (net)
+                  0.05    0.00    2.86 v mprj/u_mac_wrap/_08524_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  2.86   data arrival time
+
+                        100.00  100.00   clock mdio_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.14    0.00  100.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01  100.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28  100.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01  100.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25  100.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00  100.55 ^ mprj/u_mac_wrap/clkbuf_3_6__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15  100.70 ^ mprj/u_mac_wrap/clkbuf_3_6__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.02                           mprj/u_mac_wrap/clknet_3_6__leaf_mdio_clk (net)
+                  0.04    0.00  100.70 ^ mprj/u_mac_wrap/_08524_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25  100.45   clock uncertainty
+                          0.04  100.49   clock reconvergence pessimism
+                         -0.12  100.37   library setup time
+                                100.37   data required time
+-----------------------------------------------------------------------------
+                                100.37   data required time
+                                 -2.86   data arrival time
+-----------------------------------------------------------------------------
+                                 97.51   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09163_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_08522_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.19    0.78 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.06                           mprj/u_mac_wrap/clknet_3_2__leaf_mdio_clk (net)
+                  0.07    0.00    0.78 ^ mprj/u_mac_wrap/_09163_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.06    0.41    1.19 v mprj/u_mac_wrap/_09163_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.mdio_cur_st[1] (net)
+                  0.06    0.00    1.19 v mprj/u_mac_wrap/fanout441/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.11    0.22    1.41 v mprj/u_mac_wrap/fanout441/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     7    0.02                           mprj/u_mac_wrap/net441 (net)
+                  0.11    0.00    1.41 v mprj/u_mac_wrap/_04781_/A (sky130_fd_sc_hd__or2_2)
+                  0.10    0.40    1.80 v mprj/u_mac_wrap/_04781_/X (sky130_fd_sc_hd__or2_2)
+     5    0.02                           mprj/u_mac_wrap/_02038_ (net)
+                  0.10    0.00    1.80 v mprj/u_mac_wrap/_04862_/A1 (sky130_fd_sc_hd__a21oi_2)
+                  0.37    0.37    2.17 ^ mprj/u_mac_wrap/_04862_/Y (sky130_fd_sc_hd__a21oi_2)
+     4    0.03                           mprj/u_mac_wrap/_02090_ (net)
+                  0.37    0.00    2.18 ^ mprj/u_mac_wrap/fanout303/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.33    2.50 ^ mprj/u_mac_wrap/fanout303/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_mac_wrap/net303 (net)
+                  0.21    0.00    2.50 ^ mprj/u_mac_wrap/_04887_/S (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.35    2.86 v mprj/u_mac_wrap/_04887_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00076_ (net)
+                  0.06    0.00    2.86 v mprj/u_mac_wrap/_08522_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  2.86   data arrival time
+
+                        100.00  100.00   clock mdio_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.14    0.00  100.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01  100.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28  100.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01  100.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25  100.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00  100.55 ^ mprj/u_mac_wrap/clkbuf_3_4__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.15  100.70 ^ mprj/u_mac_wrap/clkbuf_3_4__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     5    0.02                           mprj/u_mac_wrap/clknet_3_4__leaf_mdio_clk (net)
+                  0.05    0.00  100.70 ^ mprj/u_mac_wrap/_08522_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25  100.45   clock uncertainty
+                          0.04  100.49   clock reconvergence pessimism
+                         -0.12  100.37   library setup time
+                                100.37   data required time
+-----------------------------------------------------------------------------
+                                100.37   data required time
+                                 -2.86   data arrival time
+-----------------------------------------------------------------------------
+                                 97.51   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09163_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_08511_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.19    0.78 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.06                           mprj/u_mac_wrap/clknet_3_2__leaf_mdio_clk (net)
+                  0.07    0.00    0.78 ^ mprj/u_mac_wrap/_09163_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.06    0.41    1.19 v mprj/u_mac_wrap/_09163_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.mdio_cur_st[1] (net)
+                  0.06    0.00    1.19 v mprj/u_mac_wrap/fanout441/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.11    0.22    1.41 v mprj/u_mac_wrap/fanout441/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     7    0.02                           mprj/u_mac_wrap/net441 (net)
+                  0.11    0.00    1.41 v mprj/u_mac_wrap/_04781_/A (sky130_fd_sc_hd__or2_2)
+                  0.10    0.40    1.80 v mprj/u_mac_wrap/_04781_/X (sky130_fd_sc_hd__or2_2)
+     5    0.02                           mprj/u_mac_wrap/_02038_ (net)
+                  0.10    0.00    1.80 v mprj/u_mac_wrap/_04862_/A1 (sky130_fd_sc_hd__a21oi_2)
+                  0.37    0.37    2.17 ^ mprj/u_mac_wrap/_04862_/Y (sky130_fd_sc_hd__a21oi_2)
+     4    0.03                           mprj/u_mac_wrap/_02090_ (net)
+                  0.37    0.00    2.18 ^ mprj/u_mac_wrap/fanout303/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.33    2.50 ^ mprj/u_mac_wrap/fanout303/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_mac_wrap/net303 (net)
+                  0.21    0.00    2.51 ^ mprj/u_mac_wrap/_04865_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.35    2.86 v mprj/u_mac_wrap/_04865_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00065_ (net)
+                  0.05    0.00    2.86 v mprj/u_mac_wrap/_08511_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  2.86   data arrival time
+
+                        100.00  100.00   clock mdio_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.14    0.00  100.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01  100.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28  100.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01  100.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25  100.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00  100.55 ^ mprj/u_mac_wrap/clkbuf_3_4__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.15  100.70 ^ mprj/u_mac_wrap/clkbuf_3_4__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     5    0.02                           mprj/u_mac_wrap/clknet_3_4__leaf_mdio_clk (net)
+                  0.05    0.00  100.70 ^ mprj/u_mac_wrap/_08511_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25  100.45   clock uncertainty
+                          0.04  100.49   clock reconvergence pessimism
+                         -0.12  100.37   library setup time
+                                100.37   data required time
+-----------------------------------------------------------------------------
+                                100.37   data required time
+                                 -2.86   data arrival time
+-----------------------------------------------------------------------------
+                                 97.52   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09163_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_08525_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.19    0.78 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.06                           mprj/u_mac_wrap/clknet_3_2__leaf_mdio_clk (net)
+                  0.07    0.00    0.78 ^ mprj/u_mac_wrap/_09163_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.06    0.41    1.19 v mprj/u_mac_wrap/_09163_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.mdio_cur_st[1] (net)
+                  0.06    0.00    1.19 v mprj/u_mac_wrap/fanout441/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.11    0.22    1.41 v mprj/u_mac_wrap/fanout441/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     7    0.02                           mprj/u_mac_wrap/net441 (net)
+                  0.11    0.00    1.41 v mprj/u_mac_wrap/_04781_/A (sky130_fd_sc_hd__or2_2)
+                  0.10    0.40    1.80 v mprj/u_mac_wrap/_04781_/X (sky130_fd_sc_hd__or2_2)
+     5    0.02                           mprj/u_mac_wrap/_02038_ (net)
+                  0.10    0.00    1.80 v mprj/u_mac_wrap/_04862_/A1 (sky130_fd_sc_hd__a21oi_2)
+                  0.37    0.37    2.17 ^ mprj/u_mac_wrap/_04862_/Y (sky130_fd_sc_hd__a21oi_2)
+     4    0.03                           mprj/u_mac_wrap/_02090_ (net)
+                  0.37    0.00    2.18 ^ mprj/u_mac_wrap/fanout303/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.33    2.50 ^ mprj/u_mac_wrap/fanout303/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_mac_wrap/net303 (net)
+                  0.21    0.00    2.50 ^ mprj/u_mac_wrap/_04893_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.35    2.85 v mprj/u_mac_wrap/_04893_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00079_ (net)
+                  0.05    0.00    2.85 v mprj/u_mac_wrap/_08525_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  2.85   data arrival time
+
+                        100.00  100.00   clock mdio_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.14    0.00  100.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01  100.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28  100.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01  100.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25  100.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00  100.55 ^ mprj/u_mac_wrap/clkbuf_3_6__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15  100.70 ^ mprj/u_mac_wrap/clkbuf_3_6__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.02                           mprj/u_mac_wrap/clknet_3_6__leaf_mdio_clk (net)
+                  0.04    0.00  100.70 ^ mprj/u_mac_wrap/_08525_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25  100.45   clock uncertainty
+                          0.04  100.49   clock reconvergence pessimism
+                         -0.12  100.37   library setup time
+                                100.37   data required time
+-----------------------------------------------------------------------------
+                                100.37   data required time
+                                 -2.85   data arrival time
+-----------------------------------------------------------------------------
+                                 97.52   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09163_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_08521_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.19    0.78 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.06                           mprj/u_mac_wrap/clknet_3_2__leaf_mdio_clk (net)
+                  0.07    0.00    0.78 ^ mprj/u_mac_wrap/_09163_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.06    0.41    1.19 v mprj/u_mac_wrap/_09163_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.mdio_cur_st[1] (net)
+                  0.06    0.00    1.19 v mprj/u_mac_wrap/fanout441/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.11    0.22    1.41 v mprj/u_mac_wrap/fanout441/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     7    0.02                           mprj/u_mac_wrap/net441 (net)
+                  0.11    0.00    1.41 v mprj/u_mac_wrap/_04781_/A (sky130_fd_sc_hd__or2_2)
+                  0.10    0.40    1.80 v mprj/u_mac_wrap/_04781_/X (sky130_fd_sc_hd__or2_2)
+     5    0.02                           mprj/u_mac_wrap/_02038_ (net)
+                  0.10    0.00    1.80 v mprj/u_mac_wrap/_04862_/A1 (sky130_fd_sc_hd__a21oi_2)
+                  0.37    0.37    2.17 ^ mprj/u_mac_wrap/_04862_/Y (sky130_fd_sc_hd__a21oi_2)
+     4    0.03                           mprj/u_mac_wrap/_02090_ (net)
+                  0.37    0.00    2.18 ^ mprj/u_mac_wrap/fanout303/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.33    2.50 ^ mprj/u_mac_wrap/fanout303/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_mac_wrap/net303 (net)
+                  0.21    0.00    2.50 ^ mprj/u_mac_wrap/_04885_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.35    2.85 v mprj/u_mac_wrap/_04885_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00075_ (net)
+                  0.05    0.00    2.85 v mprj/u_mac_wrap/_08521_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  2.85   data arrival time
+
+                        100.00  100.00   clock mdio_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.14    0.00  100.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01  100.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28  100.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01  100.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25  100.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00  100.55 ^ mprj/u_mac_wrap/clkbuf_3_4__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.15  100.70 ^ mprj/u_mac_wrap/clkbuf_3_4__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     5    0.02                           mprj/u_mac_wrap/clknet_3_4__leaf_mdio_clk (net)
+                  0.05    0.00  100.70 ^ mprj/u_mac_wrap/_08521_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25  100.45   clock uncertainty
+                          0.04  100.49   clock reconvergence pessimism
+                         -0.12  100.37   library setup time
+                                100.37   data required time
+-----------------------------------------------------------------------------
+                                100.37   data required time
+                                 -2.85   data arrival time
+-----------------------------------------------------------------------------
+                                 97.52   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09163_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_08512_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.19    0.78 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.06                           mprj/u_mac_wrap/clknet_3_2__leaf_mdio_clk (net)
+                  0.07    0.00    0.78 ^ mprj/u_mac_wrap/_09163_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.06    0.41    1.19 v mprj/u_mac_wrap/_09163_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.mdio_cur_st[1] (net)
+                  0.06    0.00    1.19 v mprj/u_mac_wrap/fanout441/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.11    0.22    1.41 v mprj/u_mac_wrap/fanout441/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     7    0.02                           mprj/u_mac_wrap/net441 (net)
+                  0.11    0.00    1.41 v mprj/u_mac_wrap/_04781_/A (sky130_fd_sc_hd__or2_2)
+                  0.10    0.40    1.80 v mprj/u_mac_wrap/_04781_/X (sky130_fd_sc_hd__or2_2)
+     5    0.02                           mprj/u_mac_wrap/_02038_ (net)
+                  0.10    0.00    1.80 v mprj/u_mac_wrap/_04862_/A1 (sky130_fd_sc_hd__a21oi_2)
+                  0.37    0.37    2.17 ^ mprj/u_mac_wrap/_04862_/Y (sky130_fd_sc_hd__a21oi_2)
+     4    0.03                           mprj/u_mac_wrap/_02090_ (net)
+                  0.37    0.00    2.18 ^ mprj/u_mac_wrap/fanout303/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.33    2.50 ^ mprj/u_mac_wrap/fanout303/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_mac_wrap/net303 (net)
+                  0.21    0.00    2.51 ^ mprj/u_mac_wrap/_04867_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.35    2.85 v mprj/u_mac_wrap/_04867_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00066_ (net)
+                  0.05    0.00    2.85 v mprj/u_mac_wrap/_08512_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  2.85   data arrival time
+
+                        100.00  100.00   clock mdio_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.14    0.00  100.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01  100.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28  100.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01  100.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25  100.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00  100.55 ^ mprj/u_mac_wrap/clkbuf_3_5__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.15  100.70 ^ mprj/u_mac_wrap/clkbuf_3_5__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.03                           mprj/u_mac_wrap/clknet_3_5__leaf_mdio_clk (net)
+                  0.05    0.00  100.70 ^ mprj/u_mac_wrap/_08512_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25  100.45   clock uncertainty
+                          0.04  100.49   clock reconvergence pessimism
+                         -0.12  100.38   library setup time
+                                100.38   data required time
+-----------------------------------------------------------------------------
+                                100.38   data required time
+                                 -2.85   data arrival time
+-----------------------------------------------------------------------------
+                                 97.52   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09163_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_08518_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.19    0.78 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.06                           mprj/u_mac_wrap/clknet_3_2__leaf_mdio_clk (net)
+                  0.07    0.00    0.78 ^ mprj/u_mac_wrap/_09163_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.06    0.41    1.19 v mprj/u_mac_wrap/_09163_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.mdio_cur_st[1] (net)
+                  0.06    0.00    1.19 v mprj/u_mac_wrap/fanout441/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.11    0.22    1.41 v mprj/u_mac_wrap/fanout441/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     7    0.02                           mprj/u_mac_wrap/net441 (net)
+                  0.11    0.00    1.41 v mprj/u_mac_wrap/_04781_/A (sky130_fd_sc_hd__or2_2)
+                  0.10    0.40    1.80 v mprj/u_mac_wrap/_04781_/X (sky130_fd_sc_hd__or2_2)
+     5    0.02                           mprj/u_mac_wrap/_02038_ (net)
+                  0.10    0.00    1.80 v mprj/u_mac_wrap/_04862_/A1 (sky130_fd_sc_hd__a21oi_2)
+                  0.37    0.37    2.17 ^ mprj/u_mac_wrap/_04862_/Y (sky130_fd_sc_hd__a21oi_2)
+     4    0.03                           mprj/u_mac_wrap/_02090_ (net)
+                  0.37    0.00    2.18 ^ mprj/u_mac_wrap/fanout303/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.33    2.50 ^ mprj/u_mac_wrap/fanout303/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_mac_wrap/net303 (net)
+                  0.21    0.00    2.50 ^ mprj/u_mac_wrap/_04879_/S (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.36    2.86 v mprj/u_mac_wrap/_04879_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00072_ (net)
+                  0.06    0.00    2.86 v mprj/u_mac_wrap/_08518_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  2.86   data arrival time
+
+                        100.00  100.00   clock mdio_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.14    0.00  100.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01  100.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28  100.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01  100.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25  100.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.01  100.55 ^ mprj/u_mac_wrap/clkbuf_3_1__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16  100.71 ^ mprj/u_mac_wrap/clkbuf_3_1__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.03                           mprj/u_mac_wrap/clknet_3_1__leaf_mdio_clk (net)
+                  0.05    0.00  100.71 ^ mprj/u_mac_wrap/_08518_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25  100.46   clock uncertainty
+                          0.04  100.50   clock reconvergence pessimism
+                         -0.12  100.38   library setup time
+                                100.38   data required time
+-----------------------------------------------------------------------------
+                                100.38   data required time
+                                 -2.86   data arrival time
+-----------------------------------------------------------------------------
+                                 97.52   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09163_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_08520_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.19    0.78 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.06                           mprj/u_mac_wrap/clknet_3_2__leaf_mdio_clk (net)
+                  0.07    0.00    0.78 ^ mprj/u_mac_wrap/_09163_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.06    0.41    1.19 v mprj/u_mac_wrap/_09163_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.mdio_cur_st[1] (net)
+                  0.06    0.00    1.19 v mprj/u_mac_wrap/fanout441/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.11    0.22    1.41 v mprj/u_mac_wrap/fanout441/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     7    0.02                           mprj/u_mac_wrap/net441 (net)
+                  0.11    0.00    1.41 v mprj/u_mac_wrap/_04781_/A (sky130_fd_sc_hd__or2_2)
+                  0.10    0.40    1.80 v mprj/u_mac_wrap/_04781_/X (sky130_fd_sc_hd__or2_2)
+     5    0.02                           mprj/u_mac_wrap/_02038_ (net)
+                  0.10    0.00    1.80 v mprj/u_mac_wrap/_04862_/A1 (sky130_fd_sc_hd__a21oi_2)
+                  0.37    0.37    2.17 ^ mprj/u_mac_wrap/_04862_/Y (sky130_fd_sc_hd__a21oi_2)
+     4    0.03                           mprj/u_mac_wrap/_02090_ (net)
+                  0.37    0.00    2.18 ^ mprj/u_mac_wrap/fanout303/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.33    2.50 ^ mprj/u_mac_wrap/fanout303/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_mac_wrap/net303 (net)
+                  0.21    0.00    2.50 ^ mprj/u_mac_wrap/_04883_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.35    2.85 v mprj/u_mac_wrap/_04883_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00074_ (net)
+                  0.05    0.00    2.85 v mprj/u_mac_wrap/_08520_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  2.85   data arrival time
+
+                        100.00  100.00   clock mdio_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.14    0.00  100.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01  100.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28  100.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01  100.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25  100.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.01  100.55 ^ mprj/u_mac_wrap/clkbuf_3_1__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16  100.71 ^ mprj/u_mac_wrap/clkbuf_3_1__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.03                           mprj/u_mac_wrap/clknet_3_1__leaf_mdio_clk (net)
+                  0.05    0.00  100.71 ^ mprj/u_mac_wrap/_08520_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25  100.46   clock uncertainty
+                          0.04  100.50   clock reconvergence pessimism
+                         -0.12  100.38   library setup time
+                                100.38   data required time
+-----------------------------------------------------------------------------
+                                100.38   data required time
+                                 -2.85   data arrival time
+-----------------------------------------------------------------------------
+                                 97.53   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09163_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_08519_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.19    0.78 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.06                           mprj/u_mac_wrap/clknet_3_2__leaf_mdio_clk (net)
+                  0.07    0.00    0.78 ^ mprj/u_mac_wrap/_09163_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.06    0.41    1.19 v mprj/u_mac_wrap/_09163_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.mdio_cur_st[1] (net)
+                  0.06    0.00    1.19 v mprj/u_mac_wrap/fanout441/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.11    0.22    1.41 v mprj/u_mac_wrap/fanout441/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     7    0.02                           mprj/u_mac_wrap/net441 (net)
+                  0.11    0.00    1.41 v mprj/u_mac_wrap/_04781_/A (sky130_fd_sc_hd__or2_2)
+                  0.10    0.40    1.80 v mprj/u_mac_wrap/_04781_/X (sky130_fd_sc_hd__or2_2)
+     5    0.02                           mprj/u_mac_wrap/_02038_ (net)
+                  0.10    0.00    1.80 v mprj/u_mac_wrap/_04862_/A1 (sky130_fd_sc_hd__a21oi_2)
+                  0.37    0.37    2.17 ^ mprj/u_mac_wrap/_04862_/Y (sky130_fd_sc_hd__a21oi_2)
+     4    0.03                           mprj/u_mac_wrap/_02090_ (net)
+                  0.37    0.00    2.18 ^ mprj/u_mac_wrap/fanout303/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.33    2.50 ^ mprj/u_mac_wrap/fanout303/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_mac_wrap/net303 (net)
+                  0.21    0.00    2.50 ^ mprj/u_mac_wrap/_04881_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.35    2.85 v mprj/u_mac_wrap/_04881_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00073_ (net)
+                  0.05    0.00    2.85 v mprj/u_mac_wrap/_08519_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  2.85   data arrival time
+
+                        100.00  100.00   clock mdio_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.14    0.00  100.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01  100.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28  100.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01  100.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25  100.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.01  100.55 ^ mprj/u_mac_wrap/clkbuf_3_1__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16  100.71 ^ mprj/u_mac_wrap/clkbuf_3_1__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.03                           mprj/u_mac_wrap/clknet_3_1__leaf_mdio_clk (net)
+                  0.05    0.00  100.71 ^ mprj/u_mac_wrap/_08519_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25  100.46   clock uncertainty
+                          0.04  100.50   clock reconvergence pessimism
+                         -0.12  100.38   library setup time
+                                100.38   data required time
+-----------------------------------------------------------------------------
+                                100.38   data required time
+                                 -2.85   data arrival time
+-----------------------------------------------------------------------------
+                                 97.53   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09163_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_09164_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.19    0.78 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.06                           mprj/u_mac_wrap/clknet_3_2__leaf_mdio_clk (net)
+                  0.07    0.00    0.78 ^ mprj/u_mac_wrap/_09163_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.38    1.16 ^ mprj/u_mac_wrap/_09163_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.mdio_cur_st[1] (net)
+                  0.09    0.00    1.16 ^ mprj/u_mac_wrap/fanout440/A (sky130_fd_sc_hd__buf_2)
+                  0.20    0.24    1.40 ^ mprj/u_mac_wrap/fanout440/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_mac_wrap/net440 (net)
+                  0.20    0.00    1.40 ^ mprj/u_mac_wrap/_04312_/A_N (sky130_fd_sc_hd__nand2b_1)
+                  0.19    0.25    1.64 ^ mprj/u_mac_wrap/_04312_/Y (sky130_fd_sc_hd__nand2b_1)
+     4    0.02                           mprj/u_mac_wrap/_01637_ (net)
+                  0.19    0.00    1.65 ^ mprj/u_mac_wrap/_04806_/A_N (sky130_fd_sc_hd__and2b_1)
+                  0.04    0.22    1.87 v mprj/u_mac_wrap/_04806_/X (sky130_fd_sc_hd__and2b_1)
+     2    0.01                           mprj/u_mac_wrap/_02061_ (net)
+                  0.04    0.00    1.87 v mprj/u_mac_wrap/_04807_/B (sky130_fd_sc_hd__or2_1)
+                  0.06    0.23    2.09 v mprj/u_mac_wrap/_04807_/X (sky130_fd_sc_hd__or2_1)
+     2    0.01                           mprj/u_mac_wrap/_02062_ (net)
+                  0.06    0.00    2.09 v mprj/u_mac_wrap/_04808_/C1 (sky130_fd_sc_hd__a2111o_1)
+                  0.05    0.34    2.44 v mprj/u_mac_wrap/_04808_/X (sky130_fd_sc_hd__a2111o_1)
+     1    0.00                           mprj/u_mac_wrap/_02063_ (net)
+                  0.05    0.00    2.44 v mprj/u_mac_wrap/_04809_/D (sky130_fd_sc_hd__or4_1)
+                  0.09    0.42    2.86 v mprj/u_mac_wrap/_04809_/X (sky130_fd_sc_hd__or4_1)
+     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.mdio_nxt_st[2] (net)
+                  0.09    0.00    2.86 v mprj/u_mac_wrap/_09164_/D (sky130_fd_sc_hd__dfrtp_4)
+                                  2.86   data arrival time
+
+                        100.00  100.00   clock mdio_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.14    0.00  100.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01  100.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28  100.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01  100.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25  100.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00  100.55 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.18  100.72 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.06                           mprj/u_mac_wrap/clknet_3_2__leaf_mdio_clk (net)
+                  0.07    0.00  100.73 ^ mprj/u_mac_wrap/_09164_/CLK (sky130_fd_sc_hd__dfrtp_4)
+                         -0.25  100.48   clock uncertainty
+                          0.06  100.53   clock reconvergence pessimism
+                         -0.13  100.40   library setup time
+                                100.40   data required time
+-----------------------------------------------------------------------------
+                                100.40   data required time
+                                 -2.86   data arrival time
+-----------------------------------------------------------------------------
+                                 97.54   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09163_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_08516_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.19    0.78 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.06                           mprj/u_mac_wrap/clknet_3_2__leaf_mdio_clk (net)
+                  0.07    0.00    0.78 ^ mprj/u_mac_wrap/_09163_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.06    0.41    1.19 v mprj/u_mac_wrap/_09163_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.mdio_cur_st[1] (net)
+                  0.06    0.00    1.19 v mprj/u_mac_wrap/fanout441/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.11    0.22    1.41 v mprj/u_mac_wrap/fanout441/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     7    0.02                           mprj/u_mac_wrap/net441 (net)
+                  0.11    0.00    1.41 v mprj/u_mac_wrap/_04781_/A (sky130_fd_sc_hd__or2_2)
+                  0.10    0.40    1.80 v mprj/u_mac_wrap/_04781_/X (sky130_fd_sc_hd__or2_2)
+     5    0.02                           mprj/u_mac_wrap/_02038_ (net)
+                  0.10    0.00    1.80 v mprj/u_mac_wrap/_04862_/A1 (sky130_fd_sc_hd__a21oi_2)
+                  0.37    0.37    2.17 ^ mprj/u_mac_wrap/_04862_/Y (sky130_fd_sc_hd__a21oi_2)
+     4    0.03                           mprj/u_mac_wrap/_02090_ (net)
+                  0.37    0.00    2.18 ^ mprj/u_mac_wrap/fanout304/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.26    2.44 ^ mprj/u_mac_wrap/fanout304/X (sky130_fd_sc_hd__clkbuf_2)
+     5    0.02                           mprj/u_mac_wrap/net304 (net)
+                  0.13    0.00    2.44 ^ mprj/u_mac_wrap/_04875_/S (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.34    2.78 v mprj/u_mac_wrap/_04875_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00070_ (net)
+                  0.06    0.00    2.78 v mprj/u_mac_wrap/_08516_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  2.78   data arrival time
+
+                        100.00  100.00   clock mdio_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.14    0.00  100.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01  100.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28  100.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01  100.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25  100.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00  100.55 ^ mprj/u_mac_wrap/clkbuf_3_5__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.15  100.70 ^ mprj/u_mac_wrap/clkbuf_3_5__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.03                           mprj/u_mac_wrap/clknet_3_5__leaf_mdio_clk (net)
+                  0.05    0.00  100.70 ^ mprj/u_mac_wrap/_08516_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25  100.45   clock uncertainty
+                          0.04  100.49   clock reconvergence pessimism
+                         -0.12  100.38   library setup time
+                                100.38   data required time
+-----------------------------------------------------------------------------
+                                100.38   data required time
+                                 -2.78   data arrival time
+-----------------------------------------------------------------------------
+                                 97.59   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09163_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_08517_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.19    0.78 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.06                           mprj/u_mac_wrap/clknet_3_2__leaf_mdio_clk (net)
+                  0.07    0.00    0.78 ^ mprj/u_mac_wrap/_09163_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.06    0.41    1.19 v mprj/u_mac_wrap/_09163_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.mdio_cur_st[1] (net)
+                  0.06    0.00    1.19 v mprj/u_mac_wrap/fanout441/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.11    0.22    1.41 v mprj/u_mac_wrap/fanout441/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     7    0.02                           mprj/u_mac_wrap/net441 (net)
+                  0.11    0.00    1.41 v mprj/u_mac_wrap/_04781_/A (sky130_fd_sc_hd__or2_2)
+                  0.10    0.40    1.80 v mprj/u_mac_wrap/_04781_/X (sky130_fd_sc_hd__or2_2)
+     5    0.02                           mprj/u_mac_wrap/_02038_ (net)
+                  0.10    0.00    1.80 v mprj/u_mac_wrap/_04862_/A1 (sky130_fd_sc_hd__a21oi_2)
+                  0.37    0.37    2.17 ^ mprj/u_mac_wrap/_04862_/Y (sky130_fd_sc_hd__a21oi_2)
+     4    0.03                           mprj/u_mac_wrap/_02090_ (net)
+                  0.37    0.00    2.18 ^ mprj/u_mac_wrap/fanout304/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.26    2.44 ^ mprj/u_mac_wrap/fanout304/X (sky130_fd_sc_hd__clkbuf_2)
+     5    0.02                           mprj/u_mac_wrap/net304 (net)
+                  0.13    0.00    2.44 ^ mprj/u_mac_wrap/_04877_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.34    2.78 v mprj/u_mac_wrap/_04877_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00071_ (net)
+                  0.05    0.00    2.78 v mprj/u_mac_wrap/_08517_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  2.78   data arrival time
+
+                        100.00  100.00   clock mdio_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.14    0.00  100.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01  100.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28  100.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01  100.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25  100.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00  100.55 ^ mprj/u_mac_wrap/clkbuf_3_5__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.15  100.70 ^ mprj/u_mac_wrap/clkbuf_3_5__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.03                           mprj/u_mac_wrap/clknet_3_5__leaf_mdio_clk (net)
+                  0.05    0.00  100.70 ^ mprj/u_mac_wrap/_08517_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25  100.45   clock uncertainty
+                          0.04  100.49   clock reconvergence pessimism
+                         -0.12  100.38   library setup time
+                                100.38   data required time
+-----------------------------------------------------------------------------
+                                100.38   data required time
+                                 -2.78   data arrival time
+-----------------------------------------------------------------------------
+                                 97.60   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09163_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_08513_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.19    0.78 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.06                           mprj/u_mac_wrap/clknet_3_2__leaf_mdio_clk (net)
+                  0.07    0.00    0.78 ^ mprj/u_mac_wrap/_09163_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.06    0.41    1.19 v mprj/u_mac_wrap/_09163_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.mdio_cur_st[1] (net)
+                  0.06    0.00    1.19 v mprj/u_mac_wrap/fanout441/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.11    0.22    1.41 v mprj/u_mac_wrap/fanout441/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     7    0.02                           mprj/u_mac_wrap/net441 (net)
+                  0.11    0.00    1.41 v mprj/u_mac_wrap/_04781_/A (sky130_fd_sc_hd__or2_2)
+                  0.10    0.40    1.80 v mprj/u_mac_wrap/_04781_/X (sky130_fd_sc_hd__or2_2)
+     5    0.02                           mprj/u_mac_wrap/_02038_ (net)
+                  0.10    0.00    1.80 v mprj/u_mac_wrap/_04862_/A1 (sky130_fd_sc_hd__a21oi_2)
+                  0.37    0.37    2.17 ^ mprj/u_mac_wrap/_04862_/Y (sky130_fd_sc_hd__a21oi_2)
+     4    0.03                           mprj/u_mac_wrap/_02090_ (net)
+                  0.37    0.00    2.18 ^ mprj/u_mac_wrap/fanout304/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.26    2.44 ^ mprj/u_mac_wrap/fanout304/X (sky130_fd_sc_hd__clkbuf_2)
+     5    0.02                           mprj/u_mac_wrap/net304 (net)
+                  0.13    0.00    2.44 ^ mprj/u_mac_wrap/_04869_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.34    2.78 v mprj/u_mac_wrap/_04869_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00067_ (net)
+                  0.05    0.00    2.78 v mprj/u_mac_wrap/_08513_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  2.78   data arrival time
+
+                        100.00  100.00   clock mdio_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.14    0.00  100.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01  100.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28  100.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01  100.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25  100.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00  100.55 ^ mprj/u_mac_wrap/clkbuf_3_5__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.15  100.70 ^ mprj/u_mac_wrap/clkbuf_3_5__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.03                           mprj/u_mac_wrap/clknet_3_5__leaf_mdio_clk (net)
+                  0.05    0.00  100.70 ^ mprj/u_mac_wrap/_08513_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25  100.45   clock uncertainty
+                          0.04  100.49   clock reconvergence pessimism
+                         -0.12  100.38   library setup time
+                                100.38   data required time
+-----------------------------------------------------------------------------
+                                100.38   data required time
+                                 -2.78   data arrival time
+-----------------------------------------------------------------------------
+                                 97.60   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09163_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_08515_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.19    0.78 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.06                           mprj/u_mac_wrap/clknet_3_2__leaf_mdio_clk (net)
+                  0.07    0.00    0.78 ^ mprj/u_mac_wrap/_09163_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.06    0.41    1.19 v mprj/u_mac_wrap/_09163_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.mdio_cur_st[1] (net)
+                  0.06    0.00    1.19 v mprj/u_mac_wrap/fanout441/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.11    0.22    1.41 v mprj/u_mac_wrap/fanout441/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     7    0.02                           mprj/u_mac_wrap/net441 (net)
+                  0.11    0.00    1.41 v mprj/u_mac_wrap/_04781_/A (sky130_fd_sc_hd__or2_2)
+                  0.10    0.40    1.80 v mprj/u_mac_wrap/_04781_/X (sky130_fd_sc_hd__or2_2)
+     5    0.02                           mprj/u_mac_wrap/_02038_ (net)
+                  0.10    0.00    1.80 v mprj/u_mac_wrap/_04862_/A1 (sky130_fd_sc_hd__a21oi_2)
+                  0.37    0.37    2.17 ^ mprj/u_mac_wrap/_04862_/Y (sky130_fd_sc_hd__a21oi_2)
+     4    0.03                           mprj/u_mac_wrap/_02090_ (net)
+                  0.37    0.00    2.18 ^ mprj/u_mac_wrap/fanout304/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.26    2.44 ^ mprj/u_mac_wrap/fanout304/X (sky130_fd_sc_hd__clkbuf_2)
+     5    0.02                           mprj/u_mac_wrap/net304 (net)
+                  0.13    0.00    2.44 ^ mprj/u_mac_wrap/_04873_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.34    2.78 v mprj/u_mac_wrap/_04873_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00069_ (net)
+                  0.05    0.00    2.78 v mprj/u_mac_wrap/_08515_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  2.78   data arrival time
+
+                        100.00  100.00   clock mdio_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.14    0.00  100.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01  100.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28  100.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01  100.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25  100.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00  100.55 ^ mprj/u_mac_wrap/clkbuf_3_5__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.15  100.70 ^ mprj/u_mac_wrap/clkbuf_3_5__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.03                           mprj/u_mac_wrap/clknet_3_5__leaf_mdio_clk (net)
+                  0.05    0.00  100.70 ^ mprj/u_mac_wrap/_08515_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25  100.45   clock uncertainty
+                          0.04  100.49   clock reconvergence pessimism
+                         -0.12  100.38   library setup time
+                                100.38   data required time
+-----------------------------------------------------------------------------
+                                100.38   data required time
+                                 -2.78   data arrival time
+-----------------------------------------------------------------------------
+                                 97.60   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09163_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_08514_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.19    0.78 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.06                           mprj/u_mac_wrap/clknet_3_2__leaf_mdio_clk (net)
+                  0.07    0.00    0.78 ^ mprj/u_mac_wrap/_09163_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.06    0.41    1.19 v mprj/u_mac_wrap/_09163_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.mdio_cur_st[1] (net)
+                  0.06    0.00    1.19 v mprj/u_mac_wrap/fanout441/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.11    0.22    1.41 v mprj/u_mac_wrap/fanout441/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     7    0.02                           mprj/u_mac_wrap/net441 (net)
+                  0.11    0.00    1.41 v mprj/u_mac_wrap/_04781_/A (sky130_fd_sc_hd__or2_2)
+                  0.10    0.40    1.80 v mprj/u_mac_wrap/_04781_/X (sky130_fd_sc_hd__or2_2)
+     5    0.02                           mprj/u_mac_wrap/_02038_ (net)
+                  0.10    0.00    1.80 v mprj/u_mac_wrap/_04862_/A1 (sky130_fd_sc_hd__a21oi_2)
+                  0.37    0.37    2.17 ^ mprj/u_mac_wrap/_04862_/Y (sky130_fd_sc_hd__a21oi_2)
+     4    0.03                           mprj/u_mac_wrap/_02090_ (net)
+                  0.37    0.00    2.18 ^ mprj/u_mac_wrap/fanout304/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.26    2.44 ^ mprj/u_mac_wrap/fanout304/X (sky130_fd_sc_hd__clkbuf_2)
+     5    0.02                           mprj/u_mac_wrap/net304 (net)
+                  0.13    0.00    2.44 ^ mprj/u_mac_wrap/_04871_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.34    2.78 v mprj/u_mac_wrap/_04871_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00068_ (net)
+                  0.05    0.00    2.78 v mprj/u_mac_wrap/_08514_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  2.78   data arrival time
+
+                        100.00  100.00   clock mdio_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.14    0.00  100.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01  100.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28  100.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01  100.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25  100.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00  100.55 ^ mprj/u_mac_wrap/clkbuf_3_5__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.15  100.70 ^ mprj/u_mac_wrap/clkbuf_3_5__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.03                           mprj/u_mac_wrap/clknet_3_5__leaf_mdio_clk (net)
+                  0.05    0.00  100.70 ^ mprj/u_mac_wrap/_08514_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25  100.45   clock uncertainty
+                          0.04  100.49   clock reconvergence pessimism
+                         -0.12  100.38   library setup time
+                                100.38   data required time
+-----------------------------------------------------------------------------
+                                100.38   data required time
+                                 -2.78   data arrival time
+-----------------------------------------------------------------------------
+                                 97.60   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09161_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_09162_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.19    0.78 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.06                           mprj/u_mac_wrap/clknet_3_2__leaf_mdio_clk (net)
+                  0.07    0.00    0.78 ^ mprj/u_mac_wrap/_09161_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.43    1.22 v mprj/u_mac_wrap/_09161_/Q (sky130_fd_sc_hd__dfrtp_1)
+     4    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.temp_count[4] (net)
+                  0.09    0.00    1.22 v mprj/u_mac_wrap/_04767_/A (sky130_fd_sc_hd__or3b_1)
+                  0.07    0.39    1.61 v mprj/u_mac_wrap/_04767_/X (sky130_fd_sc_hd__or3b_1)
+     1    0.00                           mprj/u_mac_wrap/_02024_ (net)
+                  0.07    0.00    1.61 v mprj/u_mac_wrap/_04768_/C (sky130_fd_sc_hd__nor3_1)
+                  0.30    0.25    1.86 ^ mprj/u_mac_wrap/_04768_/Y (sky130_fd_sc_hd__nor3_1)
+     3    0.01                           mprj/u_mac_wrap/_02025_ (net)
+                  0.30    0.00    1.86 ^ mprj/u_mac_wrap/_04789_/A_N (sky130_fd_sc_hd__and3b_1)
+                  0.07    0.26    2.12 v mprj/u_mac_wrap/_04789_/X (sky130_fd_sc_hd__and3b_1)
+     3    0.01                           mprj/u_mac_wrap/_02046_ (net)
+                  0.07    0.00    2.12 v mprj/u_mac_wrap/_04795_/B (sky130_fd_sc_hd__or4_1)
+                  0.09    0.53    2.66 v mprj/u_mac_wrap/_04795_/X (sky130_fd_sc_hd__or4_1)
+     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.mdio_nxt_st[0] (net)
+                  0.09    0.00    2.66 v mprj/u_mac_wrap/_09162_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  2.66   data arrival time
+
+                        100.00  100.00   clock mdio_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.14    0.00  100.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01  100.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28  100.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01  100.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25  100.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00  100.55 ^ mprj/u_mac_wrap/clkbuf_3_3__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.15  100.70 ^ mprj/u_mac_wrap/clkbuf_3_3__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.03                           mprj/u_mac_wrap/clknet_3_3__leaf_mdio_clk (net)
+                  0.05    0.00  100.70 ^ mprj/u_mac_wrap/_09162_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25  100.45   clock uncertainty
+                          0.04  100.50   clock reconvergence pessimism
+                         -0.13  100.36   library setup time
+                                100.36   data required time
+-----------------------------------------------------------------------------
+                                100.36   data required time
+                                 -2.66   data arrival time
+-----------------------------------------------------------------------------
+                                 97.70   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09163_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_09157_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.19    0.78 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.06                           mprj/u_mac_wrap/clknet_3_2__leaf_mdio_clk (net)
+                  0.07    0.00    0.78 ^ mprj/u_mac_wrap/_09163_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.06    0.41    1.19 v mprj/u_mac_wrap/_09163_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.mdio_cur_st[1] (net)
+                  0.06    0.00    1.19 v mprj/u_mac_wrap/fanout441/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.11    0.22    1.41 v mprj/u_mac_wrap/fanout441/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     7    0.02                           mprj/u_mac_wrap/net441 (net)
+                  0.11    0.00    1.41 v mprj/u_mac_wrap/_04781_/A (sky130_fd_sc_hd__or2_2)
+                  0.10    0.40    1.80 v mprj/u_mac_wrap/_04781_/X (sky130_fd_sc_hd__or2_2)
+     5    0.02                           mprj/u_mac_wrap/_02038_ (net)
+                  0.10    0.00    1.80 v mprj/u_mac_wrap/_04782_/B (sky130_fd_sc_hd__nor2_2)
+                  0.23    0.23    2.03 ^ mprj/u_mac_wrap/_04782_/Y (sky130_fd_sc_hd__nor2_2)
+     5    0.02                           mprj/u_mac_wrap/_02039_ (net)
+                  0.23    0.00    2.03 ^ mprj/u_mac_wrap/_06389_/B1 (sky130_fd_sc_hd__a211o_1)
+                  0.18    0.25    2.28 ^ mprj/u_mac_wrap/_06389_/X (sky130_fd_sc_hd__a211o_1)
+     6    0.02                           mprj/u_mac_wrap/_03059_ (net)
+                  0.18    0.00    2.28 ^ mprj/u_mac_wrap/_06390_/B (sky130_fd_sc_hd__and2_1)
+                  0.16    0.25    2.53 ^ mprj/u_mac_wrap/_06390_/X (sky130_fd_sc_hd__and2_1)
+     3    0.02                           mprj/u_mac_wrap/_03060_ (net)
+                  0.16    0.00    2.53 ^ mprj/u_mac_wrap/_06391_/A (sky130_fd_sc_hd__inv_2)
+                  0.03    0.04    2.57 v mprj/u_mac_wrap/_06391_/Y (sky130_fd_sc_hd__inv_2)
+     1    0.00                           mprj/u_mac_wrap/_03061_ (net)
+                  0.03    0.00    2.57 v mprj/u_mac_wrap/_06392_/B1 (sky130_fd_sc_hd__o211a_1)
+                  0.04    0.12    2.69 v mprj/u_mac_wrap/_06392_/X (sky130_fd_sc_hd__o211a_1)
+     1    0.00                           mprj/u_mac_wrap/_00623_ (net)
+                  0.04    0.00    2.69 v mprj/u_mac_wrap/_09157_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  2.69   data arrival time
+
+                        100.00  100.00   clock mdio_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.14    0.00  100.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01  100.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28  100.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01  100.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25  100.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.01  100.55 ^ mprj/u_mac_wrap/clkbuf_3_0__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16  100.71 ^ mprj/u_mac_wrap/clkbuf_3_0__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    12    0.04                           mprj/u_mac_wrap/clknet_3_0__leaf_mdio_clk (net)
+                  0.06    0.00  100.71 ^ mprj/u_mac_wrap/_09157_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25  100.46   clock uncertainty
+                          0.04  100.50   clock reconvergence pessimism
+                         -0.11  100.39   library setup time
+                                100.39   data required time
+-----------------------------------------------------------------------------
+                                100.39   data required time
+                                 -2.69   data arrival time
+-----------------------------------------------------------------------------
+                                 97.70   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09161_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_09163_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.19    0.78 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.06                           mprj/u_mac_wrap/clknet_3_2__leaf_mdio_clk (net)
+                  0.07    0.00    0.78 ^ mprj/u_mac_wrap/_09161_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.43    1.22 v mprj/u_mac_wrap/_09161_/Q (sky130_fd_sc_hd__dfrtp_1)
+     4    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.temp_count[4] (net)
+                  0.09    0.00    1.22 v mprj/u_mac_wrap/_04767_/A (sky130_fd_sc_hd__or3b_1)
+                  0.07    0.39    1.61 v mprj/u_mac_wrap/_04767_/X (sky130_fd_sc_hd__or3b_1)
+     1    0.00                           mprj/u_mac_wrap/_02024_ (net)
+                  0.07    0.00    1.61 v mprj/u_mac_wrap/_04768_/C (sky130_fd_sc_hd__nor3_1)
+                  0.30    0.25    1.86 ^ mprj/u_mac_wrap/_04768_/Y (sky130_fd_sc_hd__nor3_1)
+     3    0.01                           mprj/u_mac_wrap/_02025_ (net)
+                  0.30    0.00    1.86 ^ mprj/u_mac_wrap/_04789_/A_N (sky130_fd_sc_hd__and3b_1)
+                  0.07    0.26    2.12 v mprj/u_mac_wrap/_04789_/X (sky130_fd_sc_hd__and3b_1)
+     3    0.01                           mprj/u_mac_wrap/_02046_ (net)
+                  0.07    0.00    2.12 v mprj/u_mac_wrap/_04800_/A (sky130_fd_sc_hd__or4b_1)
+                  0.09    0.55    2.67 v mprj/u_mac_wrap/_04800_/X (sky130_fd_sc_hd__or4b_1)
+     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.mdio_nxt_st[1] (net)
+                  0.09    0.00    2.67 v mprj/u_mac_wrap/_09163_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  2.67   data arrival time
+
+                        100.00  100.00   clock mdio_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.14    0.00  100.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01  100.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28  100.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01  100.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25  100.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00  100.55 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.18  100.72 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.06                           mprj/u_mac_wrap/clknet_3_2__leaf_mdio_clk (net)
+                  0.07    0.00  100.73 ^ mprj/u_mac_wrap/_09163_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25  100.48   clock uncertainty
+                          0.06  100.53   clock reconvergence pessimism
+                         -0.13  100.40   library setup time
+                                100.40   data required time
+-----------------------------------------------------------------------------
+                                100.40   data required time
+                                 -2.67   data arrival time
+-----------------------------------------------------------------------------
+                                 97.73   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09163_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_09158_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.19    0.78 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.06                           mprj/u_mac_wrap/clknet_3_2__leaf_mdio_clk (net)
+                  0.07    0.00    0.78 ^ mprj/u_mac_wrap/_09163_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.06    0.41    1.19 v mprj/u_mac_wrap/_09163_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.mdio_cur_st[1] (net)
+                  0.06    0.00    1.19 v mprj/u_mac_wrap/fanout441/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.11    0.22    1.41 v mprj/u_mac_wrap/fanout441/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     7    0.02                           mprj/u_mac_wrap/net441 (net)
+                  0.11    0.00    1.41 v mprj/u_mac_wrap/_04781_/A (sky130_fd_sc_hd__or2_2)
+                  0.10    0.40    1.80 v mprj/u_mac_wrap/_04781_/X (sky130_fd_sc_hd__or2_2)
+     5    0.02                           mprj/u_mac_wrap/_02038_ (net)
+                  0.10    0.00    1.80 v mprj/u_mac_wrap/_04782_/B (sky130_fd_sc_hd__nor2_2)
+                  0.23    0.23    2.03 ^ mprj/u_mac_wrap/_04782_/Y (sky130_fd_sc_hd__nor2_2)
+     5    0.02                           mprj/u_mac_wrap/_02039_ (net)
+                  0.23    0.00    2.03 ^ mprj/u_mac_wrap/_06389_/B1 (sky130_fd_sc_hd__a211o_1)
+                  0.18    0.25    2.28 ^ mprj/u_mac_wrap/_06389_/X (sky130_fd_sc_hd__a211o_1)
+     6    0.02                           mprj/u_mac_wrap/_03059_ (net)
+                  0.18    0.00    2.28 ^ mprj/u_mac_wrap/_06390_/B (sky130_fd_sc_hd__and2_1)
+                  0.16    0.25    2.53 ^ mprj/u_mac_wrap/_06390_/X (sky130_fd_sc_hd__and2_1)
+     3    0.02                           mprj/u_mac_wrap/_03060_ (net)
+                  0.16    0.00    2.53 ^ mprj/u_mac_wrap/_06393_/B (sky130_fd_sc_hd__xor2_1)
+                  0.11    0.16    2.68 ^ mprj/u_mac_wrap/_06393_/X (sky130_fd_sc_hd__xor2_1)
+     1    0.00                           mprj/u_mac_wrap/_00624_ (net)
+                  0.11    0.00    2.68 ^ mprj/u_mac_wrap/_09158_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  2.68   data arrival time
+
+                        100.00  100.00   clock mdio_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.14    0.00  100.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01  100.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28  100.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01  100.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25  100.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.01  100.55 ^ mprj/u_mac_wrap/clkbuf_3_0__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16  100.71 ^ mprj/u_mac_wrap/clkbuf_3_0__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    12    0.04                           mprj/u_mac_wrap/clknet_3_0__leaf_mdio_clk (net)
+                  0.06    0.00  100.71 ^ mprj/u_mac_wrap/_09158_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25  100.46   clock uncertainty
+                          0.04  100.50   clock reconvergence pessimism
+                         -0.07  100.43   library setup time
+                                100.43   data required time
+-----------------------------------------------------------------------------
+                                100.43   data required time
+                                 -2.68   data arrival time
+-----------------------------------------------------------------------------
+                                 97.75   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09163_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_09903_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.19    0.78 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.06                           mprj/u_mac_wrap/clknet_3_2__leaf_mdio_clk (net)
+                  0.07    0.00    0.78 ^ mprj/u_mac_wrap/_09163_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.38    1.16 ^ mprj/u_mac_wrap/_09163_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.mdio_cur_st[1] (net)
+                  0.09    0.00    1.16 ^ mprj/u_mac_wrap/fanout440/A (sky130_fd_sc_hd__buf_2)
+                  0.20    0.24    1.40 ^ mprj/u_mac_wrap/fanout440/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_mac_wrap/net440 (net)
+                  0.20    0.00    1.40 ^ mprj/u_mac_wrap/_04312_/A_N (sky130_fd_sc_hd__nand2b_1)
+                  0.19    0.25    1.64 ^ mprj/u_mac_wrap/_04312_/Y (sky130_fd_sc_hd__nand2b_1)
+     4    0.02                           mprj/u_mac_wrap/_01637_ (net)
+                  0.19    0.00    1.65 ^ mprj/u_mac_wrap/_04806_/A_N (sky130_fd_sc_hd__and2b_1)
+                  0.04    0.22    1.87 v mprj/u_mac_wrap/_04806_/X (sky130_fd_sc_hd__and2b_1)
+     2    0.01                           mprj/u_mac_wrap/_02061_ (net)
+                  0.04    0.00    1.87 v mprj/u_mac_wrap/_05580_/D (sky130_fd_sc_hd__or4_1)
+                  0.13    0.47    2.34 v mprj/u_mac_wrap/_05580_/X (sky130_fd_sc_hd__or4_1)
+     2    0.01                           mprj/u_mac_wrap/_02555_ (net)
+                  0.13    0.00    2.34 v mprj/u_mac_wrap/_07905_/A (sky130_fd_sc_hd__nor2_1)
+                  0.09    0.14    2.48 ^ mprj/u_mac_wrap/_07905_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.00                           mprj/u_mac_wrap/_03913_ (net)
+                  0.09    0.00    2.48 ^ mprj/u_mac_wrap/_07906_/A3 (sky130_fd_sc_hd__a32o_1)
+                  0.05    0.17    2.65 ^ mprj/u_mac_wrap/_07906_/X (sky130_fd_sc_hd__a32o_1)
+     1    0.00                           mprj/u_mac_wrap/_01285_ (net)
+                  0.05    0.00    2.65 ^ mprj/u_mac_wrap/_09903_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  2.65   data arrival time
+
+                        100.00  100.00   clock mdio_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.14    0.00  100.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01  100.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28  100.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01  100.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25  100.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00  100.55 ^ mprj/u_mac_wrap/clkbuf_3_3__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.15  100.70 ^ mprj/u_mac_wrap/clkbuf_3_3__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.03                           mprj/u_mac_wrap/clknet_3_3__leaf_mdio_clk (net)
+                  0.05    0.00  100.70 ^ mprj/u_mac_wrap/_09903_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25  100.45   clock uncertainty
+                          0.04  100.50   clock reconvergence pessimism
+                         -0.06  100.43   library setup time
+                                100.43   data required time
+-----------------------------------------------------------------------------
+                                100.43   data required time
+                                 -2.65   data arrival time
+-----------------------------------------------------------------------------
+                                 97.79   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09163_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_09161_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.19    0.78 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.06                           mprj/u_mac_wrap/clknet_3_2__leaf_mdio_clk (net)
+                  0.07    0.00    0.78 ^ mprj/u_mac_wrap/_09163_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.06    0.41    1.19 v mprj/u_mac_wrap/_09163_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.mdio_cur_st[1] (net)
+                  0.06    0.00    1.19 v mprj/u_mac_wrap/fanout441/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.11    0.22    1.41 v mprj/u_mac_wrap/fanout441/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     7    0.02                           mprj/u_mac_wrap/net441 (net)
+                  0.11    0.00    1.41 v mprj/u_mac_wrap/_04781_/A (sky130_fd_sc_hd__or2_2)
+                  0.10    0.40    1.80 v mprj/u_mac_wrap/_04781_/X (sky130_fd_sc_hd__or2_2)
+     5    0.02                           mprj/u_mac_wrap/_02038_ (net)
+                  0.10    0.00    1.80 v mprj/u_mac_wrap/_04782_/B (sky130_fd_sc_hd__nor2_2)
+                  0.23    0.23    2.03 ^ mprj/u_mac_wrap/_04782_/Y (sky130_fd_sc_hd__nor2_2)
+     5    0.02                           mprj/u_mac_wrap/_02039_ (net)
+                  0.23    0.00    2.03 ^ mprj/u_mac_wrap/_06389_/B1 (sky130_fd_sc_hd__a211o_1)
+                  0.18    0.25    2.28 ^ mprj/u_mac_wrap/_06389_/X (sky130_fd_sc_hd__a211o_1)
+     6    0.02                           mprj/u_mac_wrap/_03059_ (net)
+                  0.18    0.00    2.28 ^ mprj/u_mac_wrap/_06402_/B (sky130_fd_sc_hd__nand2b_1)
+                  0.07    0.11    2.39 v mprj/u_mac_wrap/_06402_/Y (sky130_fd_sc_hd__nand2b_1)
+     1    0.01                           mprj/u_mac_wrap/_03068_ (net)
+                  0.07    0.00    2.39 v mprj/u_mac_wrap/_06403_/C (sky130_fd_sc_hd__and3_1)
+                  0.04    0.20    2.59 v mprj/u_mac_wrap/_06403_/X (sky130_fd_sc_hd__and3_1)
+     1    0.00                           mprj/u_mac_wrap/_00627_ (net)
+                  0.04    0.00    2.59 v mprj/u_mac_wrap/_09161_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  2.59   data arrival time
+
+                        100.00  100.00   clock mdio_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.14    0.00  100.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01  100.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28  100.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01  100.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25  100.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00  100.55 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.18  100.72 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.06                           mprj/u_mac_wrap/clknet_3_2__leaf_mdio_clk (net)
+                  0.07    0.00  100.73 ^ mprj/u_mac_wrap/_09161_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25  100.48   clock uncertainty
+                          0.06  100.53   clock reconvergence pessimism
+                         -0.11  100.43   library setup time
+                                100.43   data required time
+-----------------------------------------------------------------------------
+                                100.43   data required time
+                                 -2.59   data arrival time
+-----------------------------------------------------------------------------
+                                 97.84   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09164_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_09165_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.19    0.78 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.06                           mprj/u_mac_wrap/clknet_3_2__leaf_mdio_clk (net)
+                  0.07    0.00    0.78 ^ mprj/u_mac_wrap/_09164_/CLK (sky130_fd_sc_hd__dfrtp_4)
+                  0.11    0.56    1.35 v mprj/u_mac_wrap/_09164_/Q (sky130_fd_sc_hd__dfrtp_4)
+    10    0.04                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.mdio_cur_st[2] (net)
+                  0.11    0.00    1.35 v mprj/u_mac_wrap/_04769_/B (sky130_fd_sc_hd__and2b_1)
+                  0.07    0.22    1.57 v mprj/u_mac_wrap/_04769_/X (sky130_fd_sc_hd__and2b_1)
+     4    0.01                           mprj/u_mac_wrap/_02026_ (net)
+                  0.07    0.00    1.57 v mprj/u_mac_wrap/_04771_/B (sky130_fd_sc_hd__and2_1)
+                  0.10    0.24    1.81 v mprj/u_mac_wrap/_04771_/X (sky130_fd_sc_hd__and2_1)
+     6    0.02                           mprj/u_mac_wrap/_02028_ (net)
+                  0.10    0.00    1.81 v mprj/u_mac_wrap/_04772_/B (sky130_fd_sc_hd__and2_1)
+                  0.05    0.21    2.01 v mprj/u_mac_wrap/_04772_/X (sky130_fd_sc_hd__and2_1)
+     2    0.01                           mprj/u_mac_wrap/_02029_ (net)
+                  0.05    0.00    2.01 v mprj/u_mac_wrap/_04810_/A2 (sky130_fd_sc_hd__a2111o_1)
+                  0.07    0.43    2.44 v mprj/u_mac_wrap/_04810_/X (sky130_fd_sc_hd__a2111o_1)
+     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.mdio_nxt_st[3] (net)
+                  0.07    0.00    2.44 v mprj/u_mac_wrap/_09165_/D (sky130_fd_sc_hd__dfrtp_4)
+                                  2.44   data arrival time
+
+                        100.00  100.00   clock mdio_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.14    0.00  100.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01  100.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28  100.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01  100.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25  100.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00  100.55 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.18  100.72 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.06                           mprj/u_mac_wrap/clknet_3_2__leaf_mdio_clk (net)
+                  0.07    0.00  100.73 ^ mprj/u_mac_wrap/_09165_/CLK (sky130_fd_sc_hd__dfrtp_4)
+                         -0.25  100.48   clock uncertainty
+                          0.06  100.53   clock reconvergence pessimism
+                         -0.12  100.41   library setup time
+                                100.41   data required time
+-----------------------------------------------------------------------------
+                                100.41   data required time
+                                 -2.44   data arrival time
+-----------------------------------------------------------------------------
+                                 97.98   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09151_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_09153_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.01    0.59 ^ mprj/u_mac_wrap/clkbuf_3_1__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    0.76 ^ mprj/u_mac_wrap/clkbuf_3_1__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.03                           mprj/u_mac_wrap/clknet_3_1__leaf_mdio_clk (net)
+                  0.05    0.00    0.76 ^ mprj/u_mac_wrap/_09151_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.04    0.37    1.13 v mprj/u_mac_wrap/_09151_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.U_dble_reg1.d_sync_out (net)
+                  0.04    0.00    1.13 v mprj/u_mac_wrap/fanout445/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.18    1.31 v mprj/u_mac_wrap/fanout445/X (sky130_fd_sc_hd__clkbuf_2)
+     5    0.03                           mprj/u_mac_wrap/net445 (net)
+                  0.12    0.00    1.31 v mprj/u_mac_wrap/fanout444/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.10    0.24    1.56 v mprj/u_mac_wrap/fanout444/X (sky130_fd_sc_hd__clkbuf_4)
+    10    0.05                           mprj/u_mac_wrap/net444 (net)
+                  0.10    0.00    1.56 v mprj/u_mac_wrap/_04863_/A (sky130_fd_sc_hd__nand2_1)
+                  0.25    0.24    1.79 ^ mprj/u_mac_wrap/_04863_/Y (sky130_fd_sc_hd__nand2_1)
+     4    0.03                           mprj/u_mac_wrap/_02091_ (net)
+                  0.25    0.00    1.80 ^ mprj/u_mac_wrap/fanout349/A (sky130_fd_sc_hd__buf_2)
+                  0.16    0.26    2.06 ^ mprj/u_mac_wrap/fanout349/X (sky130_fd_sc_hd__buf_2)
+     6    0.03                           mprj/u_mac_wrap/net349 (net)
+                  0.16    0.00    2.06 ^ mprj/u_mac_wrap/_06385_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.34    2.40 v mprj/u_mac_wrap/_06385_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00619_ (net)
+                  0.05    0.00    2.40 v mprj/u_mac_wrap/_09153_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  2.40   data arrival time
+
+                        100.00  100.00   clock mdio_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.14    0.00  100.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01  100.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28  100.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01  100.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25  100.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00  100.55 ^ mprj/u_mac_wrap/clkbuf_3_4__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.15  100.70 ^ mprj/u_mac_wrap/clkbuf_3_4__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     5    0.02                           mprj/u_mac_wrap/clknet_3_4__leaf_mdio_clk (net)
+                  0.05    0.00  100.70 ^ mprj/u_mac_wrap/_09153_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25  100.45   clock uncertainty
+                          0.04  100.49   clock reconvergence pessimism
+                         -0.12  100.37   library setup time
+                                100.37   data required time
+-----------------------------------------------------------------------------
+                                100.37   data required time
+                                 -2.40   data arrival time
+-----------------------------------------------------------------------------
+                                 97.98   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09163_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_09298_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.19    0.78 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.06                           mprj/u_mac_wrap/clknet_3_2__leaf_mdio_clk (net)
+                  0.07    0.00    0.78 ^ mprj/u_mac_wrap/_09163_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.38    1.16 ^ mprj/u_mac_wrap/_09163_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.mdio_cur_st[1] (net)
+                  0.09    0.00    1.16 ^ mprj/u_mac_wrap/fanout441/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.23    0.24    1.40 ^ mprj/u_mac_wrap/fanout441/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     7    0.02                           mprj/u_mac_wrap/net441 (net)
+                  0.23    0.00    1.40 ^ mprj/u_mac_wrap/_04784_/A (sky130_fd_sc_hd__and3_1)
+                  0.22    0.33    1.73 ^ mprj/u_mac_wrap/_04784_/X (sky130_fd_sc_hd__and3_1)
+     4    0.02                           mprj/u_mac_wrap/_02041_ (net)
+                  0.22    0.00    1.73 ^ mprj/u_mac_wrap/fanout350/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.29    2.03 ^ mprj/u_mac_wrap/fanout350/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_mac_wrap/net350 (net)
+                  0.20    0.00    2.03 ^ mprj/u_mac_wrap/_06580_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.35    2.38 v mprj/u_mac_wrap/_06580_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00758_ (net)
+                  0.05    0.00    2.38 v mprj/u_mac_wrap/_09298_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  2.38   data arrival time
+
+                        100.00  100.00   clock mdio_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.14    0.00  100.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01  100.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28  100.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01  100.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25  100.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00  100.55 ^ mprj/u_mac_wrap/clkbuf_3_6__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15  100.70 ^ mprj/u_mac_wrap/clkbuf_3_6__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.02                           mprj/u_mac_wrap/clknet_3_6__leaf_mdio_clk (net)
+                  0.04    0.00  100.70 ^ mprj/u_mac_wrap/_09298_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25  100.45   clock uncertainty
+                          0.04  100.49   clock reconvergence pessimism
+                         -0.12  100.37   library setup time
+                                100.37   data required time
+-----------------------------------------------------------------------------
+                                100.37   data required time
+                                 -2.38   data arrival time
+-----------------------------------------------------------------------------
+                                 97.99   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09163_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_09299_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.19    0.78 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.06                           mprj/u_mac_wrap/clknet_3_2__leaf_mdio_clk (net)
+                  0.07    0.00    0.78 ^ mprj/u_mac_wrap/_09163_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.38    1.16 ^ mprj/u_mac_wrap/_09163_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.mdio_cur_st[1] (net)
+                  0.09    0.00    1.16 ^ mprj/u_mac_wrap/fanout441/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.23    0.24    1.40 ^ mprj/u_mac_wrap/fanout441/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     7    0.02                           mprj/u_mac_wrap/net441 (net)
+                  0.23    0.00    1.40 ^ mprj/u_mac_wrap/_04784_/A (sky130_fd_sc_hd__and3_1)
+                  0.22    0.33    1.73 ^ mprj/u_mac_wrap/_04784_/X (sky130_fd_sc_hd__and3_1)
+     4    0.02                           mprj/u_mac_wrap/_02041_ (net)
+                  0.22    0.00    1.73 ^ mprj/u_mac_wrap/fanout350/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.29    2.03 ^ mprj/u_mac_wrap/fanout350/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_mac_wrap/net350 (net)
+                  0.20    0.00    2.03 ^ mprj/u_mac_wrap/_06581_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.35    2.38 v mprj/u_mac_wrap/_06581_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00759_ (net)
+                  0.05    0.00    2.38 v mprj/u_mac_wrap/_09299_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  2.38   data arrival time
+
+                        100.00  100.00   clock mdio_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.14    0.00  100.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01  100.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28  100.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01  100.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25  100.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00  100.55 ^ mprj/u_mac_wrap/clkbuf_3_6__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15  100.70 ^ mprj/u_mac_wrap/clkbuf_3_6__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.02                           mprj/u_mac_wrap/clknet_3_6__leaf_mdio_clk (net)
+                  0.04    0.00  100.70 ^ mprj/u_mac_wrap/_09299_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25  100.45   clock uncertainty
+                          0.04  100.49   clock reconvergence pessimism
+                         -0.12  100.37   library setup time
+                                100.37   data required time
+-----------------------------------------------------------------------------
+                                100.37   data required time
+                                 -2.38   data arrival time
+-----------------------------------------------------------------------------
+                                 97.99   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09163_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_09300_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.19    0.78 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.06                           mprj/u_mac_wrap/clknet_3_2__leaf_mdio_clk (net)
+                  0.07    0.00    0.78 ^ mprj/u_mac_wrap/_09163_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.38    1.16 ^ mprj/u_mac_wrap/_09163_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.mdio_cur_st[1] (net)
+                  0.09    0.00    1.16 ^ mprj/u_mac_wrap/fanout441/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.23    0.24    1.40 ^ mprj/u_mac_wrap/fanout441/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     7    0.02                           mprj/u_mac_wrap/net441 (net)
+                  0.23    0.00    1.40 ^ mprj/u_mac_wrap/_04784_/A (sky130_fd_sc_hd__and3_1)
+                  0.22    0.33    1.73 ^ mprj/u_mac_wrap/_04784_/X (sky130_fd_sc_hd__and3_1)
+     4    0.02                           mprj/u_mac_wrap/_02041_ (net)
+                  0.22    0.00    1.73 ^ mprj/u_mac_wrap/fanout350/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.29    2.03 ^ mprj/u_mac_wrap/fanout350/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_mac_wrap/net350 (net)
+                  0.20    0.00    2.03 ^ mprj/u_mac_wrap/_06582_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.35    2.38 v mprj/u_mac_wrap/_06582_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00760_ (net)
+                  0.05    0.00    2.38 v mprj/u_mac_wrap/_09300_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  2.38   data arrival time
+
+                        100.00  100.00   clock mdio_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.14    0.00  100.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01  100.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28  100.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01  100.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25  100.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00  100.55 ^ mprj/u_mac_wrap/clkbuf_3_6__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15  100.70 ^ mprj/u_mac_wrap/clkbuf_3_6__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.02                           mprj/u_mac_wrap/clknet_3_6__leaf_mdio_clk (net)
+                  0.04    0.00  100.70 ^ mprj/u_mac_wrap/_09300_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25  100.45   clock uncertainty
+                          0.04  100.49   clock reconvergence pessimism
+                         -0.12  100.37   library setup time
+                                100.37   data required time
+-----------------------------------------------------------------------------
+                                100.37   data required time
+                                 -2.38   data arrival time
+-----------------------------------------------------------------------------
+                                 97.99   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09163_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_09290_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.19    0.78 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.06                           mprj/u_mac_wrap/clknet_3_2__leaf_mdio_clk (net)
+                  0.07    0.00    0.78 ^ mprj/u_mac_wrap/_09163_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.38    1.16 ^ mprj/u_mac_wrap/_09163_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.mdio_cur_st[1] (net)
+                  0.09    0.00    1.16 ^ mprj/u_mac_wrap/fanout441/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.23    0.24    1.40 ^ mprj/u_mac_wrap/fanout441/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     7    0.02                           mprj/u_mac_wrap/net441 (net)
+                  0.23    0.00    1.40 ^ mprj/u_mac_wrap/_04784_/A (sky130_fd_sc_hd__and3_1)
+                  0.22    0.33    1.73 ^ mprj/u_mac_wrap/_04784_/X (sky130_fd_sc_hd__and3_1)
+     4    0.02                           mprj/u_mac_wrap/_02041_ (net)
+                  0.22    0.00    1.73 ^ mprj/u_mac_wrap/fanout350/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.29    2.03 ^ mprj/u_mac_wrap/fanout350/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_mac_wrap/net350 (net)
+                  0.20    0.00    2.03 ^ mprj/u_mac_wrap/_06572_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.35    2.38 v mprj/u_mac_wrap/_06572_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00750_ (net)
+                  0.05    0.00    2.38 v mprj/u_mac_wrap/_09290_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  2.38   data arrival time
+
+                        100.00  100.00   clock mdio_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.14    0.00  100.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01  100.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28  100.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01  100.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25  100.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00  100.55 ^ mprj/u_mac_wrap/clkbuf_3_4__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.15  100.70 ^ mprj/u_mac_wrap/clkbuf_3_4__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     5    0.02                           mprj/u_mac_wrap/clknet_3_4__leaf_mdio_clk (net)
+                  0.05    0.00  100.70 ^ mprj/u_mac_wrap/_09290_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25  100.45   clock uncertainty
+                          0.04  100.49   clock reconvergence pessimism
+                         -0.12  100.37   library setup time
+                                100.37   data required time
+-----------------------------------------------------------------------------
+                                100.37   data required time
+                                 -2.38   data arrival time
+-----------------------------------------------------------------------------
+                                 97.99   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09163_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_09295_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.19    0.78 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.06                           mprj/u_mac_wrap/clknet_3_2__leaf_mdio_clk (net)
+                  0.07    0.00    0.78 ^ mprj/u_mac_wrap/_09163_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.38    1.16 ^ mprj/u_mac_wrap/_09163_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.mdio_cur_st[1] (net)
+                  0.09    0.00    1.16 ^ mprj/u_mac_wrap/fanout441/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.23    0.24    1.40 ^ mprj/u_mac_wrap/fanout441/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     7    0.02                           mprj/u_mac_wrap/net441 (net)
+                  0.23    0.00    1.40 ^ mprj/u_mac_wrap/_04784_/A (sky130_fd_sc_hd__and3_1)
+                  0.22    0.33    1.73 ^ mprj/u_mac_wrap/_04784_/X (sky130_fd_sc_hd__and3_1)
+     4    0.02                           mprj/u_mac_wrap/_02041_ (net)
+                  0.22    0.00    1.73 ^ mprj/u_mac_wrap/fanout351/A (sky130_fd_sc_hd__buf_2)
+                  0.19    0.27    2.01 ^ mprj/u_mac_wrap/fanout351/X (sky130_fd_sc_hd__buf_2)
+     7    0.04                           mprj/u_mac_wrap/net351 (net)
+                  0.19    0.00    2.01 ^ mprj/u_mac_wrap/_06577_/S (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.36    2.37 v mprj/u_mac_wrap/_06577_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00755_ (net)
+                  0.06    0.00    2.37 v mprj/u_mac_wrap/_09295_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  2.37   data arrival time
+
+                        100.00  100.00   clock mdio_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.14    0.00  100.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01  100.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28  100.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01  100.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25  100.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00  100.55 ^ mprj/u_mac_wrap/clkbuf_3_7__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15  100.70 ^ mprj/u_mac_wrap/clkbuf_3_7__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.02                           mprj/u_mac_wrap/clknet_3_7__leaf_mdio_clk (net)
+                  0.04    0.00  100.70 ^ mprj/u_mac_wrap/_09295_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25  100.45   clock uncertainty
+                          0.04  100.49   clock reconvergence pessimism
+                         -0.12  100.37   library setup time
+                                100.37   data required time
+-----------------------------------------------------------------------------
+                                100.37   data required time
+                                 -2.37   data arrival time
+-----------------------------------------------------------------------------
+                                 97.99   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09163_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_09302_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.19    0.78 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.06                           mprj/u_mac_wrap/clknet_3_2__leaf_mdio_clk (net)
+                  0.07    0.00    0.78 ^ mprj/u_mac_wrap/_09163_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.38    1.16 ^ mprj/u_mac_wrap/_09163_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.mdio_cur_st[1] (net)
+                  0.09    0.00    1.16 ^ mprj/u_mac_wrap/fanout441/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.23    0.24    1.40 ^ mprj/u_mac_wrap/fanout441/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     7    0.02                           mprj/u_mac_wrap/net441 (net)
+                  0.23    0.00    1.40 ^ mprj/u_mac_wrap/_04784_/A (sky130_fd_sc_hd__and3_1)
+                  0.22    0.33    1.73 ^ mprj/u_mac_wrap/_04784_/X (sky130_fd_sc_hd__and3_1)
+     4    0.02                           mprj/u_mac_wrap/_02041_ (net)
+                  0.22    0.00    1.73 ^ mprj/u_mac_wrap/fanout350/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.29    2.03 ^ mprj/u_mac_wrap/fanout350/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_mac_wrap/net350 (net)
+                  0.20    0.00    2.03 ^ mprj/u_mac_wrap/_06584_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.35    2.38 v mprj/u_mac_wrap/_06584_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00762_ (net)
+                  0.05    0.00    2.38 v mprj/u_mac_wrap/_09302_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  2.38   data arrival time
+
+                        100.00  100.00   clock mdio_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.14    0.00  100.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01  100.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28  100.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01  100.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25  100.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00  100.55 ^ mprj/u_mac_wrap/clkbuf_3_3__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.15  100.70 ^ mprj/u_mac_wrap/clkbuf_3_3__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.03                           mprj/u_mac_wrap/clknet_3_3__leaf_mdio_clk (net)
+                  0.05    0.00  100.70 ^ mprj/u_mac_wrap/_09302_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25  100.45   clock uncertainty
+                          0.04  100.50   clock reconvergence pessimism
+                         -0.12  100.38   library setup time
+                                100.38   data required time
+-----------------------------------------------------------------------------
+                                100.38   data required time
+                                 -2.38   data arrival time
+-----------------------------------------------------------------------------
+                                 98.00   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09163_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_09301_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.19    0.78 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.06                           mprj/u_mac_wrap/clknet_3_2__leaf_mdio_clk (net)
+                  0.07    0.00    0.78 ^ mprj/u_mac_wrap/_09163_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.38    1.16 ^ mprj/u_mac_wrap/_09163_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.mdio_cur_st[1] (net)
+                  0.09    0.00    1.16 ^ mprj/u_mac_wrap/fanout441/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.23    0.24    1.40 ^ mprj/u_mac_wrap/fanout441/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     7    0.02                           mprj/u_mac_wrap/net441 (net)
+                  0.23    0.00    1.40 ^ mprj/u_mac_wrap/_04784_/A (sky130_fd_sc_hd__and3_1)
+                  0.22    0.33    1.73 ^ mprj/u_mac_wrap/_04784_/X (sky130_fd_sc_hd__and3_1)
+     4    0.02                           mprj/u_mac_wrap/_02041_ (net)
+                  0.22    0.00    1.73 ^ mprj/u_mac_wrap/fanout350/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.29    2.03 ^ mprj/u_mac_wrap/fanout350/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_mac_wrap/net350 (net)
+                  0.20    0.00    2.03 ^ mprj/u_mac_wrap/_06583_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.35    2.38 v mprj/u_mac_wrap/_06583_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00761_ (net)
+                  0.05    0.00    2.38 v mprj/u_mac_wrap/_09301_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  2.38   data arrival time
+
+                        100.00  100.00   clock mdio_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.14    0.00  100.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01  100.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28  100.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01  100.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25  100.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00  100.55 ^ mprj/u_mac_wrap/clkbuf_3_3__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.15  100.70 ^ mprj/u_mac_wrap/clkbuf_3_3__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.03                           mprj/u_mac_wrap/clknet_3_3__leaf_mdio_clk (net)
+                  0.05    0.00  100.70 ^ mprj/u_mac_wrap/_09301_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25  100.45   clock uncertainty
+                          0.04  100.50   clock reconvergence pessimism
+                         -0.12  100.38   library setup time
+                                100.38   data required time
+-----------------------------------------------------------------------------
+                                100.38   data required time
+                                 -2.38   data arrival time
+-----------------------------------------------------------------------------
+                                 98.00   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09163_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_09304_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.19    0.78 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.06                           mprj/u_mac_wrap/clknet_3_2__leaf_mdio_clk (net)
+                  0.07    0.00    0.78 ^ mprj/u_mac_wrap/_09163_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.38    1.16 ^ mprj/u_mac_wrap/_09163_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.mdio_cur_st[1] (net)
+                  0.09    0.00    1.16 ^ mprj/u_mac_wrap/fanout441/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.23    0.24    1.40 ^ mprj/u_mac_wrap/fanout441/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     7    0.02                           mprj/u_mac_wrap/net441 (net)
+                  0.23    0.00    1.40 ^ mprj/u_mac_wrap/_04784_/A (sky130_fd_sc_hd__and3_1)
+                  0.22    0.33    1.73 ^ mprj/u_mac_wrap/_04784_/X (sky130_fd_sc_hd__and3_1)
+     4    0.02                           mprj/u_mac_wrap/_02041_ (net)
+                  0.22    0.00    1.73 ^ mprj/u_mac_wrap/fanout350/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.29    2.03 ^ mprj/u_mac_wrap/fanout350/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_mac_wrap/net350 (net)
+                  0.20    0.00    2.03 ^ mprj/u_mac_wrap/_06586_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.35    2.38 v mprj/u_mac_wrap/_06586_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00764_ (net)
+                  0.05    0.00    2.38 v mprj/u_mac_wrap/_09304_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  2.38   data arrival time
+
+                        100.00  100.00   clock mdio_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.14    0.00  100.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01  100.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28  100.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01  100.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25  100.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00  100.55 ^ mprj/u_mac_wrap/clkbuf_3_3__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.15  100.70 ^ mprj/u_mac_wrap/clkbuf_3_3__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.03                           mprj/u_mac_wrap/clknet_3_3__leaf_mdio_clk (net)
+                  0.05    0.00  100.70 ^ mprj/u_mac_wrap/_09304_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25  100.45   clock uncertainty
+                          0.04  100.50   clock reconvergence pessimism
+                         -0.12  100.38   library setup time
+                                100.38   data required time
+-----------------------------------------------------------------------------
+                                100.38   data required time
+                                 -2.38   data arrival time
+-----------------------------------------------------------------------------
+                                 98.00   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09163_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_09303_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.19    0.78 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.06                           mprj/u_mac_wrap/clknet_3_2__leaf_mdio_clk (net)
+                  0.07    0.00    0.78 ^ mprj/u_mac_wrap/_09163_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.38    1.16 ^ mprj/u_mac_wrap/_09163_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.mdio_cur_st[1] (net)
+                  0.09    0.00    1.16 ^ mprj/u_mac_wrap/fanout441/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.23    0.24    1.40 ^ mprj/u_mac_wrap/fanout441/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     7    0.02                           mprj/u_mac_wrap/net441 (net)
+                  0.23    0.00    1.40 ^ mprj/u_mac_wrap/_04784_/A (sky130_fd_sc_hd__and3_1)
+                  0.22    0.33    1.73 ^ mprj/u_mac_wrap/_04784_/X (sky130_fd_sc_hd__and3_1)
+     4    0.02                           mprj/u_mac_wrap/_02041_ (net)
+                  0.22    0.00    1.73 ^ mprj/u_mac_wrap/fanout350/A (sky130_fd_sc_hd__buf_4)
+                  0.20    0.29    2.03 ^ mprj/u_mac_wrap/fanout350/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_mac_wrap/net350 (net)
+                  0.20    0.00    2.03 ^ mprj/u_mac_wrap/_06585_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.35    2.38 v mprj/u_mac_wrap/_06585_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00763_ (net)
+                  0.05    0.00    2.38 v mprj/u_mac_wrap/_09303_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  2.38   data arrival time
+
+                        100.00  100.00   clock mdio_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.14    0.00  100.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01  100.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28  100.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01  100.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25  100.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00  100.55 ^ mprj/u_mac_wrap/clkbuf_3_3__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.15  100.70 ^ mprj/u_mac_wrap/clkbuf_3_3__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.03                           mprj/u_mac_wrap/clknet_3_3__leaf_mdio_clk (net)
+                  0.05    0.00  100.70 ^ mprj/u_mac_wrap/_09303_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25  100.45   clock uncertainty
+                          0.04  100.50   clock reconvergence pessimism
+                         -0.12  100.38   library setup time
+                                100.38   data required time
+-----------------------------------------------------------------------------
+                                100.38   data required time
+                                 -2.38   data arrival time
+-----------------------------------------------------------------------------
+                                 98.00   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09163_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_09296_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.19    0.78 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.06                           mprj/u_mac_wrap/clknet_3_2__leaf_mdio_clk (net)
+                  0.07    0.00    0.78 ^ mprj/u_mac_wrap/_09163_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.38    1.16 ^ mprj/u_mac_wrap/_09163_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.mdio_cur_st[1] (net)
+                  0.09    0.00    1.16 ^ mprj/u_mac_wrap/fanout441/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.23    0.24    1.40 ^ mprj/u_mac_wrap/fanout441/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     7    0.02                           mprj/u_mac_wrap/net441 (net)
+                  0.23    0.00    1.40 ^ mprj/u_mac_wrap/_04784_/A (sky130_fd_sc_hd__and3_1)
+                  0.22    0.33    1.73 ^ mprj/u_mac_wrap/_04784_/X (sky130_fd_sc_hd__and3_1)
+     4    0.02                           mprj/u_mac_wrap/_02041_ (net)
+                  0.22    0.00    1.73 ^ mprj/u_mac_wrap/fanout351/A (sky130_fd_sc_hd__buf_2)
+                  0.19    0.27    2.01 ^ mprj/u_mac_wrap/fanout351/X (sky130_fd_sc_hd__buf_2)
+     7    0.04                           mprj/u_mac_wrap/net351 (net)
+                  0.19    0.00    2.01 ^ mprj/u_mac_wrap/_06578_/S (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.35    2.36 v mprj/u_mac_wrap/_06578_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00756_ (net)
+                  0.06    0.00    2.36 v mprj/u_mac_wrap/_09296_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  2.36   data arrival time
+
+                        100.00  100.00   clock mdio_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.14    0.00  100.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01  100.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28  100.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01  100.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25  100.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00  100.55 ^ mprj/u_mac_wrap/clkbuf_3_7__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15  100.70 ^ mprj/u_mac_wrap/clkbuf_3_7__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.02                           mprj/u_mac_wrap/clknet_3_7__leaf_mdio_clk (net)
+                  0.04    0.00  100.70 ^ mprj/u_mac_wrap/_09296_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25  100.45   clock uncertainty
+                          0.04  100.49   clock reconvergence pessimism
+                         -0.12  100.37   library setup time
+                                100.37   data required time
+-----------------------------------------------------------------------------
+                                100.37   data required time
+                                 -2.36   data arrival time
+-----------------------------------------------------------------------------
+                                 98.01   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09163_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_09291_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.19    0.78 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.06                           mprj/u_mac_wrap/clknet_3_2__leaf_mdio_clk (net)
+                  0.07    0.00    0.78 ^ mprj/u_mac_wrap/_09163_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.38    1.16 ^ mprj/u_mac_wrap/_09163_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.mdio_cur_st[1] (net)
+                  0.09    0.00    1.16 ^ mprj/u_mac_wrap/fanout441/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.23    0.24    1.40 ^ mprj/u_mac_wrap/fanout441/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     7    0.02                           mprj/u_mac_wrap/net441 (net)
+                  0.23    0.00    1.40 ^ mprj/u_mac_wrap/_04784_/A (sky130_fd_sc_hd__and3_1)
+                  0.22    0.33    1.73 ^ mprj/u_mac_wrap/_04784_/X (sky130_fd_sc_hd__and3_1)
+     4    0.02                           mprj/u_mac_wrap/_02041_ (net)
+                  0.22    0.00    1.73 ^ mprj/u_mac_wrap/fanout351/A (sky130_fd_sc_hd__buf_2)
+                  0.19    0.27    2.01 ^ mprj/u_mac_wrap/fanout351/X (sky130_fd_sc_hd__buf_2)
+     7    0.04                           mprj/u_mac_wrap/net351 (net)
+                  0.19    0.00    2.01 ^ mprj/u_mac_wrap/_06573_/S (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.35    2.36 v mprj/u_mac_wrap/_06573_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00751_ (net)
+                  0.06    0.00    2.36 v mprj/u_mac_wrap/_09291_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  2.36   data arrival time
+
+                        100.00  100.00   clock mdio_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.14    0.00  100.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01  100.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28  100.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01  100.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25  100.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00  100.55 ^ mprj/u_mac_wrap/clkbuf_3_7__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15  100.70 ^ mprj/u_mac_wrap/clkbuf_3_7__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.02                           mprj/u_mac_wrap/clknet_3_7__leaf_mdio_clk (net)
+                  0.04    0.00  100.70 ^ mprj/u_mac_wrap/_09291_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25  100.45   clock uncertainty
+                          0.04  100.49   clock reconvergence pessimism
+                         -0.12  100.37   library setup time
+                                100.37   data required time
+-----------------------------------------------------------------------------
+                                100.37   data required time
+                                 -2.36   data arrival time
+-----------------------------------------------------------------------------
+                                 98.01   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09163_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_09292_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.19    0.78 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.06                           mprj/u_mac_wrap/clknet_3_2__leaf_mdio_clk (net)
+                  0.07    0.00    0.78 ^ mprj/u_mac_wrap/_09163_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.38    1.16 ^ mprj/u_mac_wrap/_09163_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.mdio_cur_st[1] (net)
+                  0.09    0.00    1.16 ^ mprj/u_mac_wrap/fanout441/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.23    0.24    1.40 ^ mprj/u_mac_wrap/fanout441/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     7    0.02                           mprj/u_mac_wrap/net441 (net)
+                  0.23    0.00    1.40 ^ mprj/u_mac_wrap/_04784_/A (sky130_fd_sc_hd__and3_1)
+                  0.22    0.33    1.73 ^ mprj/u_mac_wrap/_04784_/X (sky130_fd_sc_hd__and3_1)
+     4    0.02                           mprj/u_mac_wrap/_02041_ (net)
+                  0.22    0.00    1.73 ^ mprj/u_mac_wrap/fanout351/A (sky130_fd_sc_hd__buf_2)
+                  0.19    0.27    2.01 ^ mprj/u_mac_wrap/fanout351/X (sky130_fd_sc_hd__buf_2)
+     7    0.04                           mprj/u_mac_wrap/net351 (net)
+                  0.19    0.00    2.01 ^ mprj/u_mac_wrap/_06574_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.35    2.36 v mprj/u_mac_wrap/_06574_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00752_ (net)
+                  0.05    0.00    2.36 v mprj/u_mac_wrap/_09292_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  2.36   data arrival time
+
+                        100.00  100.00   clock mdio_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.14    0.00  100.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01  100.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28  100.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01  100.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25  100.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00  100.55 ^ mprj/u_mac_wrap/clkbuf_3_7__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15  100.70 ^ mprj/u_mac_wrap/clkbuf_3_7__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.02                           mprj/u_mac_wrap/clknet_3_7__leaf_mdio_clk (net)
+                  0.04    0.00  100.70 ^ mprj/u_mac_wrap/_09292_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25  100.45   clock uncertainty
+                          0.04  100.49   clock reconvergence pessimism
+                         -0.12  100.37   library setup time
+                                100.37   data required time
+-----------------------------------------------------------------------------
+                                100.37   data required time
+                                 -2.36   data arrival time
+-----------------------------------------------------------------------------
+                                 98.01   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09163_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_09297_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.19    0.78 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.06                           mprj/u_mac_wrap/clknet_3_2__leaf_mdio_clk (net)
+                  0.07    0.00    0.78 ^ mprj/u_mac_wrap/_09163_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.38    1.16 ^ mprj/u_mac_wrap/_09163_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.mdio_cur_st[1] (net)
+                  0.09    0.00    1.16 ^ mprj/u_mac_wrap/fanout441/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.23    0.24    1.40 ^ mprj/u_mac_wrap/fanout441/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     7    0.02                           mprj/u_mac_wrap/net441 (net)
+                  0.23    0.00    1.40 ^ mprj/u_mac_wrap/_04784_/A (sky130_fd_sc_hd__and3_1)
+                  0.22    0.33    1.73 ^ mprj/u_mac_wrap/_04784_/X (sky130_fd_sc_hd__and3_1)
+     4    0.02                           mprj/u_mac_wrap/_02041_ (net)
+                  0.22    0.00    1.73 ^ mprj/u_mac_wrap/fanout351/A (sky130_fd_sc_hd__buf_2)
+                  0.19    0.27    2.01 ^ mprj/u_mac_wrap/fanout351/X (sky130_fd_sc_hd__buf_2)
+     7    0.04                           mprj/u_mac_wrap/net351 (net)
+                  0.19    0.00    2.01 ^ mprj/u_mac_wrap/_06579_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.35    2.36 v mprj/u_mac_wrap/_06579_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00757_ (net)
+                  0.05    0.00    2.36 v mprj/u_mac_wrap/_09297_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  2.36   data arrival time
+
+                        100.00  100.00   clock mdio_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.14    0.00  100.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01  100.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28  100.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01  100.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25  100.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00  100.55 ^ mprj/u_mac_wrap/clkbuf_3_7__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15  100.70 ^ mprj/u_mac_wrap/clkbuf_3_7__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.02                           mprj/u_mac_wrap/clknet_3_7__leaf_mdio_clk (net)
+                  0.04    0.00  100.70 ^ mprj/u_mac_wrap/_09297_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25  100.45   clock uncertainty
+                          0.04  100.49   clock reconvergence pessimism
+                         -0.12  100.37   library setup time
+                                100.37   data required time
+-----------------------------------------------------------------------------
+                                100.37   data required time
+                                 -2.36   data arrival time
+-----------------------------------------------------------------------------
+                                 98.01   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09163_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_09293_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.19    0.78 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.06                           mprj/u_mac_wrap/clknet_3_2__leaf_mdio_clk (net)
+                  0.07    0.00    0.78 ^ mprj/u_mac_wrap/_09163_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.38    1.16 ^ mprj/u_mac_wrap/_09163_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.mdio_cur_st[1] (net)
+                  0.09    0.00    1.16 ^ mprj/u_mac_wrap/fanout441/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.23    0.24    1.40 ^ mprj/u_mac_wrap/fanout441/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     7    0.02                           mprj/u_mac_wrap/net441 (net)
+                  0.23    0.00    1.40 ^ mprj/u_mac_wrap/_04784_/A (sky130_fd_sc_hd__and3_1)
+                  0.22    0.33    1.73 ^ mprj/u_mac_wrap/_04784_/X (sky130_fd_sc_hd__and3_1)
+     4    0.02                           mprj/u_mac_wrap/_02041_ (net)
+                  0.22    0.00    1.73 ^ mprj/u_mac_wrap/fanout351/A (sky130_fd_sc_hd__buf_2)
+                  0.19    0.27    2.01 ^ mprj/u_mac_wrap/fanout351/X (sky130_fd_sc_hd__buf_2)
+     7    0.04                           mprj/u_mac_wrap/net351 (net)
+                  0.19    0.00    2.01 ^ mprj/u_mac_wrap/_06575_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.35    2.36 v mprj/u_mac_wrap/_06575_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00753_ (net)
+                  0.05    0.00    2.36 v mprj/u_mac_wrap/_09293_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  2.36   data arrival time
+
+                        100.00  100.00   clock mdio_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.14    0.00  100.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01  100.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28  100.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01  100.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25  100.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00  100.55 ^ mprj/u_mac_wrap/clkbuf_3_7__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15  100.70 ^ mprj/u_mac_wrap/clkbuf_3_7__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.02                           mprj/u_mac_wrap/clknet_3_7__leaf_mdio_clk (net)
+                  0.04    0.00  100.70 ^ mprj/u_mac_wrap/_09293_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25  100.45   clock uncertainty
+                          0.04  100.49   clock reconvergence pessimism
+                         -0.12  100.37   library setup time
+                                100.37   data required time
+-----------------------------------------------------------------------------
+                                100.37   data required time
+                                 -2.36   data arrival time
+-----------------------------------------------------------------------------
+                                 98.01   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09163_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_09294_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00    0.59 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.19    0.78 ^ mprj/u_mac_wrap/clkbuf_3_2__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.06                           mprj/u_mac_wrap/clknet_3_2__leaf_mdio_clk (net)
+                  0.07    0.00    0.78 ^ mprj/u_mac_wrap/_09163_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.38    1.16 ^ mprj/u_mac_wrap/_09163_/Q (sky130_fd_sc_hd__dfrtp_1)
+     2    0.01                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.mdio_cur_st[1] (net)
+                  0.09    0.00    1.16 ^ mprj/u_mac_wrap/fanout441/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.23    0.24    1.40 ^ mprj/u_mac_wrap/fanout441/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     7    0.02                           mprj/u_mac_wrap/net441 (net)
+                  0.23    0.00    1.40 ^ mprj/u_mac_wrap/_04784_/A (sky130_fd_sc_hd__and3_1)
+                  0.22    0.33    1.73 ^ mprj/u_mac_wrap/_04784_/X (sky130_fd_sc_hd__and3_1)
+     4    0.02                           mprj/u_mac_wrap/_02041_ (net)
+                  0.22    0.00    1.73 ^ mprj/u_mac_wrap/fanout351/A (sky130_fd_sc_hd__buf_2)
+                  0.19    0.27    2.01 ^ mprj/u_mac_wrap/fanout351/X (sky130_fd_sc_hd__buf_2)
+     7    0.04                           mprj/u_mac_wrap/net351 (net)
+                  0.19    0.00    2.01 ^ mprj/u_mac_wrap/_06576_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.35    2.36 v mprj/u_mac_wrap/_06576_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00754_ (net)
+                  0.05    0.00    2.36 v mprj/u_mac_wrap/_09294_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  2.36   data arrival time
+
+                        100.00  100.00   clock mdio_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.14    0.00  100.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01  100.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28  100.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01  100.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25  100.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.00  100.55 ^ mprj/u_mac_wrap/clkbuf_3_5__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.15  100.70 ^ mprj/u_mac_wrap/clkbuf_3_5__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.03                           mprj/u_mac_wrap/clknet_3_5__leaf_mdio_clk (net)
+                  0.05    0.00  100.70 ^ mprj/u_mac_wrap/_09294_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25  100.45   clock uncertainty
+                          0.04  100.49   clock reconvergence pessimism
+                         -0.12  100.38   library setup time
+                                100.38   data required time
+-----------------------------------------------------------------------------
+                                100.38   data required time
+                                 -2.36   data arrival time
+-----------------------------------------------------------------------------
+                                 98.02   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09151_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_08780_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.01    0.59 ^ mprj/u_mac_wrap/clkbuf_3_1__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    0.76 ^ mprj/u_mac_wrap/clkbuf_3_1__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.03                           mprj/u_mac_wrap/clknet_3_1__leaf_mdio_clk (net)
+                  0.05    0.00    0.76 ^ mprj/u_mac_wrap/_09151_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.05    0.33    1.09 ^ mprj/u_mac_wrap/_09151_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.U_dble_reg1.d_sync_out (net)
+                  0.05    0.00    1.09 ^ mprj/u_mac_wrap/fanout445/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.18    0.21    1.30 ^ mprj/u_mac_wrap/fanout445/X (sky130_fd_sc_hd__clkbuf_2)
+     5    0.03                           mprj/u_mac_wrap/net445 (net)
+                  0.18    0.00    1.30 ^ mprj/u_mac_wrap/fanout444/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.15    0.27    1.57 ^ mprj/u_mac_wrap/fanout444/X (sky130_fd_sc_hd__clkbuf_4)
+    10    0.05                           mprj/u_mac_wrap/net444 (net)
+                  0.15    0.00    1.57 ^ mprj/u_mac_wrap/_05592_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.34    1.91 v mprj/u_mac_wrap/_05592_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_02562_ (net)
+                  0.05    0.00    1.91 v mprj/u_mac_wrap/_05593_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.29    2.20 v mprj/u_mac_wrap/_05593_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00323_ (net)
+                  0.05    0.00    2.20 v mprj/u_mac_wrap/_08780_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  2.20   data arrival time
+
+                        100.00  100.00   clock mdio_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.14    0.00  100.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01  100.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28  100.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01  100.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25  100.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.01  100.55 ^ mprj/u_mac_wrap/clkbuf_3_0__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16  100.71 ^ mprj/u_mac_wrap/clkbuf_3_0__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    12    0.04                           mprj/u_mac_wrap/clknet_3_0__leaf_mdio_clk (net)
+                  0.06    0.00  100.71 ^ mprj/u_mac_wrap/_08780_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25  100.46   clock uncertainty
+                          0.04  100.50   clock reconvergence pessimism
+                         -0.12  100.39   library setup time
+                                100.39   data required time
+-----------------------------------------------------------------------------
+                                100.39   data required time
+                                 -2.20   data arrival time
+-----------------------------------------------------------------------------
+                                 98.19   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09151_
+            (rising edge-triggered flip-flop clocked by mdio_clk)
+Endpoint: mprj/u_mac_wrap/_08779_
+          (rising edge-triggered flip-flop clocked by mdio_clk)
+Path Group: mdio_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.14    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01    0.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.30    0.30 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01    0.31 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.27    0.59 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.01    0.59 ^ mprj/u_mac_wrap/clkbuf_3_1__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17    0.76 ^ mprj/u_mac_wrap/clkbuf_3_1__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.03                           mprj/u_mac_wrap/clknet_3_1__leaf_mdio_clk (net)
+                  0.05    0.00    0.76 ^ mprj/u_mac_wrap/_09151_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.05    0.33    1.09 ^ mprj/u_mac_wrap/_09151_/Q (sky130_fd_sc_hd__dfrtp_1)
+     1    0.00                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_md_intf.U_dble_reg1.d_sync_out (net)
+                  0.05    0.00    1.09 ^ mprj/u_mac_wrap/fanout445/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.18    0.21    1.30 ^ mprj/u_mac_wrap/fanout445/X (sky130_fd_sc_hd__clkbuf_2)
+     5    0.03                           mprj/u_mac_wrap/net445 (net)
+                  0.18    0.00    1.30 ^ mprj/u_mac_wrap/fanout444/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.15    0.27    1.57 ^ mprj/u_mac_wrap/fanout444/X (sky130_fd_sc_hd__clkbuf_4)
+    10    0.05                           mprj/u_mac_wrap/net444 (net)
+                  0.15    0.00    1.57 ^ mprj/u_mac_wrap/_05590_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.34    1.92 v mprj/u_mac_wrap/_05590_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_02561_ (net)
+                  0.05    0.00    1.92 v mprj/u_mac_wrap/_05591_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.29    2.20 v mprj/u_mac_wrap/_05591_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mac_wrap/_00322_ (net)
+                  0.05    0.00    2.20 v mprj/u_mac_wrap/_08779_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  2.20   data arrival time
+
+                        100.00  100.00   clock mdio_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.14    0.00  100.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_clkbuf.u_buf/X (sky130_fd_sc_hd__buf_4)
+     4    0.05                           mprj/u_pinmux/net84 (net)
+                  0.14    0.01  100.01 ^ mprj/u_pinmux/output84/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.28  100.28 ^ mprj/u_pinmux/output84/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mdio_clk (net)
+                  0.26    0.01  100.29 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.13    0.25  100.54 ^ mprj/u_mac_wrap/clkbuf_0_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mac_wrap/clknet_0_mdio_clk (net)
+                  0.13    0.01  100.55 ^ mprj/u_mac_wrap/clkbuf_3_1__f_mdio_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.16  100.71 ^ mprj/u_mac_wrap/clkbuf_3_1__f_mdio_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.03                           mprj/u_mac_wrap/clknet_3_1__leaf_mdio_clk (net)
+                  0.05    0.00  100.71 ^ mprj/u_mac_wrap/_08779_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25  100.46   clock uncertainty
+                          0.06  100.51   clock reconvergence pessimism
+                         -0.12  100.39   library setup time
+                                100.39   data required time
+-----------------------------------------------------------------------------
+                                100.39   data required time
+                                 -2.20   data arrival time
+-----------------------------------------------------------------------------
+                                 98.19   slack (MET)
+
+
+Startpoint: mprj/u_pinmux/_1125_
+            (rising edge-triggered flip-flop clocked by mdio_refclk)
+Endpoint: mprj/u_pinmux/_1068_
+          (rising edge-triggered flip-flop clocked by mdio_refclk)
+Path Group: mdio_refclk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_refclk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_ref_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    0.14 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_pinmux/clknet_0_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.04    0.00    0.14 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.14    0.28 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_pinmux/clknet_1_1__leaf_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.05    0.00    0.28 ^ mprj/u_pinmux/_1125_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.12    0.45    0.73 v mprj/u_pinmux/_1125_/Q (sky130_fd_sc_hd__dfrtp_1)
+     6    0.02                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.high_count[0] (net)
+                  0.12    0.00    0.73 v mprj/u_pinmux/_0451_/A (sky130_fd_sc_hd__or4_4)
+                  0.13    0.65    1.38 v mprj/u_pinmux/_0451_/X (sky130_fd_sc_hd__or4_4)
+     7    0.03                           mprj/u_pinmux/_0246_ (net)
+                  0.13    0.00    1.38 v mprj/u_pinmux/_0455_/C (sky130_fd_sc_hd__or4_1)
+                  0.13    0.58    1.96 v mprj/u_pinmux/_0455_/X (sky130_fd_sc_hd__or4_1)
+     2    0.01                           mprj/u_pinmux/_0250_ (net)
+                  0.13    0.00    1.96 v mprj/u_pinmux/fanout122/A (sky130_fd_sc_hd__buf_2)
+                  0.10    0.24    2.20 v mprj/u_pinmux/fanout122/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_pinmux/net122 (net)
+                  0.10    0.00    2.20 v mprj/u_pinmux/fanout121/A (sky130_fd_sc_hd__buf_2)
+                  0.09    0.21    2.41 v mprj/u_pinmux/fanout121/X (sky130_fd_sc_hd__buf_2)
+    10    0.03                           mprj/u_pinmux/net121 (net)
+                  0.09    0.00    2.42 v mprj/u_pinmux/_0794_/B (sky130_fd_sc_hd__or3_1)
+                  0.08    0.39    2.80 v mprj/u_pinmux/_0794_/X (sky130_fd_sc_hd__or3_1)
+     2    0.01                           mprj/u_pinmux/_0414_ (net)
+                  0.08    0.00    2.80 v mprj/u_pinmux/_0798_/B1 (sky130_fd_sc_hd__a32o_1)
+                  0.04    0.22    3.02 v mprj/u_pinmux/_0798_/X (sky130_fd_sc_hd__a32o_1)
+     1    0.00                           mprj/u_pinmux/_0177_ (net)
+                  0.04    0.00    3.02 v mprj/u_pinmux/_1068_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  3.02   data arrival time
+
+                         10.00   10.00   clock mdio_refclk (rise edge)
+                          0.00   10.00   clock source latency
+                  0.06    0.00   10.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_ref_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.06    0.00   10.00 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13   10.13 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_pinmux/clknet_0_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.04    0.00   10.13 ^ mprj/u_pinmux/clkbuf_1_0__f_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13   10.25 ^ mprj/u_pinmux/clkbuf_1_0__f_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.03                           mprj/u_pinmux/clknet_1_0__leaf_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.05    0.00   10.25 ^ mprj/u_pinmux/_1068_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   10.00   clock uncertainty
+                          0.01   10.02   clock reconvergence pessimism
+                         -0.11    9.90   library setup time
+                                  9.90   data required time
+-----------------------------------------------------------------------------
+                                  9.90   data required time
+                                 -3.02   data arrival time
+-----------------------------------------------------------------------------
+                                  6.88   slack (MET)
+
+
+Startpoint: mprj/u_pinmux/_1125_
+            (rising edge-triggered flip-flop clocked by mdio_refclk)
+Endpoint: mprj/u_pinmux/_1067_
+          (rising edge-triggered flip-flop clocked by mdio_refclk)
+Path Group: mdio_refclk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_refclk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_ref_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    0.14 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_pinmux/clknet_0_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.04    0.00    0.14 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.14    0.28 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_pinmux/clknet_1_1__leaf_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.05    0.00    0.28 ^ mprj/u_pinmux/_1125_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.12    0.45    0.73 v mprj/u_pinmux/_1125_/Q (sky130_fd_sc_hd__dfrtp_1)
+     6    0.02                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.high_count[0] (net)
+                  0.12    0.00    0.73 v mprj/u_pinmux/_0451_/A (sky130_fd_sc_hd__or4_4)
+                  0.13    0.65    1.38 v mprj/u_pinmux/_0451_/X (sky130_fd_sc_hd__or4_4)
+     7    0.03                           mprj/u_pinmux/_0246_ (net)
+                  0.13    0.00    1.38 v mprj/u_pinmux/_0455_/C (sky130_fd_sc_hd__or4_1)
+                  0.13    0.58    1.96 v mprj/u_pinmux/_0455_/X (sky130_fd_sc_hd__or4_1)
+     2    0.01                           mprj/u_pinmux/_0250_ (net)
+                  0.13    0.00    1.96 v mprj/u_pinmux/fanout122/A (sky130_fd_sc_hd__buf_2)
+                  0.10    0.24    2.20 v mprj/u_pinmux/fanout122/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_pinmux/net122 (net)
+                  0.10    0.00    2.20 v mprj/u_pinmux/fanout121/A (sky130_fd_sc_hd__buf_2)
+                  0.09    0.21    2.41 v mprj/u_pinmux/fanout121/X (sky130_fd_sc_hd__buf_2)
+    10    0.03                           mprj/u_pinmux/net121 (net)
+                  0.09    0.00    2.42 v mprj/u_pinmux/_0794_/B (sky130_fd_sc_hd__or3_1)
+                  0.08    0.39    2.80 v mprj/u_pinmux/_0794_/X (sky130_fd_sc_hd__or3_1)
+     2    0.01                           mprj/u_pinmux/_0414_ (net)
+                  0.08    0.00    2.80 v mprj/u_pinmux/_0797_/A1_N (sky130_fd_sc_hd__o2bb2a_1)
+                  0.05    0.26    3.06 ^ mprj/u_pinmux/_0797_/X (sky130_fd_sc_hd__o2bb2a_1)
+     1    0.00                           mprj/u_pinmux/_0176_ (net)
+                  0.05    0.00    3.06 ^ mprj/u_pinmux/_1067_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  3.06   data arrival time
+
+                         10.00   10.00   clock mdio_refclk (rise edge)
+                          0.00   10.00   clock source latency
+                  0.06    0.00   10.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_ref_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.06    0.00   10.00 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13   10.13 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_pinmux/clknet_0_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.04    0.00   10.13 ^ mprj/u_pinmux/clkbuf_1_0__f_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13   10.25 ^ mprj/u_pinmux/clkbuf_1_0__f_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.03                           mprj/u_pinmux/clknet_1_0__leaf_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.05    0.00   10.25 ^ mprj/u_pinmux/_1067_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   10.00   clock uncertainty
+                          0.01   10.02   clock reconvergence pessimism
+                         -0.06    9.96   library setup time
+                                  9.96   data required time
+-----------------------------------------------------------------------------
+                                  9.96   data required time
+                                 -3.06   data arrival time
+-----------------------------------------------------------------------------
+                                  6.89   slack (MET)
+
+
+Startpoint: mprj/u_pinmux/_1125_
+            (rising edge-triggered flip-flop clocked by mdio_refclk)
+Endpoint: mprj/u_pinmux/_1066_
+          (rising edge-triggered flip-flop clocked by mdio_refclk)
+Path Group: mdio_refclk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_refclk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_ref_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    0.14 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_pinmux/clknet_0_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.04    0.00    0.14 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.14    0.28 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_pinmux/clknet_1_1__leaf_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.05    0.00    0.28 ^ mprj/u_pinmux/_1125_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.12    0.45    0.73 v mprj/u_pinmux/_1125_/Q (sky130_fd_sc_hd__dfrtp_1)
+     6    0.02                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.high_count[0] (net)
+                  0.12    0.00    0.73 v mprj/u_pinmux/_0451_/A (sky130_fd_sc_hd__or4_4)
+                  0.13    0.65    1.38 v mprj/u_pinmux/_0451_/X (sky130_fd_sc_hd__or4_4)
+     7    0.03                           mprj/u_pinmux/_0246_ (net)
+                  0.13    0.00    1.38 v mprj/u_pinmux/_0455_/C (sky130_fd_sc_hd__or4_1)
+                  0.13    0.58    1.96 v mprj/u_pinmux/_0455_/X (sky130_fd_sc_hd__or4_1)
+     2    0.01                           mprj/u_pinmux/_0250_ (net)
+                  0.13    0.00    1.96 v mprj/u_pinmux/fanout122/A (sky130_fd_sc_hd__buf_2)
+                  0.10    0.24    2.20 v mprj/u_pinmux/fanout122/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_pinmux/net122 (net)
+                  0.10    0.00    2.20 v mprj/u_pinmux/fanout121/A (sky130_fd_sc_hd__buf_2)
+                  0.09    0.21    2.41 v mprj/u_pinmux/fanout121/X (sky130_fd_sc_hd__buf_2)
+    10    0.03                           mprj/u_pinmux/net121 (net)
+                  0.09    0.00    2.42 v mprj/u_pinmux/_0789_/A2 (sky130_fd_sc_hd__o31a_1)
+                  0.05    0.29    2.71 v mprj/u_pinmux/_0789_/X (sky130_fd_sc_hd__o31a_1)
+     1    0.00                           mprj/u_pinmux/_0410_ (net)
+                  0.05    0.00    2.71 v mprj/u_pinmux/_0793_/A2 (sky130_fd_sc_hd__o22a_1)
+                  0.04    0.19    2.90 v mprj/u_pinmux/_0793_/X (sky130_fd_sc_hd__o22a_1)
+     1    0.00                           mprj/u_pinmux/_0175_ (net)
+                  0.04    0.00    2.90 v mprj/u_pinmux/_1066_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  2.90   data arrival time
+
+                         10.00   10.00   clock mdio_refclk (rise edge)
+                          0.00   10.00   clock source latency
+                  0.06    0.00   10.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_ref_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.06    0.00   10.00 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13   10.13 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_pinmux/clknet_0_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.04    0.00   10.13 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13   10.26 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_pinmux/clknet_1_1__leaf_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.05    0.00   10.26 ^ mprj/u_pinmux/_1066_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   10.01   clock uncertainty
+                          0.02   10.03   clock reconvergence pessimism
+                         -0.11    9.92   library setup time
+                                  9.92   data required time
+-----------------------------------------------------------------------------
+                                  9.92   data required time
+                                 -2.90   data arrival time
+-----------------------------------------------------------------------------
+                                  7.02   slack (MET)
+
+
+Startpoint: mprj/u_pinmux/_1125_
+            (rising edge-triggered flip-flop clocked by mdio_refclk)
+Endpoint: mprj/u_pinmux/_1062_
+          (rising edge-triggered flip-flop clocked by mdio_refclk)
+Path Group: mdio_refclk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_refclk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_ref_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    0.14 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_pinmux/clknet_0_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.04    0.00    0.14 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.14    0.28 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_pinmux/clknet_1_1__leaf_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.05    0.00    0.28 ^ mprj/u_pinmux/_1125_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.12    0.45    0.73 v mprj/u_pinmux/_1125_/Q (sky130_fd_sc_hd__dfrtp_1)
+     6    0.02                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.high_count[0] (net)
+                  0.12    0.00    0.73 v mprj/u_pinmux/_0451_/A (sky130_fd_sc_hd__or4_4)
+                  0.13    0.65    1.38 v mprj/u_pinmux/_0451_/X (sky130_fd_sc_hd__or4_4)
+     7    0.03                           mprj/u_pinmux/_0246_ (net)
+                  0.13    0.00    1.38 v mprj/u_pinmux/_0455_/C (sky130_fd_sc_hd__or4_1)
+                  0.13    0.58    1.96 v mprj/u_pinmux/_0455_/X (sky130_fd_sc_hd__or4_1)
+     2    0.01                           mprj/u_pinmux/_0250_ (net)
+                  0.13    0.00    1.96 v mprj/u_pinmux/fanout122/A (sky130_fd_sc_hd__buf_2)
+                  0.10    0.24    2.20 v mprj/u_pinmux/fanout122/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_pinmux/net122 (net)
+                  0.10    0.00    2.20 v mprj/u_pinmux/fanout121/A (sky130_fd_sc_hd__buf_2)
+                  0.09    0.21    2.41 v mprj/u_pinmux/fanout121/X (sky130_fd_sc_hd__buf_2)
+    10    0.03                           mprj/u_pinmux/net121 (net)
+                  0.09    0.00    2.42 v mprj/u_pinmux/_0773_/C1 (sky130_fd_sc_hd__a221o_1)
+                  0.05    0.27    2.69 v mprj/u_pinmux/_0773_/X (sky130_fd_sc_hd__a221o_1)
+     1    0.00                           mprj/u_pinmux/_0398_ (net)
+                  0.05    0.00    2.69 v mprj/u_pinmux/_0774_/B1_N (sky130_fd_sc_hd__a21bo_1)
+                  0.04    0.18    2.87 ^ mprj/u_pinmux/_0774_/X (sky130_fd_sc_hd__a21bo_1)
+     1    0.00                           mprj/u_pinmux/_0171_ (net)
+                  0.04    0.00    2.87 ^ mprj/u_pinmux/_1062_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  2.87   data arrival time
+
+                         10.00   10.00   clock mdio_refclk (rise edge)
+                          0.00   10.00   clock source latency
+                  0.06    0.00   10.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_ref_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.06    0.00   10.00 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13   10.13 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_pinmux/clknet_0_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.04    0.00   10.13 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13   10.26 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_pinmux/clknet_1_1__leaf_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.05    0.00   10.26 ^ mprj/u_pinmux/_1062_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   10.01   clock uncertainty
+                          0.02   10.03   clock reconvergence pessimism
+                         -0.06    9.97   library setup time
+                                  9.97   data required time
+-----------------------------------------------------------------------------
+                                  9.97   data required time
+                                 -2.87   data arrival time
+-----------------------------------------------------------------------------
+                                  7.10   slack (MET)
+
+
+Startpoint: mprj/u_pinmux/_1125_
+            (rising edge-triggered flip-flop clocked by mdio_refclk)
+Endpoint: mprj/u_pinmux/_1061_
+          (rising edge-triggered flip-flop clocked by mdio_refclk)
+Path Group: mdio_refclk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_refclk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_ref_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    0.14 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_pinmux/clknet_0_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.04    0.00    0.14 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.14    0.28 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_pinmux/clknet_1_1__leaf_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.05    0.00    0.28 ^ mprj/u_pinmux/_1125_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.12    0.45    0.73 v mprj/u_pinmux/_1125_/Q (sky130_fd_sc_hd__dfrtp_1)
+     6    0.02                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.high_count[0] (net)
+                  0.12    0.00    0.73 v mprj/u_pinmux/_0451_/A (sky130_fd_sc_hd__or4_4)
+                  0.13    0.65    1.38 v mprj/u_pinmux/_0451_/X (sky130_fd_sc_hd__or4_4)
+     7    0.03                           mprj/u_pinmux/_0246_ (net)
+                  0.13    0.00    1.38 v mprj/u_pinmux/_0455_/C (sky130_fd_sc_hd__or4_1)
+                  0.13    0.58    1.96 v mprj/u_pinmux/_0455_/X (sky130_fd_sc_hd__or4_1)
+     2    0.01                           mprj/u_pinmux/_0250_ (net)
+                  0.13    0.00    1.96 v mprj/u_pinmux/fanout122/A (sky130_fd_sc_hd__buf_2)
+                  0.10    0.24    2.20 v mprj/u_pinmux/fanout122/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_pinmux/net122 (net)
+                  0.10    0.00    2.20 v mprj/u_pinmux/fanout121/A (sky130_fd_sc_hd__buf_2)
+                  0.09    0.21    2.41 v mprj/u_pinmux/fanout121/X (sky130_fd_sc_hd__buf_2)
+    10    0.03                           mprj/u_pinmux/net121 (net)
+                  0.09    0.00    2.42 v mprj/u_pinmux/_0764_/B1 (sky130_fd_sc_hd__a211o_1)
+                  0.04    0.28    2.69 v mprj/u_pinmux/_0764_/X (sky130_fd_sc_hd__a211o_1)
+     1    0.00                           mprj/u_pinmux/_0390_ (net)
+                  0.04    0.00    2.69 v mprj/u_pinmux/_0768_/B1_N (sky130_fd_sc_hd__a21bo_1)
+                  0.03    0.17    2.87 ^ mprj/u_pinmux/_0768_/X (sky130_fd_sc_hd__a21bo_1)
+     1    0.00                           mprj/u_pinmux/_0170_ (net)
+                  0.03    0.00    2.87 ^ mprj/u_pinmux/_1061_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  2.87   data arrival time
+
+                         10.00   10.00   clock mdio_refclk (rise edge)
+                          0.00   10.00   clock source latency
+                  0.06    0.00   10.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_ref_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.06    0.00   10.00 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13   10.13 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_pinmux/clknet_0_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.04    0.00   10.13 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13   10.26 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_pinmux/clknet_1_1__leaf_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.05    0.00   10.26 ^ mprj/u_pinmux/_1061_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   10.01   clock uncertainty
+                          0.02   10.03   clock reconvergence pessimism
+                         -0.06    9.97   library setup time
+                                  9.97   data required time
+-----------------------------------------------------------------------------
+                                  9.97   data required time
+                                 -2.87   data arrival time
+-----------------------------------------------------------------------------
+                                  7.10   slack (MET)
+
+
+Startpoint: mprj/u_pinmux/_1125_
+            (rising edge-triggered flip-flop clocked by mdio_refclk)
+Endpoint: mprj/u_pinmux/_1065_
+          (rising edge-triggered flip-flop clocked by mdio_refclk)
+Path Group: mdio_refclk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_refclk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_ref_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    0.14 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_pinmux/clknet_0_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.04    0.00    0.14 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.14    0.28 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_pinmux/clknet_1_1__leaf_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.05    0.00    0.28 ^ mprj/u_pinmux/_1125_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.12    0.45    0.73 v mprj/u_pinmux/_1125_/Q (sky130_fd_sc_hd__dfrtp_1)
+     6    0.02                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.high_count[0] (net)
+                  0.12    0.00    0.73 v mprj/u_pinmux/_0451_/A (sky130_fd_sc_hd__or4_4)
+                  0.13    0.65    1.38 v mprj/u_pinmux/_0451_/X (sky130_fd_sc_hd__or4_4)
+     7    0.03                           mprj/u_pinmux/_0246_ (net)
+                  0.13    0.00    1.38 v mprj/u_pinmux/_0455_/C (sky130_fd_sc_hd__or4_1)
+                  0.13    0.58    1.96 v mprj/u_pinmux/_0455_/X (sky130_fd_sc_hd__or4_1)
+     2    0.01                           mprj/u_pinmux/_0250_ (net)
+                  0.13    0.00    1.96 v mprj/u_pinmux/fanout122/A (sky130_fd_sc_hd__buf_2)
+                  0.10    0.24    2.20 v mprj/u_pinmux/fanout122/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_pinmux/net122 (net)
+                  0.10    0.00    2.20 v mprj/u_pinmux/_0786_/B (sky130_fd_sc_hd__or3_1)
+                  0.06    0.36    2.56 v mprj/u_pinmux/_0786_/X (sky130_fd_sc_hd__or3_1)
+     1    0.00                           mprj/u_pinmux/_0408_ (net)
+                  0.06    0.00    2.56 v mprj/u_pinmux/_0788_/A1_N (sky130_fd_sc_hd__o2bb2a_1)
+                  0.05    0.25    2.81 ^ mprj/u_pinmux/_0788_/X (sky130_fd_sc_hd__o2bb2a_1)
+     1    0.00                           mprj/u_pinmux/_0174_ (net)
+                  0.05    0.00    2.81 ^ mprj/u_pinmux/_1065_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  2.81   data arrival time
+
+                         10.00   10.00   clock mdio_refclk (rise edge)
+                          0.00   10.00   clock source latency
+                  0.06    0.00   10.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_ref_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.06    0.00   10.00 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13   10.13 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_pinmux/clknet_0_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.04    0.00   10.13 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13   10.26 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_pinmux/clknet_1_1__leaf_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.05    0.00   10.26 ^ mprj/u_pinmux/_1065_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   10.01   clock uncertainty
+                          0.02   10.03   clock reconvergence pessimism
+                         -0.06    9.97   library setup time
+                                  9.97   data required time
+-----------------------------------------------------------------------------
+                                  9.97   data required time
+                                 -2.81   data arrival time
+-----------------------------------------------------------------------------
+                                  7.16   slack (MET)
+
+
+Startpoint: mprj/u_pinmux/_1061_
+            (rising edge-triggered flip-flop clocked by mdio_refclk)
+Endpoint: mprj/u_pinmux/_1063_
+          (rising edge-triggered flip-flop clocked by mdio_refclk)
+Path Group: mdio_refclk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_refclk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_ref_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    0.14 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_pinmux/clknet_0_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.04    0.00    0.14 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.14    0.28 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_pinmux/clknet_1_1__leaf_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.05    0.00    0.28 ^ mprj/u_pinmux/_1061_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.43    0.71 v mprj/u_pinmux/_1061_/Q (sky130_fd_sc_hd__dfrtp_1)
+     5    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.low_count[0] (net)
+                  0.09    0.00    0.71 v mprj/u_pinmux/_0457_/A (sky130_fd_sc_hd__or4_4)
+                  0.12    0.62    1.33 v mprj/u_pinmux/_0457_/X (sky130_fd_sc_hd__or4_4)
+     7    0.02                           mprj/u_pinmux/_0252_ (net)
+                  0.12    0.00    1.33 v mprj/u_pinmux/_0461_/C (sky130_fd_sc_hd__or4_2)
+                  0.16    0.75    2.08 v mprj/u_pinmux/_0461_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_pinmux/_0256_ (net)
+                  0.16    0.00    2.08 v mprj/u_pinmux/_0777_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.36    2.44 v mprj/u_pinmux/_0777_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/_0401_ (net)
+                  0.05    0.00    2.44 v mprj/u_pinmux/_0778_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.30    2.74 v mprj/u_pinmux/_0778_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/_0172_ (net)
+                  0.05    0.00    2.74 v mprj/u_pinmux/_1063_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  2.74   data arrival time
+
+                         10.00   10.00   clock mdio_refclk (rise edge)
+                          0.00   10.00   clock source latency
+                  0.06    0.00   10.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_ref_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.06    0.00   10.00 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13   10.13 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_pinmux/clknet_0_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.04    0.00   10.13 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13   10.26 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_pinmux/clknet_1_1__leaf_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.05    0.00   10.26 ^ mprj/u_pinmux/_1063_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   10.01   clock uncertainty
+                          0.02   10.03   clock reconvergence pessimism
+                         -0.12    9.91   library setup time
+                                  9.91   data required time
+-----------------------------------------------------------------------------
+                                  9.91   data required time
+                                 -2.74   data arrival time
+-----------------------------------------------------------------------------
+                                  7.17   slack (MET)
+
+
+Startpoint: mprj/u_pinmux/_1125_
+            (rising edge-triggered flip-flop clocked by mdio_refclk)
+Endpoint: mprj/u_pinmux/_1126_
+          (rising edge-triggered flip-flop clocked by mdio_refclk)
+Path Group: mdio_refclk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_refclk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_ref_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    0.14 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_pinmux/clknet_0_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.04    0.00    0.14 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.14    0.28 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_pinmux/clknet_1_1__leaf_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.05    0.00    0.28 ^ mprj/u_pinmux/_1125_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.12    0.45    0.73 v mprj/u_pinmux/_1125_/Q (sky130_fd_sc_hd__dfrtp_1)
+     6    0.02                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.high_count[0] (net)
+                  0.12    0.00    0.73 v mprj/u_pinmux/_0451_/A (sky130_fd_sc_hd__or4_4)
+                  0.13    0.65    1.38 v mprj/u_pinmux/_0451_/X (sky130_fd_sc_hd__or4_4)
+     7    0.03                           mprj/u_pinmux/_0246_ (net)
+                  0.13    0.00    1.38 v mprj/u_pinmux/_0455_/C (sky130_fd_sc_hd__or4_1)
+                  0.13    0.58    1.96 v mprj/u_pinmux/_0455_/X (sky130_fd_sc_hd__or4_1)
+     2    0.01                           mprj/u_pinmux/_0250_ (net)
+                  0.13    0.00    1.96 v mprj/u_pinmux/fanout122/A (sky130_fd_sc_hd__buf_2)
+                  0.10    0.24    2.20 v mprj/u_pinmux/fanout122/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_pinmux/net122 (net)
+                  0.10    0.00    2.20 v mprj/u_pinmux/fanout121/A (sky130_fd_sc_hd__buf_2)
+                  0.09    0.21    2.41 v mprj/u_pinmux/fanout121/X (sky130_fd_sc_hd__buf_2)
+    10    0.03                           mprj/u_pinmux/net121 (net)
+                  0.09    0.00    2.41 v mprj/u_pinmux/_0868_/A1 (sky130_fd_sc_hd__o221a_1)
+                  0.05    0.28    2.69 v mprj/u_pinmux/_0868_/X (sky130_fd_sc_hd__o221a_1)
+     1    0.00                           mprj/u_pinmux/_0235_ (net)
+                  0.05    0.00    2.69 v mprj/u_pinmux/_1126_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  2.69   data arrival time
+
+                         10.00   10.00   clock mdio_refclk (rise edge)
+                          0.00   10.00   clock source latency
+                  0.06    0.00   10.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_ref_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.06    0.00   10.00 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13   10.13 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_pinmux/clknet_0_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.04    0.00   10.13 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13   10.26 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_pinmux/clknet_1_1__leaf_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.05    0.00   10.26 ^ mprj/u_pinmux/_1126_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   10.01   clock uncertainty
+                          0.02   10.03   clock reconvergence pessimism
+                         -0.11    9.91   library setup time
+                                  9.91   data required time
+-----------------------------------------------------------------------------
+                                  9.91   data required time
+                                 -2.69   data arrival time
+-----------------------------------------------------------------------------
+                                  7.22   slack (MET)
+
+
+Startpoint: mprj/u_pinmux/_1125_
+            (rising edge-triggered flip-flop clocked by mdio_refclk)
+Endpoint: mprj/u_pinmux/_1125_
+          (rising edge-triggered flip-flop clocked by mdio_refclk)
+Path Group: mdio_refclk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_refclk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_ref_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    0.14 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_pinmux/clknet_0_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.04    0.00    0.14 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.14    0.28 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_pinmux/clknet_1_1__leaf_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.05    0.00    0.28 ^ mprj/u_pinmux/_1125_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.12    0.45    0.73 v mprj/u_pinmux/_1125_/Q (sky130_fd_sc_hd__dfrtp_1)
+     6    0.02                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.high_count[0] (net)
+                  0.12    0.00    0.73 v mprj/u_pinmux/_0451_/A (sky130_fd_sc_hd__or4_4)
+                  0.13    0.65    1.38 v mprj/u_pinmux/_0451_/X (sky130_fd_sc_hd__or4_4)
+     7    0.03                           mprj/u_pinmux/_0246_ (net)
+                  0.13    0.00    1.38 v mprj/u_pinmux/_0455_/C (sky130_fd_sc_hd__or4_1)
+                  0.13    0.58    1.96 v mprj/u_pinmux/_0455_/X (sky130_fd_sc_hd__or4_1)
+     2    0.01                           mprj/u_pinmux/_0250_ (net)
+                  0.13    0.00    1.96 v mprj/u_pinmux/fanout122/A (sky130_fd_sc_hd__buf_2)
+                  0.10    0.24    2.20 v mprj/u_pinmux/fanout122/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_pinmux/net122 (net)
+                  0.10    0.00    2.20 v mprj/u_pinmux/fanout121/A (sky130_fd_sc_hd__buf_2)
+                  0.09    0.21    2.41 v mprj/u_pinmux/fanout121/X (sky130_fd_sc_hd__buf_2)
+    10    0.03                           mprj/u_pinmux/net121 (net)
+                  0.09    0.00    2.41 v mprj/u_pinmux/_0863_/A1 (sky130_fd_sc_hd__o211a_1)
+                  0.04    0.24    2.66 v mprj/u_pinmux/_0863_/X (sky130_fd_sc_hd__o211a_1)
+     1    0.00                           mprj/u_pinmux/_0234_ (net)
+                  0.04    0.00    2.66 v mprj/u_pinmux/_1125_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  2.66   data arrival time
+
+                         10.00   10.00   clock mdio_refclk (rise edge)
+                          0.00   10.00   clock source latency
+                  0.06    0.00   10.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_ref_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.06    0.00   10.00 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13   10.13 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_pinmux/clknet_0_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.04    0.00   10.13 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13   10.26 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_pinmux/clknet_1_1__leaf_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.05    0.00   10.26 ^ mprj/u_pinmux/_1125_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   10.01   clock uncertainty
+                          0.02   10.03   clock reconvergence pessimism
+                         -0.11    9.92   library setup time
+                                  9.92   data required time
+-----------------------------------------------------------------------------
+                                  9.92   data required time
+                                 -2.66   data arrival time
+-----------------------------------------------------------------------------
+                                  7.26   slack (MET)
+
+
+Startpoint: mprj/u_pinmux/_1125_
+            (rising edge-triggered flip-flop clocked by mdio_refclk)
+Endpoint: mprj/u_pinmux/_1128_
+          (rising edge-triggered flip-flop clocked by mdio_refclk)
+Path Group: mdio_refclk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_refclk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_ref_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    0.14 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_pinmux/clknet_0_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.04    0.00    0.14 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.14    0.28 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_pinmux/clknet_1_1__leaf_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.05    0.00    0.28 ^ mprj/u_pinmux/_1125_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.12    0.45    0.73 v mprj/u_pinmux/_1125_/Q (sky130_fd_sc_hd__dfrtp_1)
+     6    0.02                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.high_count[0] (net)
+                  0.12    0.00    0.73 v mprj/u_pinmux/_0451_/A (sky130_fd_sc_hd__or4_4)
+                  0.13    0.65    1.38 v mprj/u_pinmux/_0451_/X (sky130_fd_sc_hd__or4_4)
+     7    0.03                           mprj/u_pinmux/_0246_ (net)
+                  0.13    0.00    1.38 v mprj/u_pinmux/_0455_/C (sky130_fd_sc_hd__or4_1)
+                  0.13    0.58    1.96 v mprj/u_pinmux/_0455_/X (sky130_fd_sc_hd__or4_1)
+     2    0.01                           mprj/u_pinmux/_0250_ (net)
+                  0.13    0.00    1.96 v mprj/u_pinmux/fanout122/A (sky130_fd_sc_hd__buf_2)
+                  0.10    0.24    2.20 v mprj/u_pinmux/fanout122/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_pinmux/net122 (net)
+                  0.10    0.00    2.20 v mprj/u_pinmux/_0766_/A (sky130_fd_sc_hd__nor2_1)
+                  0.15    0.18    2.38 ^ mprj/u_pinmux/_0766_/Y (sky130_fd_sc_hd__nor2_1)
+     2    0.01                           mprj/u_pinmux/_0392_ (net)
+                  0.15    0.00    2.38 ^ mprj/u_pinmux/_0877_/A1 (sky130_fd_sc_hd__a32o_1)
+                  0.05    0.18    2.56 ^ mprj/u_pinmux/_0877_/X (sky130_fd_sc_hd__a32o_1)
+     1    0.00                           mprj/u_pinmux/_0237_ (net)
+                  0.05    0.00    2.56 ^ mprj/u_pinmux/_1128_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  2.56   data arrival time
+
+                         10.00   10.00   clock mdio_refclk (rise edge)
+                          0.00   10.00   clock source latency
+                  0.06    0.00   10.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_ref_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.06    0.00   10.00 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13   10.13 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_pinmux/clknet_0_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.04    0.00   10.13 ^ mprj/u_pinmux/clkbuf_1_0__f_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13   10.25 ^ mprj/u_pinmux/clkbuf_1_0__f_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.03                           mprj/u_pinmux/clknet_1_0__leaf_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.05    0.00   10.25 ^ mprj/u_pinmux/_1128_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   10.00   clock uncertainty
+                          0.01   10.02   clock reconvergence pessimism
+                         -0.06    9.96   library setup time
+                                  9.96   data required time
+-----------------------------------------------------------------------------
+                                  9.96   data required time
+                                 -2.56   data arrival time
+-----------------------------------------------------------------------------
+                                  7.40   slack (MET)
+
+
+Startpoint: mprj/u_pinmux/_1125_
+            (rising edge-triggered flip-flop clocked by mdio_refclk)
+Endpoint: mprj/u_pinmux/_1130_
+          (rising edge-triggered flip-flop clocked by mdio_refclk)
+Path Group: mdio_refclk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_refclk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_ref_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    0.14 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_pinmux/clknet_0_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.04    0.00    0.14 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.14    0.28 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_pinmux/clknet_1_1__leaf_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.05    0.00    0.28 ^ mprj/u_pinmux/_1125_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.12    0.45    0.73 v mprj/u_pinmux/_1125_/Q (sky130_fd_sc_hd__dfrtp_1)
+     6    0.02                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.high_count[0] (net)
+                  0.12    0.00    0.73 v mprj/u_pinmux/_0451_/A (sky130_fd_sc_hd__or4_4)
+                  0.13    0.65    1.38 v mprj/u_pinmux/_0451_/X (sky130_fd_sc_hd__or4_4)
+     7    0.03                           mprj/u_pinmux/_0246_ (net)
+                  0.13    0.00    1.38 v mprj/u_pinmux/_0455_/C (sky130_fd_sc_hd__or4_1)
+                  0.13    0.58    1.96 v mprj/u_pinmux/_0455_/X (sky130_fd_sc_hd__or4_1)
+     2    0.01                           mprj/u_pinmux/_0250_ (net)
+                  0.13    0.00    1.96 v mprj/u_pinmux/fanout122/A (sky130_fd_sc_hd__buf_2)
+                  0.10    0.24    2.20 v mprj/u_pinmux/fanout122/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_pinmux/net122 (net)
+                  0.10    0.00    2.20 v mprj/u_pinmux/_0885_/A1 (sky130_fd_sc_hd__o221a_1)
+                  0.04    0.28    2.48 v mprj/u_pinmux/_0885_/X (sky130_fd_sc_hd__o221a_1)
+     1    0.00                           mprj/u_pinmux/_0239_ (net)
+                  0.04    0.00    2.48 v mprj/u_pinmux/_1130_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  2.48   data arrival time
+
+                         10.00   10.00   clock mdio_refclk (rise edge)
+                          0.00   10.00   clock source latency
+                  0.06    0.00   10.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_ref_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.06    0.00   10.00 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13   10.13 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_pinmux/clknet_0_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.04    0.00   10.13 ^ mprj/u_pinmux/clkbuf_1_0__f_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13   10.25 ^ mprj/u_pinmux/clkbuf_1_0__f_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.03                           mprj/u_pinmux/clknet_1_0__leaf_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.05    0.00   10.25 ^ mprj/u_pinmux/_1130_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   10.00   clock uncertainty
+                          0.01   10.02   clock reconvergence pessimism
+                         -0.11    9.90   library setup time
+                                  9.90   data required time
+-----------------------------------------------------------------------------
+                                  9.90   data required time
+                                 -2.48   data arrival time
+-----------------------------------------------------------------------------
+                                  7.42   slack (MET)
+
+
+Startpoint: mprj/u_pinmux/_1125_
+            (rising edge-triggered flip-flop clocked by mdio_refclk)
+Endpoint: mprj/u_pinmux/_1131_
+          (rising edge-triggered flip-flop clocked by mdio_refclk)
+Path Group: mdio_refclk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_refclk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_ref_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    0.14 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_pinmux/clknet_0_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.04    0.00    0.14 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.14    0.28 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_pinmux/clknet_1_1__leaf_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.05    0.00    0.28 ^ mprj/u_pinmux/_1125_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.12    0.45    0.73 v mprj/u_pinmux/_1125_/Q (sky130_fd_sc_hd__dfrtp_1)
+     6    0.02                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.high_count[0] (net)
+                  0.12    0.00    0.73 v mprj/u_pinmux/_0451_/A (sky130_fd_sc_hd__or4_4)
+                  0.13    0.65    1.38 v mprj/u_pinmux/_0451_/X (sky130_fd_sc_hd__or4_4)
+     7    0.03                           mprj/u_pinmux/_0246_ (net)
+                  0.13    0.00    1.38 v mprj/u_pinmux/_0455_/C (sky130_fd_sc_hd__or4_1)
+                  0.13    0.58    1.96 v mprj/u_pinmux/_0455_/X (sky130_fd_sc_hd__or4_1)
+     2    0.01                           mprj/u_pinmux/_0250_ (net)
+                  0.13    0.00    1.96 v mprj/u_pinmux/fanout122/A (sky130_fd_sc_hd__buf_2)
+                  0.10    0.24    2.20 v mprj/u_pinmux/fanout122/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_pinmux/net122 (net)
+                  0.10    0.00    2.20 v mprj/u_pinmux/_0888_/A1 (sky130_fd_sc_hd__o211a_1)
+                  0.04    0.25    2.45 v mprj/u_pinmux/_0888_/X (sky130_fd_sc_hd__o211a_1)
+     1    0.00                           mprj/u_pinmux/_0240_ (net)
+                  0.04    0.00    2.45 v mprj/u_pinmux/_1131_/D (sky130_fd_sc_hd__dfrtp_2)
+                                  2.45   data arrival time
+
+                         10.00   10.00   clock mdio_refclk (rise edge)
+                          0.00   10.00   clock source latency
+                  0.06    0.00   10.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_ref_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.06    0.00   10.00 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13   10.13 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_pinmux/clknet_0_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.04    0.00   10.13 ^ mprj/u_pinmux/clkbuf_1_0__f_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13   10.25 ^ mprj/u_pinmux/clkbuf_1_0__f_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.03                           mprj/u_pinmux/clknet_1_0__leaf_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.05    0.00   10.25 ^ mprj/u_pinmux/_1131_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                         -0.25   10.00   clock uncertainty
+                          0.01   10.02   clock reconvergence pessimism
+                         -0.11    9.90   library setup time
+                                  9.90   data required time
+-----------------------------------------------------------------------------
+                                  9.90   data required time
+                                 -2.45   data arrival time
+-----------------------------------------------------------------------------
+                                  7.45   slack (MET)
+
+
+Startpoint: mprj/u_pinmux/_1125_
+            (rising edge-triggered flip-flop clocked by mdio_refclk)
+Endpoint: mprj/u_pinmux/_1127_
+          (rising edge-triggered flip-flop clocked by mdio_refclk)
+Path Group: mdio_refclk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_refclk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_ref_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    0.14 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_pinmux/clknet_0_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.04    0.00    0.14 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.14    0.28 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_pinmux/clknet_1_1__leaf_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.05    0.00    0.28 ^ mprj/u_pinmux/_1125_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.12    0.45    0.73 v mprj/u_pinmux/_1125_/Q (sky130_fd_sc_hd__dfrtp_1)
+     6    0.02                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.high_count[0] (net)
+                  0.12    0.00    0.73 v mprj/u_pinmux/_0451_/A (sky130_fd_sc_hd__or4_4)
+                  0.13    0.65    1.38 v mprj/u_pinmux/_0451_/X (sky130_fd_sc_hd__or4_4)
+     7    0.03                           mprj/u_pinmux/_0246_ (net)
+                  0.13    0.00    1.38 v mprj/u_pinmux/_0455_/C (sky130_fd_sc_hd__or4_1)
+                  0.13    0.58    1.96 v mprj/u_pinmux/_0455_/X (sky130_fd_sc_hd__or4_1)
+     2    0.01                           mprj/u_pinmux/_0250_ (net)
+                  0.13    0.00    1.96 v mprj/u_pinmux/fanout122/A (sky130_fd_sc_hd__buf_2)
+                  0.10    0.24    2.20 v mprj/u_pinmux/fanout122/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_pinmux/net122 (net)
+                  0.10    0.00    2.20 v mprj/u_pinmux/_0872_/A1 (sky130_fd_sc_hd__o211a_1)
+                  0.04    0.25    2.45 v mprj/u_pinmux/_0872_/X (sky130_fd_sc_hd__o211a_1)
+     1    0.00                           mprj/u_pinmux/_0236_ (net)
+                  0.04    0.00    2.45 v mprj/u_pinmux/_1127_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  2.45   data arrival time
+
+                         10.00   10.00   clock mdio_refclk (rise edge)
+                          0.00   10.00   clock source latency
+                  0.06    0.00   10.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_ref_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.06    0.00   10.00 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13   10.13 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_pinmux/clknet_0_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.04    0.00   10.13 ^ mprj/u_pinmux/clkbuf_1_0__f_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13   10.25 ^ mprj/u_pinmux/clkbuf_1_0__f_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.03                           mprj/u_pinmux/clknet_1_0__leaf_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.05    0.00   10.25 ^ mprj/u_pinmux/_1127_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   10.00   clock uncertainty
+                          0.01   10.02   clock reconvergence pessimism
+                         -0.11    9.91   library setup time
+                                  9.91   data required time
+-----------------------------------------------------------------------------
+                                  9.91   data required time
+                                 -2.45   data arrival time
+-----------------------------------------------------------------------------
+                                  7.46   slack (MET)
+
+
+Startpoint: mprj/u_pinmux/_1125_
+            (rising edge-triggered flip-flop clocked by mdio_refclk)
+Endpoint: mprj/u_pinmux/_1129_
+          (rising edge-triggered flip-flop clocked by mdio_refclk)
+Path Group: mdio_refclk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_refclk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_ref_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    0.14 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_pinmux/clknet_0_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.04    0.00    0.14 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.14    0.28 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_pinmux/clknet_1_1__leaf_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.05    0.00    0.28 ^ mprj/u_pinmux/_1125_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.12    0.45    0.73 v mprj/u_pinmux/_1125_/Q (sky130_fd_sc_hd__dfrtp_1)
+     6    0.02                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.high_count[0] (net)
+                  0.12    0.00    0.73 v mprj/u_pinmux/_0451_/A (sky130_fd_sc_hd__or4_4)
+                  0.13    0.65    1.38 v mprj/u_pinmux/_0451_/X (sky130_fd_sc_hd__or4_4)
+     7    0.03                           mprj/u_pinmux/_0246_ (net)
+                  0.13    0.00    1.38 v mprj/u_pinmux/_0455_/C (sky130_fd_sc_hd__or4_1)
+                  0.13    0.58    1.96 v mprj/u_pinmux/_0455_/X (sky130_fd_sc_hd__or4_1)
+     2    0.01                           mprj/u_pinmux/_0250_ (net)
+                  0.13    0.00    1.96 v mprj/u_pinmux/fanout122/A (sky130_fd_sc_hd__buf_2)
+                  0.10    0.24    2.20 v mprj/u_pinmux/fanout122/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_pinmux/net122 (net)
+                  0.10    0.00    2.20 v mprj/u_pinmux/_0880_/A1 (sky130_fd_sc_hd__o211a_1)
+                  0.04    0.24    2.44 v mprj/u_pinmux/_0880_/X (sky130_fd_sc_hd__o211a_1)
+     1    0.00                           mprj/u_pinmux/_0238_ (net)
+                  0.04    0.00    2.44 v mprj/u_pinmux/_1129_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  2.44   data arrival time
+
+                         10.00   10.00   clock mdio_refclk (rise edge)
+                          0.00   10.00   clock source latency
+                  0.06    0.00   10.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_ref_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.06    0.00   10.00 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13   10.13 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_pinmux/clknet_0_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.04    0.00   10.13 ^ mprj/u_pinmux/clkbuf_1_0__f_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13   10.25 ^ mprj/u_pinmux/clkbuf_1_0__f_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.03                           mprj/u_pinmux/clknet_1_0__leaf_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.05    0.00   10.25 ^ mprj/u_pinmux/_1129_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   10.00   clock uncertainty
+                          0.01   10.02   clock reconvergence pessimism
+                         -0.11    9.91   library setup time
+                                  9.91   data required time
+-----------------------------------------------------------------------------
+                                  9.91   data required time
+                                 -2.44   data arrival time
+-----------------------------------------------------------------------------
+                                  7.46   slack (MET)
+
+
+Startpoint: mprj/u_pinmux/_1125_
+            (rising edge-triggered flip-flop clocked by mdio_refclk)
+Endpoint: mprj/u_pinmux/_1064_
+          (rising edge-triggered flip-flop clocked by mdio_refclk)
+Path Group: mdio_refclk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_refclk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_ref_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    0.14 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_pinmux/clknet_0_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.04    0.00    0.14 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.14    0.28 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_pinmux/clknet_1_1__leaf_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.05    0.00    0.28 ^ mprj/u_pinmux/_1125_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.12    0.45    0.73 v mprj/u_pinmux/_1125_/Q (sky130_fd_sc_hd__dfrtp_1)
+     6    0.02                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.high_count[0] (net)
+                  0.12    0.00    0.73 v mprj/u_pinmux/_0451_/A (sky130_fd_sc_hd__or4_4)
+                  0.13    0.65    1.38 v mprj/u_pinmux/_0451_/X (sky130_fd_sc_hd__or4_4)
+     7    0.03                           mprj/u_pinmux/_0246_ (net)
+                  0.13    0.00    1.38 v mprj/u_pinmux/_0455_/C (sky130_fd_sc_hd__or4_1)
+                  0.13    0.58    1.96 v mprj/u_pinmux/_0455_/X (sky130_fd_sc_hd__or4_1)
+     2    0.01                           mprj/u_pinmux/_0250_ (net)
+                  0.13    0.00    1.96 v mprj/u_pinmux/fanout122/A (sky130_fd_sc_hd__buf_2)
+                  0.10    0.24    2.20 v mprj/u_pinmux/fanout122/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_pinmux/net122 (net)
+                  0.10    0.00    2.20 v mprj/u_pinmux/_0784_/A2 (sky130_fd_sc_hd__a21bo_1)
+                  0.04    0.22    2.42 v mprj/u_pinmux/_0784_/X (sky130_fd_sc_hd__a21bo_1)
+     1    0.00                           mprj/u_pinmux/_0173_ (net)
+                  0.04    0.00    2.42 v mprj/u_pinmux/_1064_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  2.42   data arrival time
+
+                         10.00   10.00   clock mdio_refclk (rise edge)
+                          0.00   10.00   clock source latency
+                  0.06    0.00   10.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_ref_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.06    0.00   10.00 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13   10.13 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_pinmux/clknet_0_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.04    0.00   10.13 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13   10.26 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_pinmux/clknet_1_1__leaf_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.05    0.00   10.26 ^ mprj/u_pinmux/_1064_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   10.01   clock uncertainty
+                          0.02   10.03   clock reconvergence pessimism
+                         -0.11    9.92   library setup time
+                                  9.92   data required time
+-----------------------------------------------------------------------------
+                                  9.92   data required time
+                                 -2.42   data arrival time
+-----------------------------------------------------------------------------
+                                  7.50   slack (MET)
+
+
+Startpoint: mprj/u_pinmux/_1061_
+            (rising edge-triggered flip-flop clocked by mdio_refclk)
+Endpoint: mprj/u_pinmux/_1132_
+          (rising edge-triggered flip-flop clocked by mdio_refclk)
+Path Group: mdio_refclk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_refclk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_ref_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    0.14 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_pinmux/clknet_0_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.04    0.00    0.14 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.14    0.28 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_pinmux/clknet_1_1__leaf_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.05    0.00    0.28 ^ mprj/u_pinmux/_1061_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.43    0.71 v mprj/u_pinmux/_1061_/Q (sky130_fd_sc_hd__dfrtp_1)
+     5    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.low_count[0] (net)
+                  0.09    0.00    0.71 v mprj/u_pinmux/_0457_/A (sky130_fd_sc_hd__or4_4)
+                  0.12    0.62    1.33 v mprj/u_pinmux/_0457_/X (sky130_fd_sc_hd__or4_4)
+     7    0.02                           mprj/u_pinmux/_0252_ (net)
+                  0.12    0.00    1.33 v mprj/u_pinmux/_0461_/C (sky130_fd_sc_hd__or4_2)
+                  0.16    0.75    2.08 v mprj/u_pinmux/_0461_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_pinmux/_0256_ (net)
+                  0.16    0.00    2.08 v mprj/u_pinmux/_0462_/B (sky130_fd_sc_hd__nand2_2)
+                  0.16    0.21    2.29 ^ mprj/u_pinmux/_0462_/Y (sky130_fd_sc_hd__nand2_2)
+     7    0.03                           mprj/u_pinmux/_0257_ (net)
+                  0.16    0.00    2.29 ^ mprj/u_pinmux/_0891_/A (sky130_fd_sc_hd__and2_1)
+                  0.05    0.16    2.44 ^ mprj/u_pinmux/_0891_/X (sky130_fd_sc_hd__and2_1)
+     1    0.00                           mprj/u_pinmux/_0241_ (net)
+                  0.05    0.00    2.44 ^ mprj/u_pinmux/_1132_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  2.44   data arrival time
+
+                         10.00   10.00   clock mdio_refclk (rise edge)
+                          0.00   10.00   clock source latency
+                  0.06    0.00   10.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_ref_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.06    0.00   10.00 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13   10.13 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_pinmux/clknet_0_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.04    0.00   10.13 ^ mprj/u_pinmux/clkbuf_1_0__f_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13   10.25 ^ mprj/u_pinmux/clkbuf_1_0__f_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.03                           mprj/u_pinmux/clknet_1_0__leaf_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.05    0.00   10.25 ^ mprj/u_pinmux/_1132_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   10.00   clock uncertainty
+                          0.01   10.02   clock reconvergence pessimism
+                         -0.06    9.95   library setup time
+                                  9.95   data required time
+-----------------------------------------------------------------------------
+                                  9.95   data required time
+                                 -2.44   data arrival time
+-----------------------------------------------------------------------------
+                                  7.51   slack (MET)
+
+
+Startpoint: mprj/u_pinmux/_1061_
+            (rising edge-triggered flip-flop clocked by mdio_refclk)
+Endpoint: mprj/u_pinmux/_1133_
+          (rising edge-triggered flip-flop clocked by mdio_refclk)
+Path Group: mdio_refclk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mdio_refclk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_ref_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.06    0.00    0.00 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14    0.14 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_pinmux/clknet_0_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.04    0.00    0.14 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.14    0.28 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_pinmux/clknet_1_1__leaf_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.05    0.00    0.28 ^ mprj/u_pinmux/_1061_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.09    0.43    0.71 v mprj/u_pinmux/_1061_/Q (sky130_fd_sc_hd__dfrtp_1)
+     5    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.low_count[0] (net)
+                  0.09    0.00    0.71 v mprj/u_pinmux/_0457_/A (sky130_fd_sc_hd__or4_4)
+                  0.12    0.62    1.33 v mprj/u_pinmux/_0457_/X (sky130_fd_sc_hd__or4_4)
+     7    0.02                           mprj/u_pinmux/_0252_ (net)
+                  0.12    0.00    1.33 v mprj/u_pinmux/_0461_/C (sky130_fd_sc_hd__or4_2)
+                  0.16    0.75    2.08 v mprj/u_pinmux/_0461_/X (sky130_fd_sc_hd__or4_2)
+     4    0.02                           mprj/u_pinmux/_0256_ (net)
+                  0.16    0.00    2.08 v mprj/u_pinmux/_0463_/A2 (sky130_fd_sc_hd__o21ai_1)
+                  0.10    0.16    2.24 ^ mprj/u_pinmux/_0463_/Y (sky130_fd_sc_hd__o21ai_1)
+     1    0.00                           mprj/u_pinmux/_0000_ (net)
+                  0.10    0.00    2.24 ^ mprj/u_pinmux/_1133_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  2.24   data arrival time
+
+                         10.00   10.00   clock mdio_refclk (rise edge)
+                          0.00   10.00   clock source latency
+                  0.06    0.00   10.00 ^ mprj/u_pinmux/u_clkgen.u_mdio_ref_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.06    0.00   10.00 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13   10.13 ^ mprj/u_pinmux/clkbuf_0_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_pinmux/clknet_0_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.04    0.00   10.13 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13   10.26 ^ mprj/u_pinmux/clkbuf_1_1__f_u_clkgen.U_CLK_MDIO.mclk/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_pinmux/clknet_1_1__leaf_u_clkgen.U_CLK_MDIO.mclk (net)
+                  0.05    0.00   10.26 ^ mprj/u_pinmux/_1133_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   10.01   clock uncertainty
+                          0.02   10.03   clock reconvergence pessimism
+                         -0.07    9.96   library setup time
+                                  9.96   data required time
+-----------------------------------------------------------------------------
+                                  9.96   data required time
+                                 -2.24   data arrival time
+-----------------------------------------------------------------------------
+                                  7.72   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_09875_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj_io[6] (output port clocked by pad_mac_tx_clk)
+Path Group: pad_mac_tx_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1   11.12                           mprj_io[5] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[5] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.14    0.01    6.75 ^ mprj/u_mac_wrap/clkbuf_4_10_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.17    6.92 ^ mprj/u_mac_wrap/clkbuf_4_10_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     6    0.02                           mprj/u_mac_wrap/clknet_4_10_0_phy_tx_clk (net)
+                  0.06    0.00    6.92 ^ mprj/u_mac_wrap/_09875_/CLK (sky130_fd_sc_hd__dfrtp_4)
+                  0.15    0.51    7.44 ^ mprj/u_mac_wrap/_09875_/Q (sky130_fd_sc_hd__dfrtp_4)
+     6    0.04                           mprj/u_mac_wrap/net116 (net)
+                  0.15    0.00    7.44 ^ mprj/u_mac_wrap/hold49/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.30    0.78    8.22 ^ mprj/u_mac_wrap/hold49/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     2    0.03                           mprj/u_mac_wrap/net886 (net)
+                  0.30    0.00    8.22 ^ mprj/u_mac_wrap/output116/A (sky130_fd_sc_hd__buf_2)
+                  0.05    0.19    8.40 ^ mprj/u_mac_wrap/output116/X (sky130_fd_sc_hd__buf_2)
+     1    0.01                           mprj/u_mac_wrap/net887 (net)
+                  0.05    0.00    8.40 ^ mprj/u_mac_wrap/hold50/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.35    0.79    9.19 ^ mprj/u_mac_wrap/hold50/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     2    0.04                           mprj/mac_tx_en (net)
+                  0.35    0.00    9.19 ^ mprj/u_pinmux/input12/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.23    0.33    9.52 ^ mprj/u_pinmux/input12/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.04                           mprj/u_pinmux/net12 (net)
+                  0.23    0.01    9.53 ^ mprj/u_pinmux/_1213_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.12    9.64 ^ mprj/u_pinmux/_1213_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_pinmux/net71 (net)
+                  0.04    0.00    9.64 ^ mprj/u_pinmux/output71/A (sky130_fd_sc_hd__buf_2)
+                  0.92    0.66   10.30 ^ mprj/u_pinmux/output71/X (sky130_fd_sc_hd__buf_2)
+     2    0.19                           mprj/io_out_int[6] (net)
+                  0.95    0.14   10.44 ^ mprj/u_rp_east/wire60/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.29   10.73 ^ mprj/u_rp_east/wire60/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_rp_east/net60 (net)
+                  0.16    0.02   10.75 ^ mprj/u_rp_east/u_rp[25].u_buf/A (sky130_fd_sc_hd__buf_2)
+                  0.04    0.14   10.90 ^ mprj/u_rp_east/u_rp[25].u_buf/X (sky130_fd_sc_hd__buf_2)
+     2    0.01                           user_io_out[6] (net)
+                  0.04    0.00   10.90 ^ gpio_control_in_1a[4]/_062_/B (sky130_fd_sc_hd__nand2b_2)
+                  0.07    0.08   10.98 v gpio_control_in_1a[4]/_062_/Y (sky130_fd_sc_hd__nand2b_2)
+     1    0.01                           gpio_control_in_1a[4]/_042_ (net)
+                  0.07    0.00   10.98 v gpio_control_in_1a[4]/_066_/B1 (sky130_fd_sc_hd__o21ai_4)
+                  0.16    0.09   11.06 ^ gpio_control_in_1a[4]/_066_/Y (sky130_fd_sc_hd__o21ai_4)
+     1    0.02                           gpio_control_in_1a[4]/net16 (net)
+                  0.16    0.00   11.06 ^ gpio_control_in_1a[4]/output16/A (sky130_fd_sc_hd__buf_16)
+                  0.06    0.15   11.21 ^ gpio_control_in_1a[4]/output16/X (sky130_fd_sc_hd__buf_16)
+     1    0.04                           mprj_io_out[6] (net)
+                  0.06    0.00   11.21 ^ padframe/mprj_pads.area1_io_pad[6]/OUT (sky130_ef_io__gpiov2_pad_wrapped)
+                  1.80    4.65   15.86 ^ padframe/mprj_pads.area1_io_pad[6]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     1   11.12                           mprj_io[6] (net)
+                  1.80    0.00   15.86 ^ mprj_io[6] (inout)
+     0   11.12                           mprj_io[6] (net)
+                                 15.86   data arrival time
+
+                         40.00   40.00   clock pad_mac_tx_clk (rise edge)
+                          0.00   40.00   clock network delay (propagated)
+                         -0.25   39.75   clock uncertainty
+                          0.00   39.75   clock reconvergence pessimism
+                        -20.00   19.75   output external delay
+                                 19.75   data required time
+-----------------------------------------------------------------------------
+                                 19.75   data required time
+                                -15.86   data arrival time
+-----------------------------------------------------------------------------
+                                  3.89   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08533_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj_io[11] (output port clocked by pad_mac_tx_clk)
+Path Group: pad_mac_tx_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1   11.12                           mprj_io[5] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[5] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.14    0.00    6.75 ^ mprj/u_mac_wrap/clkbuf_4_11_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.18    6.93 ^ mprj/u_mac_wrap/clkbuf_4_11_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     7    0.02                           mprj/u_mac_wrap/clknet_4_11_0_phy_tx_clk (net)
+                  0.06    0.00    6.93 ^ mprj/u_mac_wrap/_08533_/CLK (sky130_fd_sc_hd__dfrtp_4)
+                  0.13    0.49    7.42 ^ mprj/u_mac_wrap/_08533_/Q (sky130_fd_sc_hd__dfrtp_4)
+     6    0.04                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_mii_intf.U_dble_reg9.in_pulse (net)
+                  0.13    0.00    7.42 ^ mprj/u_mac_wrap/hold65/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.22    0.72    8.14 ^ mprj/u_mac_wrap/hold65/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     2    0.02                           mprj/u_mac_wrap/net902 (net)
+                  0.22    0.00    8.14 ^ mprj/u_mac_wrap/_10266_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.19    8.33 ^ mprj/u_mac_wrap/_10266_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_mac_wrap/net120 (net)
+                  0.13    0.00    8.33 ^ mprj/u_mac_wrap/hold66/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.09    0.62    8.95 ^ mprj/u_mac_wrap/hold66/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net903 (net)
+                  0.09    0.00    8.95 ^ mprj/u_mac_wrap/output120/A (sky130_fd_sc_hd__buf_2)
+                  0.19    0.24    9.18 ^ mprj/u_mac_wrap/output120/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/mac_txd[3] (net)
+                  0.19    0.00    9.19 ^ mprj/u_pinmux/input17/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.20    0.27    9.46 ^ mprj/u_pinmux/input17/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.04                           mprj/u_pinmux/net17 (net)
+                  0.20    0.00    9.46 ^ mprj/u_pinmux/_1218_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.18    9.64 ^ mprj/u_pinmux/_1218_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_pinmux/net67 (net)
+                  0.12    0.00    9.64 ^ mprj/u_pinmux/output67/A (sky130_fd_sc_hd__buf_2)
+                  1.02    0.73   10.37 ^ mprj/u_pinmux/output67/X (sky130_fd_sc_hd__buf_2)
+     2    0.21                           mprj/io_out_int[11] (net)
+                  1.06    0.17   10.55 ^ mprj/u_rp_east/u_rp[10].u_buf/A (sky130_fd_sc_hd__buf_2)
+                  0.06    0.27   10.81 ^ mprj/u_rp_east/u_rp[10].u_buf/X (sky130_fd_sc_hd__buf_2)
+     2    0.01                           user_io_out[11] (net)
+                  0.06    0.00   10.81 ^ gpio_control_in_1[3]/_062_/B (sky130_fd_sc_hd__nand2b_2)
+                  0.07    0.08   10.89 v gpio_control_in_1[3]/_062_/Y (sky130_fd_sc_hd__nand2b_2)
+     1    0.01                           gpio_control_in_1[3]/_042_ (net)
+                  0.07    0.00   10.90 v gpio_control_in_1[3]/_066_/B1 (sky130_fd_sc_hd__o21ai_4)
+                  0.16    0.09   10.98 ^ gpio_control_in_1[3]/_066_/Y (sky130_fd_sc_hd__o21ai_4)
+     1    0.02                           gpio_control_in_1[3]/net16 (net)
+                  0.16    0.00   10.98 ^ gpio_control_in_1[3]/output16/A (sky130_fd_sc_hd__buf_16)
+                  0.06    0.15   11.13 ^ gpio_control_in_1[3]/output16/X (sky130_fd_sc_hd__buf_16)
+     1    0.04                           mprj_io_out[11] (net)
+                  0.06    0.00   11.13 ^ padframe/mprj_pads.area1_io_pad[11]/OUT (sky130_ef_io__gpiov2_pad_wrapped)
+                  1.80    4.65   15.78 ^ padframe/mprj_pads.area1_io_pad[11]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     1   11.12                           mprj_io[11] (net)
+                  1.80    0.00   15.78 ^ mprj_io[11] (inout)
+     0   11.12                           mprj_io[11] (net)
+                                 15.78   data arrival time
+
+                         40.00   40.00   clock pad_mac_tx_clk (rise edge)
+                          0.00   40.00   clock network delay (propagated)
+                         -0.25   39.75   clock uncertainty
+                          0.00   39.75   clock reconvergence pessimism
+                        -20.00   19.75   output external delay
+                                 19.75   data required time
+-----------------------------------------------------------------------------
+                                 19.75   data required time
+                                -15.78   data arrival time
+-----------------------------------------------------------------------------
+                                  3.97   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08534_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj_io[8] (output port clocked by pad_mac_tx_clk)
+Path Group: pad_mac_tx_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1   11.12                           mprj_io[5] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[5] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.14    0.00    6.75 ^ mprj/u_mac_wrap/clkbuf_4_11_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.18    6.93 ^ mprj/u_mac_wrap/clkbuf_4_11_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     7    0.02                           mprj/u_mac_wrap/clknet_4_11_0_phy_tx_clk (net)
+                  0.06    0.00    6.93 ^ mprj/u_mac_wrap/_08534_/CLK (sky130_fd_sc_hd__dfrtp_4)
+                  0.11    0.47    7.40 ^ mprj/u_mac_wrap/_08534_/Q (sky130_fd_sc_hd__dfrtp_4)
+     4    0.03                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_mii_intf.U_dble_reg6.in_pulse (net)
+                  0.11    0.00    7.40 ^ mprj/u_mac_wrap/hold51/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.26    0.74    8.14 ^ mprj/u_mac_wrap/hold51/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     2    0.03                           mprj/u_mac_wrap/net888 (net)
+                  0.26    0.00    8.15 ^ mprj/u_mac_wrap/_10263_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.18    8.32 ^ mprj/u_mac_wrap/_10263_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_mac_wrap/net117 (net)
+                  0.11    0.00    8.32 ^ mprj/u_mac_wrap/hold52/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.10    0.61    8.94 ^ mprj/u_mac_wrap/hold52/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net889 (net)
+                  0.10    0.00    8.94 ^ mprj/u_mac_wrap/output117/A (sky130_fd_sc_hd__buf_2)
+                  0.19    0.24    9.18 ^ mprj/u_mac_wrap/output117/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/mac_txd[0] (net)
+                  0.19    0.00    9.18 ^ mprj/u_pinmux/input14/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.22    0.28    9.46 ^ mprj/u_pinmux/input14/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.04                           mprj/u_pinmux/net14 (net)
+                  0.22    0.00    9.46 ^ mprj/u_pinmux/_1215_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.16    9.62 ^ mprj/u_pinmux/_1215_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_pinmux/net73 (net)
+                  0.10    0.00    9.62 ^ mprj/u_pinmux/output73/A (sky130_fd_sc_hd__buf_2)
+                  0.88    0.66   10.28 ^ mprj/u_pinmux/output73/X (sky130_fd_sc_hd__buf_2)
+     2    0.18                           mprj/io_out_int[8] (net)
+                  0.91    0.13   10.41 ^ mprj/u_rp_east/u_rp[19].u_buf/A (sky130_fd_sc_hd__buf_2)
+                  0.06    0.26   10.67 ^ mprj/u_rp_east/u_rp[19].u_buf/X (sky130_fd_sc_hd__buf_2)
+     2    0.01                           user_io_out[8] (net)
+                  0.06    0.00   10.67 ^ gpio_control_in_1[0]/_062_/B (sky130_fd_sc_hd__nand2b_2)
+                  0.07    0.08   10.75 v gpio_control_in_1[0]/_062_/Y (sky130_fd_sc_hd__nand2b_2)
+     1    0.01                           gpio_control_in_1[0]/_042_ (net)
+                  0.07    0.00   10.75 v gpio_control_in_1[0]/_066_/B1 (sky130_fd_sc_hd__o21ai_4)
+                  0.16    0.09   10.84 ^ gpio_control_in_1[0]/_066_/Y (sky130_fd_sc_hd__o21ai_4)
+     1    0.02                           gpio_control_in_1[0]/net16 (net)
+                  0.16    0.00   10.84 ^ gpio_control_in_1[0]/output16/A (sky130_fd_sc_hd__buf_16)
+                  0.06    0.15   10.99 ^ gpio_control_in_1[0]/output16/X (sky130_fd_sc_hd__buf_16)
+     1    0.04                           mprj_io_out[8] (net)
+                  0.06    0.00   10.99 ^ padframe/mprj_pads.area1_io_pad[8]/OUT (sky130_ef_io__gpiov2_pad_wrapped)
+                  1.80    4.65   15.64 ^ padframe/mprj_pads.area1_io_pad[8]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     1   11.12                           mprj_io[8] (net)
+                  1.80    0.00   15.64 ^ mprj_io[8] (inout)
+     0   11.12                           mprj_io[8] (net)
+                                 15.64   data arrival time
+
+                         40.00   40.00   clock pad_mac_tx_clk (rise edge)
+                          0.00   40.00   clock network delay (propagated)
+                         -0.25   39.75   clock uncertainty
+                          0.00   39.75   clock reconvergence pessimism
+                        -20.00   19.75   output external delay
+                                 19.75   data required time
+-----------------------------------------------------------------------------
+                                 19.75   data required time
+                                -15.64   data arrival time
+-----------------------------------------------------------------------------
+                                  4.11   slack (MET)
+
+
+Startpoint: mprj/u_mac_wrap/_08532_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj_io[10] (output port clocked by pad_mac_tx_clk)
+Path Group: pad_mac_tx_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1   11.12                           mprj_io[5] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[5] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.14    0.00    6.75 ^ mprj/u_mac_wrap/clkbuf_4_11_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.18    6.93 ^ mprj/u_mac_wrap/clkbuf_4_11_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     7    0.02                           mprj/u_mac_wrap/clknet_4_11_0_phy_tx_clk (net)
+                  0.06    0.00    6.93 ^ mprj/u_mac_wrap/_08532_/CLK (sky130_fd_sc_hd__dfrtp_4)
+                  0.13    0.49    7.42 ^ mprj/u_mac_wrap/_08532_/Q (sky130_fd_sc_hd__dfrtp_4)
+     6    0.04                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_mii_intf.U_dble_reg8.in_pulse (net)
+                  0.13    0.00    7.42 ^ mprj/u_mac_wrap/hold63/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.26    0.75    8.17 ^ mprj/u_mac_wrap/hold63/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     2    0.03                           mprj/u_mac_wrap/net900 (net)
+                  0.26    0.00    8.17 ^ mprj/u_mac_wrap/_10265_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.20    8.37 ^ mprj/u_mac_wrap/_10265_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_mac_wrap/net119 (net)
+                  0.14    0.00    8.37 ^ mprj/u_mac_wrap/hold64/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.11    0.63    9.00 ^ mprj/u_mac_wrap/hold64/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net901 (net)
+                  0.11    0.00    9.00 ^ mprj/u_mac_wrap/output119/A (sky130_fd_sc_hd__buf_2)
+                  0.20    0.25    9.25 ^ mprj/u_mac_wrap/output119/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/mac_txd[2] (net)
+                  0.20    0.00    9.25 ^ mprj/u_pinmux/input16/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.22    0.28    9.53 ^ mprj/u_pinmux/input16/X (sky130_fd_sc_hd__clkbuf_2)
      2    0.04                           mprj/u_pinmux/net16 (net)
-                  0.22    0.00   41.74 ^ mprj/u_pinmux/_929_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.15   41.89 ^ mprj/u_pinmux/_929_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_pinmux/net87 (net)
-                  0.10    0.00   41.89 ^ mprj/u_pinmux/output87/A (sky130_fd_sc_hd__buf_2)
-                  0.43    0.37   42.26 ^ mprj/u_pinmux/output87/X (sky130_fd_sc_hd__buf_2)
-     2    0.09                           mprj/mac_tx_clk (net)
-                  0.43    0.01   42.28 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.17    0.32   42.59 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.16                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
-                  0.17    0.00   42.60 ^ mprj/u_mac_wrap/clkbuf_4_6_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.10    0.21   42.81 ^ mprj/u_mac_wrap/clkbuf_4_6_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    20    0.05                           mprj/u_mac_wrap/clknet_4_6_0_phy_tx_clk (net)
-                  0.10    0.00   42.81 ^ mprj/u_mac_wrap/_09367_/CLK (sky130_fd_sc_hd__dfstp_1)
-                         -0.25   42.56   clock uncertainty
-                          2.32   44.87   clock reconvergence pessimism
-                         -0.05   44.83   library setup time
-                                 44.83   data required time
+                  0.22    0.00    9.54 ^ mprj/u_pinmux/_1217_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.18    9.71 ^ mprj/u_pinmux/_1217_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_pinmux/net66 (net)
+                  0.12    0.00    9.71 ^ mprj/u_pinmux/output66/A (sky130_fd_sc_hd__buf_2)
+                  0.65    0.54   10.25 ^ mprj/u_pinmux/output66/X (sky130_fd_sc_hd__buf_2)
+     2    0.13                           mprj/io_out_int[10] (net)
+                  0.67    0.08   10.33 ^ mprj/u_rp_east/u_rp[13].u_buf/A (sky130_fd_sc_hd__buf_2)
+                  0.06    0.24   10.57 ^ mprj/u_rp_east/u_rp[13].u_buf/X (sky130_fd_sc_hd__buf_2)
+     2    0.01                           user_io_out[10] (net)
+                  0.06    0.00   10.57 ^ gpio_control_in_1[2]/_062_/B (sky130_fd_sc_hd__nand2b_2)
+                  0.07    0.08   10.65 v gpio_control_in_1[2]/_062_/Y (sky130_fd_sc_hd__nand2b_2)
+     1    0.01                           gpio_control_in_1[2]/_042_ (net)
+                  0.07    0.00   10.65 v gpio_control_in_1[2]/_066_/B1 (sky130_fd_sc_hd__o21ai_4)
+                  0.16    0.09   10.74 ^ gpio_control_in_1[2]/_066_/Y (sky130_fd_sc_hd__o21ai_4)
+     1    0.02                           gpio_control_in_1[2]/net16 (net)
+                  0.16    0.00   10.74 ^ gpio_control_in_1[2]/output16/A (sky130_fd_sc_hd__buf_16)
+                  0.06    0.15   10.89 ^ gpio_control_in_1[2]/output16/X (sky130_fd_sc_hd__buf_16)
+     1    0.04                           mprj_io_out[10] (net)
+                  0.06    0.00   10.89 ^ padframe/mprj_pads.area1_io_pad[10]/OUT (sky130_ef_io__gpiov2_pad_wrapped)
+                  1.80    4.65   15.54 ^ padframe/mprj_pads.area1_io_pad[10]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     1   11.12                           mprj_io[10] (net)
+                  1.80    0.00   15.54 ^ mprj_io[10] (inout)
+     0   11.12                           mprj_io[10] (net)
+                                 15.54   data arrival time
+
+                         40.00   40.00   clock pad_mac_tx_clk (rise edge)
+                          0.00   40.00   clock network delay (propagated)
+                         -0.25   39.75   clock uncertainty
+                          0.00   39.75   clock reconvergence pessimism
+                        -20.00   19.75   output external delay
+                                 19.75   data required time
 -----------------------------------------------------------------------------
-                                 44.83   data required time
-                                 -9.53   data arrival time
+                                 19.75   data required time
+                                -15.54   data arrival time
 -----------------------------------------------------------------------------
-                                 35.30   slack (MET)
+                                  4.21   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_6447_
+Startpoint: mprj/u_mac_wrap/_08535_
+            (rising edge-triggered flip-flop clocked by mac_tx_clk)
+Endpoint: mprj_io[9] (output port clocked by pad_mac_tx_clk)
+Path Group: pad_mac_tx_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock mac_tx_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ mprj_io[5] (inout)
+     1   11.12                           mprj_io[5] (net)
+                  4.00    0.00    0.00 ^ padframe/mprj_pads.area1_io_pad[5]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0   11.12                           mprj_io[5] (net)
+                  0.07    3.31    3.31 ^ padframe/mprj_pads.area1_io_pad[5]/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     2    0.00                           mprj_io_in[5] (net)
+                  0.07    0.00    3.31 ^ gpio_control_in_1a[3]/input3/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.11    3.42 ^ gpio_control_in_1a[3]/input3/X (sky130_fd_sc_hd__buf_2)
+     2    0.00                           gpio_control_in_1a[3]/net3 (net)
+                  0.03    0.00    3.42 ^ gpio_control_in_1a[3]/_067_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.22    3.64 ^ gpio_control_in_1a[3]/_067_/X (sky130_fd_sc_hd__and2_2)
+     1    0.03                           gpio_control_in_1a[3]/net22 (net)
+                  0.15    0.00    3.64 ^ gpio_control_in_1a[3]/output22/A (sky130_fd_sc_hd__buf_16)
+                  0.03    0.12    3.76 ^ gpio_control_in_1a[3]/output22/X (sky130_fd_sc_hd__buf_16)
+     2    0.00                           user_io_in[5] (net)
+                  0.03    0.00    3.76 ^ mprj/u_rp_east/u_rp[29].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17    3.93 ^ mprj/u_rp_east/u_rp[29].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_rp_east/net21 (net)
+                  0.13    0.00    3.93 ^ mprj/u_rp_east/wire22/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.29    4.22 ^ mprj/u_rp_east/wire22/X (sky130_fd_sc_hd__buf_4)
+     2    0.09                           mprj/u_rp_east/net22 (net)
+                  0.25    0.02    4.24 ^ mprj/u_rp_east/wire21/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.46    4.70 ^ mprj/u_rp_east/wire21/X (sky130_fd_sc_hd__buf_6)
+     2    0.26                           mprj/ch_out_east[29] (net)
+                  0.55    0.10    4.80 ^ mprj/u_pinmux/input11/A (sky130_fd_sc_hd__buf_2)
+                  0.23    0.36    5.17 ^ mprj/u_pinmux/input11/X (sky130_fd_sc_hd__buf_2)
+     6    0.05                           mprj/u_pinmux/net11 (net)
+                  0.23    0.00    5.17 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.18    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l00/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.X0 (net)
+                  0.05    0.00    5.35 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/A0 (sky130_fd_sc_hd__mux2_4)
+                  0.06    0.16    5.52 ^ mprj/u_pinmux/u_clkgen.u_mac_txclk_mux.u_mux_l10/X (sky130_fd_sc_hd__mux2_4)
+     1    0.01                           mprj/u_pinmux/net83 (net)
+                  0.06    0.00    5.52 ^ mprj/u_pinmux/output83/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.56    0.46    5.97 ^ mprj/u_pinmux/output83/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.05                           mprj/mac_tx_clk (net)
+                  0.56    0.01    5.98 ^ mprj/u_mac_wrap/wire4/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.36    6.34 ^ mprj/u_mac_wrap/wire4/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mac_wrap/net833 (net)
+                  0.22    0.01    6.35 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.22    6.56 ^ mprj/u_mac_wrap/clkbuf_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.05                           mprj/u_mac_wrap/clknet_0_phy_tx_clk (net)
+                  0.07    0.00    6.56 ^ mprj/u_mac_wrap/max_length6/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18    6.74 ^ mprj/u_mac_wrap/max_length6/X (sky130_fd_sc_hd__buf_6)
+    14    0.07                           mprj/u_mac_wrap/net835 (net)
+                  0.14    0.01    6.75 ^ mprj/u_mac_wrap/clkbuf_4_10_0_phy_tx_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.17    6.92 ^ mprj/u_mac_wrap/clkbuf_4_10_0_phy_tx_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     6    0.02                           mprj/u_mac_wrap/clknet_4_10_0_phy_tx_clk (net)
+                  0.06    0.00    6.92 ^ mprj/u_mac_wrap/_08535_/CLK (sky130_fd_sc_hd__dfrtp_4)
+                  0.11    0.48    7.40 ^ mprj/u_mac_wrap/_08535_/Q (sky130_fd_sc_hd__dfrtp_4)
+     4    0.03                           mprj/u_mac_wrap/u_eth_dut.u_mac_core.u_mii_intf.U_dble_reg7.in_pulse (net)
+                  0.11    0.00    7.40 ^ mprj/u_mac_wrap/hold55/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.23    0.72    8.12 ^ mprj/u_mac_wrap/hold55/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     2    0.02                           mprj/u_mac_wrap/net892 (net)
+                  0.23    0.00    8.13 ^ mprj/u_mac_wrap/_10264_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.14    0.19    8.32 ^ mprj/u_mac_wrap/_10264_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_mac_wrap/net118 (net)
+                  0.14    0.00    8.32 ^ mprj/u_mac_wrap/hold56/A (sky130_fd_sc_hd__dlygate4sd3_1)
+                  0.13    0.65    8.97 ^ mprj/u_mac_wrap/hold56/X (sky130_fd_sc_hd__dlygate4sd3_1)
+     1    0.01                           mprj/u_mac_wrap/net893 (net)
+                  0.13    0.00    8.97 ^ mprj/u_mac_wrap/output118/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.27    9.24 ^ mprj/u_mac_wrap/output118/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/mac_txd[1] (net)
+                  0.22    0.01    9.25 ^ mprj/u_pinmux/input15/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.21    0.28    9.53 ^ mprj/u_pinmux/input15/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.04                           mprj/u_pinmux/net15 (net)
+                  0.21    0.00    9.53 ^ mprj/u_pinmux/_1216_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.10    0.16    9.69 ^ mprj/u_pinmux/_1216_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_pinmux/net74 (net)
+                  0.10    0.00    9.69 ^ mprj/u_pinmux/output74/A (sky130_fd_sc_hd__buf_2)
+                  0.66    0.53   10.23 ^ mprj/u_pinmux/output74/X (sky130_fd_sc_hd__buf_2)
+     2    0.14                           mprj/io_out_int[9] (net)
+                  0.67    0.08   10.30 ^ mprj/u_rp_east/u_rp[16].u_buf/A (sky130_fd_sc_hd__buf_2)
+                  0.06    0.24   10.54 ^ mprj/u_rp_east/u_rp[16].u_buf/X (sky130_fd_sc_hd__buf_2)
+     2    0.01                           user_io_out[9] (net)
+                  0.06    0.00   10.54 ^ gpio_control_in_1[1]/_062_/B (sky130_fd_sc_hd__nand2b_2)
+                  0.07    0.08   10.62 v gpio_control_in_1[1]/_062_/Y (sky130_fd_sc_hd__nand2b_2)
+     1    0.01                           gpio_control_in_1[1]/_042_ (net)
+                  0.07    0.00   10.62 v gpio_control_in_1[1]/_066_/B1 (sky130_fd_sc_hd__o21ai_4)
+                  0.16    0.09   10.71 ^ gpio_control_in_1[1]/_066_/Y (sky130_fd_sc_hd__o21ai_4)
+     1    0.02                           gpio_control_in_1[1]/net16 (net)
+                  0.16    0.00   10.71 ^ gpio_control_in_1[1]/output16/A (sky130_fd_sc_hd__buf_16)
+                  0.06    0.15   10.86 ^ gpio_control_in_1[1]/output16/X (sky130_fd_sc_hd__buf_16)
+     1    0.04                           mprj_io_out[9] (net)
+                  0.06    0.00   10.86 ^ padframe/mprj_pads.area1_io_pad[9]/OUT (sky130_ef_io__gpiov2_pad_wrapped)
+                  1.80    4.65   15.51 ^ padframe/mprj_pads.area1_io_pad[9]/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     1   11.12                           mprj_io[9] (net)
+                  1.80    0.00   15.51 ^ mprj_io[9] (inout)
+     0   11.12                           mprj_io[9] (net)
+                                 15.51   data arrival time
+
+                         40.00   40.00   clock pad_mac_tx_clk (rise edge)
+                          0.00   40.00   clock network delay (propagated)
+                         -0.25   39.75   clock uncertainty
+                          0.00   39.75   clock reconvergence pessimism
+                        -20.00   19.75   output external delay
+                                 19.75   data required time
+-----------------------------------------------------------------------------
+                                 19.75   data required time
+                                -15.51   data arrival time
+-----------------------------------------------------------------------------
+                                  4.24   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6444_
+            (rising edge-triggered flip-flop clocked by uart_clk)
+Endpoint: mprj/u_wb_host/_6285_
+          (rising edge-triggered flip-flop clocked by uart_clk)
+Path Group: uart_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.00    0.62 ^ mprj/u_wb_host/clkbuf_leaf_15_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    0.88 ^ mprj/u_wb_host/clkbuf_leaf_15_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_15_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.88 ^ mprj/u_wb_host/_6444_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.11    0.47    1.35 v mprj/u_wb_host/_6444_/Q (sky130_fd_sc_hd__dfrtp_1)
+     5    0.02                           mprj/u_wb_host/u_uart2wb.rx_data[7] (net)
+                  0.11    0.00    1.35 v mprj/u_wb_host/_4284_/B (sky130_fd_sc_hd__or3_1)
+                  0.11    0.43    1.79 v mprj/u_wb_host/_4284_/X (sky130_fd_sc_hd__or3_1)
+     4    0.01                           mprj/u_wb_host/_2174_ (net)
+                  0.11    0.00    1.79 v mprj/u_wb_host/_4287_/B (sky130_fd_sc_hd__or4_2)
+                  0.20    0.85    2.63 v mprj/u_wb_host/_4287_/X (sky130_fd_sc_hd__or4_2)
+    10    0.04                           mprj/u_wb_host/_2177_ (net)
+                  0.20    0.00    2.63 v mprj/u_wb_host/fanout453/A (sky130_fd_sc_hd__buf_2)
+                  0.14    0.30    2.93 v mprj/u_wb_host/fanout453/X (sky130_fd_sc_hd__buf_2)
+    20    0.06                           mprj/u_wb_host/net453 (net)
+                  0.14    0.00    2.94 v mprj/u_wb_host/_4875_/A1 (sky130_fd_sc_hd__a2111o_1)
+                  0.10    0.46    3.40 v mprj/u_wb_host/_4875_/X (sky130_fd_sc_hd__a2111o_1)
+     2    0.01                           mprj/u_wb_host/_2373_ (net)
+                  0.10    0.00    3.40 v mprj/u_wb_host/_4895_/B (sky130_fd_sc_hd__nand3_1)
+                  0.08    0.11    3.51 ^ mprj/u_wb_host/_4895_/Y (sky130_fd_sc_hd__nand3_1)
+     1    0.00                           mprj/u_wb_host/_2392_ (net)
+                  0.08    0.00    3.51 ^ mprj/u_wb_host/_4896_/B (sky130_fd_sc_hd__or3b_4)
+                  0.17    0.23    3.74 ^ mprj/u_wb_host/_4896_/X (sky130_fd_sc_hd__or3b_4)
+     2    0.05                           mprj/u_wb_host/_2393_ (net)
+                  0.17    0.01    3.75 ^ mprj/u_wb_host/fanout335/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.29    4.04 ^ mprj/u_wb_host/fanout335/X (sky130_fd_sc_hd__buf_2)
+    12    0.05                           mprj/u_wb_host/net335 (net)
+                  0.22    0.00    4.04 ^ mprj/u_wb_host/_4897_/B (sky130_fd_sc_hd__nor2_1)
+                  0.13    0.18    4.22 v mprj/u_wb_host/_4897_/Y (sky130_fd_sc_hd__nor2_1)
+     4    0.03                           mprj/u_wb_host/_2394_ (net)
+                  0.13    0.00    4.22 v mprj/u_wb_host/fanout332/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.13    0.28    4.49 v mprj/u_wb_host/fanout332/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.07                           mprj/u_wb_host/net332 (net)
+                  0.13    0.00    4.50 v mprj/u_wb_host/fanout331/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.10    0.25    4.74 v mprj/u_wb_host/fanout331/X (sky130_fd_sc_hd__clkbuf_4)
+    10    0.04                           mprj/u_wb_host/net331 (net)
+                  0.10    0.00    4.74 v mprj/u_wb_host/_4900_/B1 (sky130_fd_sc_hd__a22o_1)
+                  0.04    0.21    4.95 v mprj/u_wb_host/_4900_/X (sky130_fd_sc_hd__a22o_1)
+     1    0.00                           mprj/u_wb_host/_0805_ (net)
+                  0.04    0.00    4.95 v mprj/u_wb_host/_6285_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  4.95   data arrival time
+
+                        100.00  100.00   clock uart_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14  100.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00  100.14 ^ mprj/u_wb_host/clkbuf_1_0_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12  100.26 ^ mprj/u_wb_host/clkbuf_1_0_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00  100.26 ^ mprj/u_wb_host/clkbuf_1_0_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.25    0.26  100.52 ^ mprj/u_wb_host/clkbuf_1_0_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.14                           mprj/u_wb_host/clknet_1_0_1_u_uart2wb.baud_clk_16x (net)
+                  0.25    0.01  100.53 ^ mprj/u_wb_host/clkbuf_leaf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.23  100.76 ^ mprj/u_wb_host/clkbuf_leaf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_0_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00  100.76 ^ mprj/u_wb_host/_6285_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25  100.51   clock uncertainty
+                          0.01  100.52   clock reconvergence pessimism
+                         -0.10  100.42   library setup time
+                                100.42   data required time
+-----------------------------------------------------------------------------
+                                100.42   data required time
+                                 -4.95   data arrival time
+-----------------------------------------------------------------------------
+                                 95.47   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6444_
             (rising edge-triggered flip-flop clocked by uart_clk)
 Endpoint: mprj/u_wb_host/_6292_
           (rising edge-triggered flip-flop clocked by uart_clk)
@@ -146781,1118 +172791,90 @@
 -----------------------------------------------------------------------------
                           0.00    0.00   clock uart_clk (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.17    0.17 ^ mprj/u_wb_host/_6447_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.14    0.79    0.96 v mprj/u_wb_host/_6447_/Q (sky130_fd_sc_hd__dfrtp_1)
-    10    0.03                           mprj/u_wb_host/u_uart2wb.rx_data[4] (net)
-                  0.14    0.00    0.96 v mprj/u_wb_host/_4296_/A (sky130_fd_sc_hd__or3_2)
-                  0.13    0.61    1.57 v mprj/u_wb_host/_4296_/X (sky130_fd_sc_hd__or3_2)
-     6    0.02                           mprj/u_wb_host/_2183_ (net)
-                  0.14    0.00    1.57 v mprj/u_wb_host/_4299_/B (sky130_fd_sc_hd__or3_2)
-                  0.13    0.58    2.15 v mprj/u_wb_host/_4299_/X (sky130_fd_sc_hd__or3_2)
-     6    0.02                           mprj/u_wb_host/_2186_ (net)
-                  0.13    0.00    2.15 v mprj/u_wb_host/_4300_/C (sky130_fd_sc_hd__and3_1)
-                  0.14    0.32    2.47 v mprj/u_wb_host/_4300_/X (sky130_fd_sc_hd__and3_1)
-     8    0.03                           mprj/u_wb_host/_2187_ (net)
-                  0.14    0.00    2.47 v mprj/u_wb_host/_4904_/A (sky130_fd_sc_hd__or3_1)
-                  0.07    0.41    2.88 v mprj/u_wb_host/_4904_/X (sky130_fd_sc_hd__or3_1)
-     1    0.00                           mprj/u_wb_host/_2398_ (net)
-                  0.07    0.00    2.88 v mprj/u_wb_host/_4905_/A (sky130_fd_sc_hd__or3b_4)
-                  0.30    0.66    3.55 v mprj/u_wb_host/_4905_/X (sky130_fd_sc_hd__or3b_4)
-    42    0.19                           mprj/u_wb_host/_2399_ (net)
-                  0.31    0.04    3.59 v mprj/u_wb_host/_4906_/B (sky130_fd_sc_hd__nor2_8)
-                  0.44    0.47    4.06 ^ mprj/u_wb_host/_4906_/Y (sky130_fd_sc_hd__nor2_8)
-    40    0.12                           mprj/u_wb_host/_2400_ (net)
-                  0.44    0.01    4.07 ^ mprj/u_wb_host/_4912_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.06    0.21    4.28 ^ mprj/u_wb_host/_4912_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_wb_host/_0806_ (net)
-                  0.06    0.00    4.28 ^ mprj/u_wb_host/_6292_/D (sky130_fd_sc_hd__dfrtp_2)
-                                  4.28   data arrival time
-
-                        100.00  100.00   clock uart_clk (rise edge)
-                          0.00  100.00   clock source latency
-                  2.27    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.17  100.17 ^ mprj/u_wb_host/_6292_/CLK (sky130_fd_sc_hd__dfrtp_2)
-                         -0.25   99.92   clock uncertainty
-                          0.00   99.92   clock reconvergence pessimism
-                          0.06   99.98   library setup time
-                                 99.98   data required time
------------------------------------------------------------------------------
-                                 99.98   data required time
-                                 -4.28   data arrival time
------------------------------------------------------------------------------
-                                 95.70   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6447_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6300_
-          (rising edge-triggered flip-flop clocked by uart_clk)
-Path Group: uart_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.17    0.17 ^ mprj/u_wb_host/_6447_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.14    0.79    0.96 v mprj/u_wb_host/_6447_/Q (sky130_fd_sc_hd__dfrtp_1)
-    10    0.03                           mprj/u_wb_host/u_uart2wb.rx_data[4] (net)
-                  0.14    0.00    0.96 v mprj/u_wb_host/_4296_/A (sky130_fd_sc_hd__or3_2)
-                  0.13    0.61    1.57 v mprj/u_wb_host/_4296_/X (sky130_fd_sc_hd__or3_2)
-     6    0.02                           mprj/u_wb_host/_2183_ (net)
-                  0.14    0.00    1.57 v mprj/u_wb_host/_4299_/B (sky130_fd_sc_hd__or3_2)
-                  0.13    0.58    2.15 v mprj/u_wb_host/_4299_/X (sky130_fd_sc_hd__or3_2)
-     6    0.02                           mprj/u_wb_host/_2186_ (net)
-                  0.13    0.00    2.15 v mprj/u_wb_host/_4300_/C (sky130_fd_sc_hd__and3_1)
-                  0.14    0.32    2.47 v mprj/u_wb_host/_4300_/X (sky130_fd_sc_hd__and3_1)
-     8    0.03                           mprj/u_wb_host/_2187_ (net)
-                  0.14    0.00    2.47 v mprj/u_wb_host/_4904_/A (sky130_fd_sc_hd__or3_1)
-                  0.07    0.41    2.88 v mprj/u_wb_host/_4904_/X (sky130_fd_sc_hd__or3_1)
-     1    0.00                           mprj/u_wb_host/_2398_ (net)
-                  0.07    0.00    2.88 v mprj/u_wb_host/_4905_/A (sky130_fd_sc_hd__or3b_4)
-                  0.30    0.66    3.55 v mprj/u_wb_host/_4905_/X (sky130_fd_sc_hd__or3b_4)
-    42    0.19                           mprj/u_wb_host/_2399_ (net)
-                  0.31    0.04    3.59 v mprj/u_wb_host/_4906_/B (sky130_fd_sc_hd__nor2_8)
-                  0.44    0.47    4.06 ^ mprj/u_wb_host/_4906_/Y (sky130_fd_sc_hd__nor2_8)
-    40    0.12                           mprj/u_wb_host/_2400_ (net)
-                  0.44    0.01    4.07 ^ mprj/u_wb_host/_4920_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.06    0.21    4.28 ^ mprj/u_wb_host/_4920_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_wb_host/_0814_ (net)
-                  0.06    0.00    4.28 ^ mprj/u_wb_host/_6300_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  4.28   data arrival time
-
-                        100.00  100.00   clock uart_clk (rise edge)
-                          0.00  100.00   clock source latency
-                  2.27    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.17  100.17 ^ mprj/u_wb_host/_6300_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   99.92   clock uncertainty
-                          0.00   99.92   clock reconvergence pessimism
-                          0.06   99.98   library setup time
-                                 99.98   data required time
------------------------------------------------------------------------------
-                                 99.98   data required time
-                                 -4.28   data arrival time
------------------------------------------------------------------------------
-                                 95.70   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6447_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6295_
-          (rising edge-triggered flip-flop clocked by uart_clk)
-Path Group: uart_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.17    0.17 ^ mprj/u_wb_host/_6447_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.14    0.79    0.96 v mprj/u_wb_host/_6447_/Q (sky130_fd_sc_hd__dfrtp_1)
-    10    0.03                           mprj/u_wb_host/u_uart2wb.rx_data[4] (net)
-                  0.14    0.00    0.96 v mprj/u_wb_host/_4296_/A (sky130_fd_sc_hd__or3_2)
-                  0.13    0.61    1.57 v mprj/u_wb_host/_4296_/X (sky130_fd_sc_hd__or3_2)
-     6    0.02                           mprj/u_wb_host/_2183_ (net)
-                  0.14    0.00    1.57 v mprj/u_wb_host/_4299_/B (sky130_fd_sc_hd__or3_2)
-                  0.13    0.58    2.15 v mprj/u_wb_host/_4299_/X (sky130_fd_sc_hd__or3_2)
-     6    0.02                           mprj/u_wb_host/_2186_ (net)
-                  0.13    0.00    2.15 v mprj/u_wb_host/_4300_/C (sky130_fd_sc_hd__and3_1)
-                  0.14    0.32    2.47 v mprj/u_wb_host/_4300_/X (sky130_fd_sc_hd__and3_1)
-     8    0.03                           mprj/u_wb_host/_2187_ (net)
-                  0.14    0.00    2.47 v mprj/u_wb_host/_4904_/A (sky130_fd_sc_hd__or3_1)
-                  0.07    0.41    2.88 v mprj/u_wb_host/_4904_/X (sky130_fd_sc_hd__or3_1)
-     1    0.00                           mprj/u_wb_host/_2398_ (net)
-                  0.07    0.00    2.88 v mprj/u_wb_host/_4905_/A (sky130_fd_sc_hd__or3b_4)
-                  0.30    0.66    3.55 v mprj/u_wb_host/_4905_/X (sky130_fd_sc_hd__or3b_4)
-    42    0.19                           mprj/u_wb_host/_2399_ (net)
-                  0.31    0.04    3.59 v mprj/u_wb_host/_4906_/B (sky130_fd_sc_hd__nor2_8)
-                  0.44    0.47    4.06 ^ mprj/u_wb_host/_4906_/Y (sky130_fd_sc_hd__nor2_8)
-    40    0.12                           mprj/u_wb_host/_2400_ (net)
-                  0.44    0.01    4.07 ^ mprj/u_wb_host/_4915_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.05    0.20    4.27 ^ mprj/u_wb_host/_4915_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_wb_host/_0809_ (net)
-                  0.05    0.00    4.27 ^ mprj/u_wb_host/_6295_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  4.27   data arrival time
-
-                        100.00  100.00   clock uart_clk (rise edge)
-                          0.00  100.00   clock source latency
-                  2.27    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.16  100.16 ^ mprj/u_wb_host/_6295_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   99.91   clock uncertainty
-                          0.00   99.91   clock reconvergence pessimism
-                          0.07   99.98   library setup time
-                                 99.98   data required time
------------------------------------------------------------------------------
-                                 99.98   data required time
-                                 -4.27   data arrival time
------------------------------------------------------------------------------
-                                 95.71   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6447_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6305_
-          (rising edge-triggered flip-flop clocked by uart_clk)
-Path Group: uart_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.17    0.17 ^ mprj/u_wb_host/_6447_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.14    0.79    0.96 v mprj/u_wb_host/_6447_/Q (sky130_fd_sc_hd__dfrtp_1)
-    10    0.03                           mprj/u_wb_host/u_uart2wb.rx_data[4] (net)
-                  0.14    0.00    0.96 v mprj/u_wb_host/_4296_/A (sky130_fd_sc_hd__or3_2)
-                  0.13    0.61    1.57 v mprj/u_wb_host/_4296_/X (sky130_fd_sc_hd__or3_2)
-     6    0.02                           mprj/u_wb_host/_2183_ (net)
-                  0.14    0.00    1.57 v mprj/u_wb_host/_4299_/B (sky130_fd_sc_hd__or3_2)
-                  0.13    0.58    2.15 v mprj/u_wb_host/_4299_/X (sky130_fd_sc_hd__or3_2)
-     6    0.02                           mprj/u_wb_host/_2186_ (net)
-                  0.13    0.00    2.15 v mprj/u_wb_host/_4300_/C (sky130_fd_sc_hd__and3_1)
-                  0.14    0.32    2.47 v mprj/u_wb_host/_4300_/X (sky130_fd_sc_hd__and3_1)
-     8    0.03                           mprj/u_wb_host/_2187_ (net)
-                  0.14    0.00    2.47 v mprj/u_wb_host/_4904_/A (sky130_fd_sc_hd__or3_1)
-                  0.07    0.41    2.88 v mprj/u_wb_host/_4904_/X (sky130_fd_sc_hd__or3_1)
-     1    0.00                           mprj/u_wb_host/_2398_ (net)
-                  0.07    0.00    2.88 v mprj/u_wb_host/_4905_/A (sky130_fd_sc_hd__or3b_4)
-                  0.30    0.66    3.55 v mprj/u_wb_host/_4905_/X (sky130_fd_sc_hd__or3b_4)
-    42    0.19                           mprj/u_wb_host/_2399_ (net)
-                  0.31    0.04    3.59 v mprj/u_wb_host/_4906_/B (sky130_fd_sc_hd__nor2_8)
-                  0.44    0.47    4.06 ^ mprj/u_wb_host/_4906_/Y (sky130_fd_sc_hd__nor2_8)
-    40    0.12                           mprj/u_wb_host/_2400_ (net)
-                  0.44    0.01    4.07 ^ mprj/u_wb_host/_4925_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.05    0.20    4.27 ^ mprj/u_wb_host/_4925_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_wb_host/_0819_ (net)
-                  0.05    0.00    4.27 ^ mprj/u_wb_host/_6305_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  4.27   data arrival time
-
-                        100.00  100.00   clock uart_clk (rise edge)
-                          0.00  100.00   clock source latency
-                  2.27    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.16  100.16 ^ mprj/u_wb_host/_6305_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   99.91   clock uncertainty
-                          0.00   99.91   clock reconvergence pessimism
-                          0.07   99.98   library setup time
-                                 99.98   data required time
------------------------------------------------------------------------------
-                                 99.98   data required time
-                                 -4.27   data arrival time
------------------------------------------------------------------------------
-                                 95.71   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6447_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6294_
-          (rising edge-triggered flip-flop clocked by uart_clk)
-Path Group: uart_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.17    0.17 ^ mprj/u_wb_host/_6447_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.14    0.79    0.96 v mprj/u_wb_host/_6447_/Q (sky130_fd_sc_hd__dfrtp_1)
-    10    0.03                           mprj/u_wb_host/u_uart2wb.rx_data[4] (net)
-                  0.14    0.00    0.96 v mprj/u_wb_host/_4296_/A (sky130_fd_sc_hd__or3_2)
-                  0.13    0.61    1.57 v mprj/u_wb_host/_4296_/X (sky130_fd_sc_hd__or3_2)
-     6    0.02                           mprj/u_wb_host/_2183_ (net)
-                  0.14    0.00    1.57 v mprj/u_wb_host/_4299_/B (sky130_fd_sc_hd__or3_2)
-                  0.13    0.58    2.15 v mprj/u_wb_host/_4299_/X (sky130_fd_sc_hd__or3_2)
-     6    0.02                           mprj/u_wb_host/_2186_ (net)
-                  0.13    0.00    2.15 v mprj/u_wb_host/_4300_/C (sky130_fd_sc_hd__and3_1)
-                  0.14    0.32    2.47 v mprj/u_wb_host/_4300_/X (sky130_fd_sc_hd__and3_1)
-     8    0.03                           mprj/u_wb_host/_2187_ (net)
-                  0.14    0.00    2.47 v mprj/u_wb_host/_4904_/A (sky130_fd_sc_hd__or3_1)
-                  0.07    0.41    2.88 v mprj/u_wb_host/_4904_/X (sky130_fd_sc_hd__or3_1)
-     1    0.00                           mprj/u_wb_host/_2398_ (net)
-                  0.07    0.00    2.88 v mprj/u_wb_host/_4905_/A (sky130_fd_sc_hd__or3b_4)
-                  0.30    0.66    3.55 v mprj/u_wb_host/_4905_/X (sky130_fd_sc_hd__or3b_4)
-    42    0.19                           mprj/u_wb_host/_2399_ (net)
-                  0.31    0.04    3.59 v mprj/u_wb_host/_4906_/B (sky130_fd_sc_hd__nor2_8)
-                  0.44    0.47    4.06 ^ mprj/u_wb_host/_4906_/Y (sky130_fd_sc_hd__nor2_8)
-    40    0.12                           mprj/u_wb_host/_2400_ (net)
-                  0.44    0.01    4.07 ^ mprj/u_wb_host/_4914_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.06    0.20    4.28 ^ mprj/u_wb_host/_4914_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_wb_host/_0808_ (net)
-                  0.06    0.00    4.28 ^ mprj/u_wb_host/_6294_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  4.28   data arrival time
-
-                        100.00  100.00   clock uart_clk (rise edge)
-                          0.00  100.00   clock source latency
-                  2.27    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.17  100.17 ^ mprj/u_wb_host/_6294_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   99.92   clock uncertainty
-                          0.00   99.92   clock reconvergence pessimism
-                          0.06   99.98   library setup time
-                                 99.98   data required time
------------------------------------------------------------------------------
-                                 99.98   data required time
-                                 -4.28   data arrival time
------------------------------------------------------------------------------
-                                 95.71   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6447_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6299_
-          (rising edge-triggered flip-flop clocked by uart_clk)
-Path Group: uart_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.17    0.17 ^ mprj/u_wb_host/_6447_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.14    0.79    0.96 v mprj/u_wb_host/_6447_/Q (sky130_fd_sc_hd__dfrtp_1)
-    10    0.03                           mprj/u_wb_host/u_uart2wb.rx_data[4] (net)
-                  0.14    0.00    0.96 v mprj/u_wb_host/_4296_/A (sky130_fd_sc_hd__or3_2)
-                  0.13    0.61    1.57 v mprj/u_wb_host/_4296_/X (sky130_fd_sc_hd__or3_2)
-     6    0.02                           mprj/u_wb_host/_2183_ (net)
-                  0.14    0.00    1.57 v mprj/u_wb_host/_4299_/B (sky130_fd_sc_hd__or3_2)
-                  0.13    0.58    2.15 v mprj/u_wb_host/_4299_/X (sky130_fd_sc_hd__or3_2)
-     6    0.02                           mprj/u_wb_host/_2186_ (net)
-                  0.13    0.00    2.15 v mprj/u_wb_host/_4300_/C (sky130_fd_sc_hd__and3_1)
-                  0.14    0.32    2.47 v mprj/u_wb_host/_4300_/X (sky130_fd_sc_hd__and3_1)
-     8    0.03                           mprj/u_wb_host/_2187_ (net)
-                  0.14    0.00    2.47 v mprj/u_wb_host/_4904_/A (sky130_fd_sc_hd__or3_1)
-                  0.07    0.41    2.88 v mprj/u_wb_host/_4904_/X (sky130_fd_sc_hd__or3_1)
-     1    0.00                           mprj/u_wb_host/_2398_ (net)
-                  0.07    0.00    2.88 v mprj/u_wb_host/_4905_/A (sky130_fd_sc_hd__or3b_4)
-                  0.30    0.66    3.55 v mprj/u_wb_host/_4905_/X (sky130_fd_sc_hd__or3b_4)
-    42    0.19                           mprj/u_wb_host/_2399_ (net)
-                  0.31    0.04    3.59 v mprj/u_wb_host/_4906_/B (sky130_fd_sc_hd__nor2_8)
-                  0.44    0.47    4.06 ^ mprj/u_wb_host/_4906_/Y (sky130_fd_sc_hd__nor2_8)
-    40    0.12                           mprj/u_wb_host/_2400_ (net)
-                  0.44    0.01    4.07 ^ mprj/u_wb_host/_4919_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.05    0.20    4.27 ^ mprj/u_wb_host/_4919_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_wb_host/_0813_ (net)
-                  0.05    0.00    4.27 ^ mprj/u_wb_host/_6299_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  4.27   data arrival time
-
-                        100.00  100.00   clock uart_clk (rise edge)
-                          0.00  100.00   clock source latency
-                  2.27    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.16  100.16 ^ mprj/u_wb_host/_6299_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   99.91   clock uncertainty
-                          0.00   99.91   clock reconvergence pessimism
-                          0.07   99.98   library setup time
-                                 99.98   data required time
------------------------------------------------------------------------------
-                                 99.98   data required time
-                                 -4.27   data arrival time
------------------------------------------------------------------------------
-                                 95.71   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6447_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6302_
-          (rising edge-triggered flip-flop clocked by uart_clk)
-Path Group: uart_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.17    0.17 ^ mprj/u_wb_host/_6447_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.14    0.79    0.96 v mprj/u_wb_host/_6447_/Q (sky130_fd_sc_hd__dfrtp_1)
-    10    0.03                           mprj/u_wb_host/u_uart2wb.rx_data[4] (net)
-                  0.14    0.00    0.96 v mprj/u_wb_host/_4296_/A (sky130_fd_sc_hd__or3_2)
-                  0.13    0.61    1.57 v mprj/u_wb_host/_4296_/X (sky130_fd_sc_hd__or3_2)
-     6    0.02                           mprj/u_wb_host/_2183_ (net)
-                  0.14    0.00    1.57 v mprj/u_wb_host/_4299_/B (sky130_fd_sc_hd__or3_2)
-                  0.13    0.58    2.15 v mprj/u_wb_host/_4299_/X (sky130_fd_sc_hd__or3_2)
-     6    0.02                           mprj/u_wb_host/_2186_ (net)
-                  0.13    0.00    2.15 v mprj/u_wb_host/_4300_/C (sky130_fd_sc_hd__and3_1)
-                  0.14    0.32    2.47 v mprj/u_wb_host/_4300_/X (sky130_fd_sc_hd__and3_1)
-     8    0.03                           mprj/u_wb_host/_2187_ (net)
-                  0.14    0.00    2.47 v mprj/u_wb_host/_4904_/A (sky130_fd_sc_hd__or3_1)
-                  0.07    0.41    2.88 v mprj/u_wb_host/_4904_/X (sky130_fd_sc_hd__or3_1)
-     1    0.00                           mprj/u_wb_host/_2398_ (net)
-                  0.07    0.00    2.88 v mprj/u_wb_host/_4905_/A (sky130_fd_sc_hd__or3b_4)
-                  0.30    0.66    3.55 v mprj/u_wb_host/_4905_/X (sky130_fd_sc_hd__or3b_4)
-    42    0.19                           mprj/u_wb_host/_2399_ (net)
-                  0.31    0.04    3.59 v mprj/u_wb_host/_4906_/B (sky130_fd_sc_hd__nor2_8)
-                  0.44    0.47    4.06 ^ mprj/u_wb_host/_4906_/Y (sky130_fd_sc_hd__nor2_8)
-    40    0.12                           mprj/u_wb_host/_2400_ (net)
-                  0.44    0.01    4.07 ^ mprj/u_wb_host/_4922_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.05    0.20    4.27 ^ mprj/u_wb_host/_4922_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_wb_host/_0816_ (net)
-                  0.05    0.00    4.27 ^ mprj/u_wb_host/_6302_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  4.27   data arrival time
-
-                        100.00  100.00   clock uart_clk (rise edge)
-                          0.00  100.00   clock source latency
-                  2.27    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.16  100.16 ^ mprj/u_wb_host/_6302_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   99.91   clock uncertainty
-                          0.00   99.91   clock reconvergence pessimism
-                          0.07   99.98   library setup time
-                                 99.98   data required time
------------------------------------------------------------------------------
-                                 99.98   data required time
-                                 -4.27   data arrival time
------------------------------------------------------------------------------
-                                 95.71   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6447_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6303_
-          (rising edge-triggered flip-flop clocked by uart_clk)
-Path Group: uart_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.17    0.17 ^ mprj/u_wb_host/_6447_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.14    0.79    0.96 v mprj/u_wb_host/_6447_/Q (sky130_fd_sc_hd__dfrtp_1)
-    10    0.03                           mprj/u_wb_host/u_uart2wb.rx_data[4] (net)
-                  0.14    0.00    0.96 v mprj/u_wb_host/_4296_/A (sky130_fd_sc_hd__or3_2)
-                  0.13    0.61    1.57 v mprj/u_wb_host/_4296_/X (sky130_fd_sc_hd__or3_2)
-     6    0.02                           mprj/u_wb_host/_2183_ (net)
-                  0.14    0.00    1.57 v mprj/u_wb_host/_4299_/B (sky130_fd_sc_hd__or3_2)
-                  0.13    0.58    2.15 v mprj/u_wb_host/_4299_/X (sky130_fd_sc_hd__or3_2)
-     6    0.02                           mprj/u_wb_host/_2186_ (net)
-                  0.13    0.00    2.15 v mprj/u_wb_host/_4300_/C (sky130_fd_sc_hd__and3_1)
-                  0.14    0.32    2.47 v mprj/u_wb_host/_4300_/X (sky130_fd_sc_hd__and3_1)
-     8    0.03                           mprj/u_wb_host/_2187_ (net)
-                  0.14    0.00    2.47 v mprj/u_wb_host/_4904_/A (sky130_fd_sc_hd__or3_1)
-                  0.07    0.41    2.88 v mprj/u_wb_host/_4904_/X (sky130_fd_sc_hd__or3_1)
-     1    0.00                           mprj/u_wb_host/_2398_ (net)
-                  0.07    0.00    2.88 v mprj/u_wb_host/_4905_/A (sky130_fd_sc_hd__or3b_4)
-                  0.30    0.66    3.55 v mprj/u_wb_host/_4905_/X (sky130_fd_sc_hd__or3b_4)
-    42    0.19                           mprj/u_wb_host/_2399_ (net)
-                  0.31    0.04    3.59 v mprj/u_wb_host/_4906_/B (sky130_fd_sc_hd__nor2_8)
-                  0.44    0.47    4.06 ^ mprj/u_wb_host/_4906_/Y (sky130_fd_sc_hd__nor2_8)
-    40    0.12                           mprj/u_wb_host/_2400_ (net)
-                  0.44    0.01    4.07 ^ mprj/u_wb_host/_4923_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.05    0.20    4.27 ^ mprj/u_wb_host/_4923_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_wb_host/_0817_ (net)
-                  0.05    0.00    4.27 ^ mprj/u_wb_host/_6303_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  4.27   data arrival time
-
-                        100.00  100.00   clock uart_clk (rise edge)
-                          0.00  100.00   clock source latency
-                  2.27    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.16  100.16 ^ mprj/u_wb_host/_6303_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   99.91   clock uncertainty
-                          0.00   99.91   clock reconvergence pessimism
-                          0.07   99.98   library setup time
-                                 99.98   data required time
------------------------------------------------------------------------------
-                                 99.98   data required time
-                                 -4.27   data arrival time
------------------------------------------------------------------------------
-                                 95.71   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6447_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6290_
-          (rising edge-triggered flip-flop clocked by uart_clk)
-Path Group: uart_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.17    0.17 ^ mprj/u_wb_host/_6447_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.14    0.79    0.96 v mprj/u_wb_host/_6447_/Q (sky130_fd_sc_hd__dfrtp_1)
-    10    0.03                           mprj/u_wb_host/u_uart2wb.rx_data[4] (net)
-                  0.14    0.00    0.96 v mprj/u_wb_host/_4296_/A (sky130_fd_sc_hd__or3_2)
-                  0.13    0.61    1.57 v mprj/u_wb_host/_4296_/X (sky130_fd_sc_hd__or3_2)
-     6    0.02                           mprj/u_wb_host/_2183_ (net)
-                  0.14    0.00    1.57 v mprj/u_wb_host/_4299_/B (sky130_fd_sc_hd__or3_2)
-                  0.13    0.58    2.15 v mprj/u_wb_host/_4299_/X (sky130_fd_sc_hd__or3_2)
-     6    0.02                           mprj/u_wb_host/_2186_ (net)
-                  0.13    0.00    2.15 v mprj/u_wb_host/_4300_/C (sky130_fd_sc_hd__and3_1)
-                  0.14    0.32    2.47 v mprj/u_wb_host/_4300_/X (sky130_fd_sc_hd__and3_1)
-     8    0.03                           mprj/u_wb_host/_2187_ (net)
-                  0.14    0.00    2.47 v mprj/u_wb_host/_4904_/A (sky130_fd_sc_hd__or3_1)
-                  0.07    0.41    2.88 v mprj/u_wb_host/_4904_/X (sky130_fd_sc_hd__or3_1)
-     1    0.00                           mprj/u_wb_host/_2398_ (net)
-                  0.07    0.00    2.88 v mprj/u_wb_host/_4905_/A (sky130_fd_sc_hd__or3b_4)
-                  0.30    0.66    3.55 v mprj/u_wb_host/_4905_/X (sky130_fd_sc_hd__or3b_4)
-    42    0.19                           mprj/u_wb_host/_2399_ (net)
-                  0.31    0.04    3.59 v mprj/u_wb_host/_4906_/B (sky130_fd_sc_hd__nor2_8)
-                  0.44    0.47    4.06 ^ mprj/u_wb_host/_4906_/Y (sky130_fd_sc_hd__nor2_8)
-    40    0.12                           mprj/u_wb_host/_2400_ (net)
-                  0.44    0.01    4.07 ^ mprj/u_wb_host/_4907_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.05    0.20    4.27 ^ mprj/u_wb_host/_4907_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_wb_host/_0804_ (net)
-                  0.05    0.00    4.27 ^ mprj/u_wb_host/_6290_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  4.27   data arrival time
-
-                        100.00  100.00   clock uart_clk (rise edge)
-                          0.00  100.00   clock source latency
-                  2.27    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.17  100.17 ^ mprj/u_wb_host/_6290_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   99.92   clock uncertainty
-                          0.00   99.92   clock reconvergence pessimism
-                          0.07   99.98   library setup time
-                                 99.98   data required time
------------------------------------------------------------------------------
-                                 99.98   data required time
-                                 -4.27   data arrival time
------------------------------------------------------------------------------
-                                 95.71   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6447_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6307_
-          (rising edge-triggered flip-flop clocked by uart_clk)
-Path Group: uart_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.17    0.17 ^ mprj/u_wb_host/_6447_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.14    0.79    0.96 v mprj/u_wb_host/_6447_/Q (sky130_fd_sc_hd__dfrtp_1)
-    10    0.03                           mprj/u_wb_host/u_uart2wb.rx_data[4] (net)
-                  0.14    0.00    0.96 v mprj/u_wb_host/_4296_/A (sky130_fd_sc_hd__or3_2)
-                  0.13    0.61    1.57 v mprj/u_wb_host/_4296_/X (sky130_fd_sc_hd__or3_2)
-     6    0.02                           mprj/u_wb_host/_2183_ (net)
-                  0.14    0.00    1.57 v mprj/u_wb_host/_4299_/B (sky130_fd_sc_hd__or3_2)
-                  0.13    0.58    2.15 v mprj/u_wb_host/_4299_/X (sky130_fd_sc_hd__or3_2)
-     6    0.02                           mprj/u_wb_host/_2186_ (net)
-                  0.13    0.00    2.15 v mprj/u_wb_host/_4300_/C (sky130_fd_sc_hd__and3_1)
-                  0.14    0.32    2.47 v mprj/u_wb_host/_4300_/X (sky130_fd_sc_hd__and3_1)
-     8    0.03                           mprj/u_wb_host/_2187_ (net)
-                  0.14    0.00    2.47 v mprj/u_wb_host/_4904_/A (sky130_fd_sc_hd__or3_1)
-                  0.07    0.41    2.88 v mprj/u_wb_host/_4904_/X (sky130_fd_sc_hd__or3_1)
-     1    0.00                           mprj/u_wb_host/_2398_ (net)
-                  0.07    0.00    2.88 v mprj/u_wb_host/_4905_/A (sky130_fd_sc_hd__or3b_4)
-                  0.30    0.66    3.55 v mprj/u_wb_host/_4905_/X (sky130_fd_sc_hd__or3b_4)
-    42    0.19                           mprj/u_wb_host/_2399_ (net)
-                  0.31    0.04    3.59 v mprj/u_wb_host/_4906_/B (sky130_fd_sc_hd__nor2_8)
-                  0.44    0.47    4.06 ^ mprj/u_wb_host/_4906_/Y (sky130_fd_sc_hd__nor2_8)
-    40    0.12                           mprj/u_wb_host/_2400_ (net)
-                  0.44    0.01    4.07 ^ mprj/u_wb_host/_4927_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.05    0.20    4.26 ^ mprj/u_wb_host/_4927_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_wb_host/_0821_ (net)
-                  0.05    0.00    4.26 ^ mprj/u_wb_host/_6307_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  4.26   data arrival time
-
-                        100.00  100.00   clock uart_clk (rise edge)
-                          0.00  100.00   clock source latency
-                  2.27    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.16  100.16 ^ mprj/u_wb_host/_6307_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   99.91   clock uncertainty
-                          0.00   99.91   clock reconvergence pessimism
-                          0.07   99.98   library setup time
-                                 99.98   data required time
------------------------------------------------------------------------------
-                                 99.98   data required time
-                                 -4.26   data arrival time
------------------------------------------------------------------------------
-                                 95.71   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6447_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6306_
-          (rising edge-triggered flip-flop clocked by uart_clk)
-Path Group: uart_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.17    0.17 ^ mprj/u_wb_host/_6447_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.14    0.79    0.96 v mprj/u_wb_host/_6447_/Q (sky130_fd_sc_hd__dfrtp_1)
-    10    0.03                           mprj/u_wb_host/u_uart2wb.rx_data[4] (net)
-                  0.14    0.00    0.96 v mprj/u_wb_host/_4296_/A (sky130_fd_sc_hd__or3_2)
-                  0.13    0.61    1.57 v mprj/u_wb_host/_4296_/X (sky130_fd_sc_hd__or3_2)
-     6    0.02                           mprj/u_wb_host/_2183_ (net)
-                  0.14    0.00    1.57 v mprj/u_wb_host/_4299_/B (sky130_fd_sc_hd__or3_2)
-                  0.13    0.58    2.15 v mprj/u_wb_host/_4299_/X (sky130_fd_sc_hd__or3_2)
-     6    0.02                           mprj/u_wb_host/_2186_ (net)
-                  0.13    0.00    2.15 v mprj/u_wb_host/_4300_/C (sky130_fd_sc_hd__and3_1)
-                  0.14    0.32    2.47 v mprj/u_wb_host/_4300_/X (sky130_fd_sc_hd__and3_1)
-     8    0.03                           mprj/u_wb_host/_2187_ (net)
-                  0.14    0.00    2.47 v mprj/u_wb_host/_4904_/A (sky130_fd_sc_hd__or3_1)
-                  0.07    0.41    2.88 v mprj/u_wb_host/_4904_/X (sky130_fd_sc_hd__or3_1)
-     1    0.00                           mprj/u_wb_host/_2398_ (net)
-                  0.07    0.00    2.88 v mprj/u_wb_host/_4905_/A (sky130_fd_sc_hd__or3b_4)
-                  0.30    0.66    3.55 v mprj/u_wb_host/_4905_/X (sky130_fd_sc_hd__or3b_4)
-    42    0.19                           mprj/u_wb_host/_2399_ (net)
-                  0.31    0.04    3.59 v mprj/u_wb_host/_4906_/B (sky130_fd_sc_hd__nor2_8)
-                  0.44    0.47    4.06 ^ mprj/u_wb_host/_4906_/Y (sky130_fd_sc_hd__nor2_8)
-    40    0.12                           mprj/u_wb_host/_2400_ (net)
-                  0.44    0.01    4.07 ^ mprj/u_wb_host/_4926_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.05    0.20    4.26 ^ mprj/u_wb_host/_4926_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_wb_host/_0820_ (net)
-                  0.05    0.00    4.26 ^ mprj/u_wb_host/_6306_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  4.26   data arrival time
-
-                        100.00  100.00   clock uart_clk (rise edge)
-                          0.00  100.00   clock source latency
-                  2.27    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.16  100.16 ^ mprj/u_wb_host/_6306_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   99.91   clock uncertainty
-                          0.00   99.91   clock reconvergence pessimism
-                          0.07   99.98   library setup time
-                                 99.98   data required time
------------------------------------------------------------------------------
-                                 99.98   data required time
-                                 -4.26   data arrival time
------------------------------------------------------------------------------
-                                 95.71   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6447_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6297_
-          (rising edge-triggered flip-flop clocked by uart_clk)
-Path Group: uart_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.17    0.17 ^ mprj/u_wb_host/_6447_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.14    0.79    0.96 v mprj/u_wb_host/_6447_/Q (sky130_fd_sc_hd__dfrtp_1)
-    10    0.03                           mprj/u_wb_host/u_uart2wb.rx_data[4] (net)
-                  0.14    0.00    0.96 v mprj/u_wb_host/_4296_/A (sky130_fd_sc_hd__or3_2)
-                  0.13    0.61    1.57 v mprj/u_wb_host/_4296_/X (sky130_fd_sc_hd__or3_2)
-     6    0.02                           mprj/u_wb_host/_2183_ (net)
-                  0.14    0.00    1.57 v mprj/u_wb_host/_4299_/B (sky130_fd_sc_hd__or3_2)
-                  0.13    0.58    2.15 v mprj/u_wb_host/_4299_/X (sky130_fd_sc_hd__or3_2)
-     6    0.02                           mprj/u_wb_host/_2186_ (net)
-                  0.13    0.00    2.15 v mprj/u_wb_host/_4300_/C (sky130_fd_sc_hd__and3_1)
-                  0.14    0.32    2.47 v mprj/u_wb_host/_4300_/X (sky130_fd_sc_hd__and3_1)
-     8    0.03                           mprj/u_wb_host/_2187_ (net)
-                  0.14    0.00    2.47 v mprj/u_wb_host/_4904_/A (sky130_fd_sc_hd__or3_1)
-                  0.07    0.41    2.88 v mprj/u_wb_host/_4904_/X (sky130_fd_sc_hd__or3_1)
-     1    0.00                           mprj/u_wb_host/_2398_ (net)
-                  0.07    0.00    2.88 v mprj/u_wb_host/_4905_/A (sky130_fd_sc_hd__or3b_4)
-                  0.30    0.66    3.55 v mprj/u_wb_host/_4905_/X (sky130_fd_sc_hd__or3b_4)
-    42    0.19                           mprj/u_wb_host/_2399_ (net)
-                  0.31    0.04    3.59 v mprj/u_wb_host/_4906_/B (sky130_fd_sc_hd__nor2_8)
-                  0.44    0.47    4.06 ^ mprj/u_wb_host/_4906_/Y (sky130_fd_sc_hd__nor2_8)
-    40    0.12                           mprj/u_wb_host/_2400_ (net)
-                  0.44    0.01    4.07 ^ mprj/u_wb_host/_4917_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.05    0.20    4.27 ^ mprj/u_wb_host/_4917_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_wb_host/_0811_ (net)
-                  0.05    0.00    4.27 ^ mprj/u_wb_host/_6297_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  4.27   data arrival time
-
-                        100.00  100.00   clock uart_clk (rise edge)
-                          0.00  100.00   clock source latency
-                  2.27    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.17  100.17 ^ mprj/u_wb_host/_6297_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   99.92   clock uncertainty
-                          0.00   99.92   clock reconvergence pessimism
-                          0.07   99.98   library setup time
-                                 99.98   data required time
------------------------------------------------------------------------------
-                                 99.98   data required time
-                                 -4.27   data arrival time
------------------------------------------------------------------------------
-                                 95.71   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6447_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6293_
-          (rising edge-triggered flip-flop clocked by uart_clk)
-Path Group: uart_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.17    0.17 ^ mprj/u_wb_host/_6447_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.14    0.79    0.96 v mprj/u_wb_host/_6447_/Q (sky130_fd_sc_hd__dfrtp_1)
-    10    0.03                           mprj/u_wb_host/u_uart2wb.rx_data[4] (net)
-                  0.14    0.00    0.96 v mprj/u_wb_host/_4296_/A (sky130_fd_sc_hd__or3_2)
-                  0.13    0.61    1.57 v mprj/u_wb_host/_4296_/X (sky130_fd_sc_hd__or3_2)
-     6    0.02                           mprj/u_wb_host/_2183_ (net)
-                  0.14    0.00    1.57 v mprj/u_wb_host/_4299_/B (sky130_fd_sc_hd__or3_2)
-                  0.13    0.58    2.15 v mprj/u_wb_host/_4299_/X (sky130_fd_sc_hd__or3_2)
-     6    0.02                           mprj/u_wb_host/_2186_ (net)
-                  0.13    0.00    2.15 v mprj/u_wb_host/_4300_/C (sky130_fd_sc_hd__and3_1)
-                  0.14    0.32    2.47 v mprj/u_wb_host/_4300_/X (sky130_fd_sc_hd__and3_1)
-     8    0.03                           mprj/u_wb_host/_2187_ (net)
-                  0.14    0.00    2.47 v mprj/u_wb_host/_4904_/A (sky130_fd_sc_hd__or3_1)
-                  0.07    0.41    2.88 v mprj/u_wb_host/_4904_/X (sky130_fd_sc_hd__or3_1)
-     1    0.00                           mprj/u_wb_host/_2398_ (net)
-                  0.07    0.00    2.88 v mprj/u_wb_host/_4905_/A (sky130_fd_sc_hd__or3b_4)
-                  0.30    0.66    3.55 v mprj/u_wb_host/_4905_/X (sky130_fd_sc_hd__or3b_4)
-    42    0.19                           mprj/u_wb_host/_2399_ (net)
-                  0.31    0.04    3.59 v mprj/u_wb_host/_4906_/B (sky130_fd_sc_hd__nor2_8)
-                  0.44    0.47    4.06 ^ mprj/u_wb_host/_4906_/Y (sky130_fd_sc_hd__nor2_8)
-    40    0.12                           mprj/u_wb_host/_2400_ (net)
-                  0.44    0.01    4.07 ^ mprj/u_wb_host/_4913_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.05    0.20    4.27 ^ mprj/u_wb_host/_4913_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_wb_host/_0807_ (net)
-                  0.05    0.00    4.27 ^ mprj/u_wb_host/_6293_/D (sky130_fd_sc_hd__dfrtp_2)
-                                  4.27   data arrival time
-
-                        100.00  100.00   clock uart_clk (rise edge)
-                          0.00  100.00   clock source latency
-                  2.27    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.17  100.17 ^ mprj/u_wb_host/_6293_/CLK (sky130_fd_sc_hd__dfrtp_2)
-                         -0.25   99.92   clock uncertainty
-                          0.00   99.92   clock reconvergence pessimism
-                          0.07   99.98   library setup time
-                                 99.98   data required time
------------------------------------------------------------------------------
-                                 99.98   data required time
-                                 -4.27   data arrival time
------------------------------------------------------------------------------
-                                 95.71   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6447_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6296_
-          (rising edge-triggered flip-flop clocked by uart_clk)
-Path Group: uart_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.17    0.17 ^ mprj/u_wb_host/_6447_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.14    0.79    0.96 v mprj/u_wb_host/_6447_/Q (sky130_fd_sc_hd__dfrtp_1)
-    10    0.03                           mprj/u_wb_host/u_uart2wb.rx_data[4] (net)
-                  0.14    0.00    0.96 v mprj/u_wb_host/_4296_/A (sky130_fd_sc_hd__or3_2)
-                  0.13    0.61    1.57 v mprj/u_wb_host/_4296_/X (sky130_fd_sc_hd__or3_2)
-     6    0.02                           mprj/u_wb_host/_2183_ (net)
-                  0.14    0.00    1.57 v mprj/u_wb_host/_4299_/B (sky130_fd_sc_hd__or3_2)
-                  0.13    0.58    2.15 v mprj/u_wb_host/_4299_/X (sky130_fd_sc_hd__or3_2)
-     6    0.02                           mprj/u_wb_host/_2186_ (net)
-                  0.13    0.00    2.15 v mprj/u_wb_host/_4300_/C (sky130_fd_sc_hd__and3_1)
-                  0.14    0.32    2.47 v mprj/u_wb_host/_4300_/X (sky130_fd_sc_hd__and3_1)
-     8    0.03                           mprj/u_wb_host/_2187_ (net)
-                  0.14    0.00    2.47 v mprj/u_wb_host/_4904_/A (sky130_fd_sc_hd__or3_1)
-                  0.07    0.41    2.88 v mprj/u_wb_host/_4904_/X (sky130_fd_sc_hd__or3_1)
-     1    0.00                           mprj/u_wb_host/_2398_ (net)
-                  0.07    0.00    2.88 v mprj/u_wb_host/_4905_/A (sky130_fd_sc_hd__or3b_4)
-                  0.30    0.66    3.55 v mprj/u_wb_host/_4905_/X (sky130_fd_sc_hd__or3b_4)
-    42    0.19                           mprj/u_wb_host/_2399_ (net)
-                  0.31    0.04    3.59 v mprj/u_wb_host/_4906_/B (sky130_fd_sc_hd__nor2_8)
-                  0.44    0.47    4.06 ^ mprj/u_wb_host/_4906_/Y (sky130_fd_sc_hd__nor2_8)
-    40    0.12                           mprj/u_wb_host/_2400_ (net)
-                  0.44    0.01    4.07 ^ mprj/u_wb_host/_4916_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.05    0.20    4.27 ^ mprj/u_wb_host/_4916_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_wb_host/_0810_ (net)
-                  0.05    0.00    4.27 ^ mprj/u_wb_host/_6296_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  4.27   data arrival time
-
-                        100.00  100.00   clock uart_clk (rise edge)
-                          0.00  100.00   clock source latency
-                  2.27    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.17  100.17 ^ mprj/u_wb_host/_6296_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   99.92   clock uncertainty
-                          0.00   99.92   clock reconvergence pessimism
-                          0.07   99.98   library setup time
-                                 99.98   data required time
------------------------------------------------------------------------------
-                                 99.98   data required time
-                                 -4.27   data arrival time
------------------------------------------------------------------------------
-                                 95.71   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6447_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6304_
-          (rising edge-triggered flip-flop clocked by uart_clk)
-Path Group: uart_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.17    0.17 ^ mprj/u_wb_host/_6447_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.14    0.79    0.96 v mprj/u_wb_host/_6447_/Q (sky130_fd_sc_hd__dfrtp_1)
-    10    0.03                           mprj/u_wb_host/u_uart2wb.rx_data[4] (net)
-                  0.14    0.00    0.96 v mprj/u_wb_host/_4296_/A (sky130_fd_sc_hd__or3_2)
-                  0.13    0.61    1.57 v mprj/u_wb_host/_4296_/X (sky130_fd_sc_hd__or3_2)
-     6    0.02                           mprj/u_wb_host/_2183_ (net)
-                  0.14    0.00    1.57 v mprj/u_wb_host/_4299_/B (sky130_fd_sc_hd__or3_2)
-                  0.13    0.58    2.15 v mprj/u_wb_host/_4299_/X (sky130_fd_sc_hd__or3_2)
-     6    0.02                           mprj/u_wb_host/_2186_ (net)
-                  0.13    0.00    2.15 v mprj/u_wb_host/_4300_/C (sky130_fd_sc_hd__and3_1)
-                  0.14    0.32    2.47 v mprj/u_wb_host/_4300_/X (sky130_fd_sc_hd__and3_1)
-     8    0.03                           mprj/u_wb_host/_2187_ (net)
-                  0.14    0.00    2.47 v mprj/u_wb_host/_4904_/A (sky130_fd_sc_hd__or3_1)
-                  0.07    0.41    2.88 v mprj/u_wb_host/_4904_/X (sky130_fd_sc_hd__or3_1)
-     1    0.00                           mprj/u_wb_host/_2398_ (net)
-                  0.07    0.00    2.88 v mprj/u_wb_host/_4905_/A (sky130_fd_sc_hd__or3b_4)
-                  0.30    0.66    3.55 v mprj/u_wb_host/_4905_/X (sky130_fd_sc_hd__or3b_4)
-    42    0.19                           mprj/u_wb_host/_2399_ (net)
-                  0.31    0.04    3.59 v mprj/u_wb_host/_4906_/B (sky130_fd_sc_hd__nor2_8)
-                  0.44    0.47    4.06 ^ mprj/u_wb_host/_4906_/Y (sky130_fd_sc_hd__nor2_8)
-    40    0.12                           mprj/u_wb_host/_2400_ (net)
-                  0.44    0.01    4.07 ^ mprj/u_wb_host/_4924_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.05    0.20    4.26 ^ mprj/u_wb_host/_4924_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_wb_host/_0818_ (net)
-                  0.05    0.00    4.26 ^ mprj/u_wb_host/_6304_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  4.26   data arrival time
-
-                        100.00  100.00   clock uart_clk (rise edge)
-                          0.00  100.00   clock source latency
-                  2.27    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.16  100.16 ^ mprj/u_wb_host/_6304_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   99.91   clock uncertainty
-                          0.00   99.91   clock reconvergence pessimism
-                          0.07   99.98   library setup time
-                                 99.98   data required time
------------------------------------------------------------------------------
-                                 99.98   data required time
-                                 -4.26   data arrival time
------------------------------------------------------------------------------
-                                 95.71   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6447_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6301_
-          (rising edge-triggered flip-flop clocked by uart_clk)
-Path Group: uart_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.17    0.17 ^ mprj/u_wb_host/_6447_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.14    0.79    0.96 v mprj/u_wb_host/_6447_/Q (sky130_fd_sc_hd__dfrtp_1)
-    10    0.03                           mprj/u_wb_host/u_uart2wb.rx_data[4] (net)
-                  0.14    0.00    0.96 v mprj/u_wb_host/_4296_/A (sky130_fd_sc_hd__or3_2)
-                  0.13    0.61    1.57 v mprj/u_wb_host/_4296_/X (sky130_fd_sc_hd__or3_2)
-     6    0.02                           mprj/u_wb_host/_2183_ (net)
-                  0.14    0.00    1.57 v mprj/u_wb_host/_4299_/B (sky130_fd_sc_hd__or3_2)
-                  0.13    0.58    2.15 v mprj/u_wb_host/_4299_/X (sky130_fd_sc_hd__or3_2)
-     6    0.02                           mprj/u_wb_host/_2186_ (net)
-                  0.13    0.00    2.15 v mprj/u_wb_host/_4300_/C (sky130_fd_sc_hd__and3_1)
-                  0.14    0.32    2.47 v mprj/u_wb_host/_4300_/X (sky130_fd_sc_hd__and3_1)
-     8    0.03                           mprj/u_wb_host/_2187_ (net)
-                  0.14    0.00    2.47 v mprj/u_wb_host/_4904_/A (sky130_fd_sc_hd__or3_1)
-                  0.07    0.41    2.88 v mprj/u_wb_host/_4904_/X (sky130_fd_sc_hd__or3_1)
-     1    0.00                           mprj/u_wb_host/_2398_ (net)
-                  0.07    0.00    2.88 v mprj/u_wb_host/_4905_/A (sky130_fd_sc_hd__or3b_4)
-                  0.30    0.66    3.55 v mprj/u_wb_host/_4905_/X (sky130_fd_sc_hd__or3b_4)
-    42    0.19                           mprj/u_wb_host/_2399_ (net)
-                  0.31    0.04    3.59 v mprj/u_wb_host/_4906_/B (sky130_fd_sc_hd__nor2_8)
-                  0.44    0.47    4.06 ^ mprj/u_wb_host/_4906_/Y (sky130_fd_sc_hd__nor2_8)
-    40    0.12                           mprj/u_wb_host/_2400_ (net)
-                  0.44    0.01    4.07 ^ mprj/u_wb_host/_4921_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.05    0.20    4.27 ^ mprj/u_wb_host/_4921_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_wb_host/_0815_ (net)
-                  0.05    0.00    4.27 ^ mprj/u_wb_host/_6301_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  4.27   data arrival time
-
-                        100.00  100.00   clock uart_clk (rise edge)
-                          0.00  100.00   clock source latency
-                  2.27    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.17  100.17 ^ mprj/u_wb_host/_6301_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   99.92   clock uncertainty
-                          0.00   99.92   clock reconvergence pessimism
-                          0.07   99.98   library setup time
-                                 99.98   data required time
------------------------------------------------------------------------------
-                                 99.98   data required time
-                                 -4.27   data arrival time
------------------------------------------------------------------------------
-                                 95.71   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6447_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6309_
-          (rising edge-triggered flip-flop clocked by uart_clk)
-Path Group: uart_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.17    0.17 ^ mprj/u_wb_host/_6447_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.14    0.79    0.96 v mprj/u_wb_host/_6447_/Q (sky130_fd_sc_hd__dfrtp_1)
-    10    0.03                           mprj/u_wb_host/u_uart2wb.rx_data[4] (net)
-                  0.14    0.00    0.96 v mprj/u_wb_host/_4296_/A (sky130_fd_sc_hd__or3_2)
-                  0.13    0.61    1.57 v mprj/u_wb_host/_4296_/X (sky130_fd_sc_hd__or3_2)
-     6    0.02                           mprj/u_wb_host/_2183_ (net)
-                  0.14    0.00    1.57 v mprj/u_wb_host/_4299_/B (sky130_fd_sc_hd__or3_2)
-                  0.13    0.58    2.15 v mprj/u_wb_host/_4299_/X (sky130_fd_sc_hd__or3_2)
-     6    0.02                           mprj/u_wb_host/_2186_ (net)
-                  0.13    0.00    2.15 v mprj/u_wb_host/_4300_/C (sky130_fd_sc_hd__and3_1)
-                  0.14    0.32    2.47 v mprj/u_wb_host/_4300_/X (sky130_fd_sc_hd__and3_1)
-     8    0.03                           mprj/u_wb_host/_2187_ (net)
-                  0.14    0.00    2.47 v mprj/u_wb_host/_4904_/A (sky130_fd_sc_hd__or3_1)
-                  0.07    0.41    2.88 v mprj/u_wb_host/_4904_/X (sky130_fd_sc_hd__or3_1)
-     1    0.00                           mprj/u_wb_host/_2398_ (net)
-                  0.07    0.00    2.88 v mprj/u_wb_host/_4905_/A (sky130_fd_sc_hd__or3b_4)
-                  0.30    0.66    3.55 v mprj/u_wb_host/_4905_/X (sky130_fd_sc_hd__or3b_4)
-    42    0.19                           mprj/u_wb_host/_2399_ (net)
-                  0.31    0.04    3.59 v mprj/u_wb_host/_4906_/B (sky130_fd_sc_hd__nor2_8)
-                  0.44    0.47    4.06 ^ mprj/u_wb_host/_4906_/Y (sky130_fd_sc_hd__nor2_8)
-    40    0.12                           mprj/u_wb_host/_2400_ (net)
-                  0.44    0.00    4.06 ^ mprj/u_wb_host/_4929_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.05    0.20    4.26 ^ mprj/u_wb_host/_4929_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_wb_host/_0823_ (net)
-                  0.05    0.00    4.26 ^ mprj/u_wb_host/_6309_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  4.26   data arrival time
-
-                        100.00  100.00   clock uart_clk (rise edge)
-                          0.00  100.00   clock source latency
-                  2.27    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.16  100.16 ^ mprj/u_wb_host/_6309_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   99.91   clock uncertainty
-                          0.00   99.91   clock reconvergence pessimism
-                          0.07   99.98   library setup time
-                                 99.98   data required time
------------------------------------------------------------------------------
-                                 99.98   data required time
-                                 -4.26   data arrival time
------------------------------------------------------------------------------
-                                 95.72   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6447_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6308_
-          (rising edge-triggered flip-flop clocked by uart_clk)
-Path Group: uart_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.17    0.17 ^ mprj/u_wb_host/_6447_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.14    0.79    0.96 v mprj/u_wb_host/_6447_/Q (sky130_fd_sc_hd__dfrtp_1)
-    10    0.03                           mprj/u_wb_host/u_uart2wb.rx_data[4] (net)
-                  0.14    0.00    0.96 v mprj/u_wb_host/_4296_/A (sky130_fd_sc_hd__or3_2)
-                  0.13    0.61    1.57 v mprj/u_wb_host/_4296_/X (sky130_fd_sc_hd__or3_2)
-     6    0.02                           mprj/u_wb_host/_2183_ (net)
-                  0.14    0.00    1.57 v mprj/u_wb_host/_4299_/B (sky130_fd_sc_hd__or3_2)
-                  0.13    0.58    2.15 v mprj/u_wb_host/_4299_/X (sky130_fd_sc_hd__or3_2)
-     6    0.02                           mprj/u_wb_host/_2186_ (net)
-                  0.13    0.00    2.15 v mprj/u_wb_host/_4300_/C (sky130_fd_sc_hd__and3_1)
-                  0.14    0.32    2.47 v mprj/u_wb_host/_4300_/X (sky130_fd_sc_hd__and3_1)
-     8    0.03                           mprj/u_wb_host/_2187_ (net)
-                  0.14    0.00    2.47 v mprj/u_wb_host/_4904_/A (sky130_fd_sc_hd__or3_1)
-                  0.07    0.41    2.88 v mprj/u_wb_host/_4904_/X (sky130_fd_sc_hd__or3_1)
-     1    0.00                           mprj/u_wb_host/_2398_ (net)
-                  0.07    0.00    2.88 v mprj/u_wb_host/_4905_/A (sky130_fd_sc_hd__or3b_4)
-                  0.30    0.66    3.55 v mprj/u_wb_host/_4905_/X (sky130_fd_sc_hd__or3b_4)
-    42    0.19                           mprj/u_wb_host/_2399_ (net)
-                  0.31    0.04    3.59 v mprj/u_wb_host/_4906_/B (sky130_fd_sc_hd__nor2_8)
-                  0.44    0.47    4.06 ^ mprj/u_wb_host/_4906_/Y (sky130_fd_sc_hd__nor2_8)
-    40    0.12                           mprj/u_wb_host/_2400_ (net)
-                  0.44    0.00    4.06 ^ mprj/u_wb_host/_4928_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.05    0.20    4.26 ^ mprj/u_wb_host/_4928_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_wb_host/_0822_ (net)
-                  0.05    0.00    4.26 ^ mprj/u_wb_host/_6308_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  4.26   data arrival time
-
-                        100.00  100.00   clock uart_clk (rise edge)
-                          0.00  100.00   clock source latency
-                  2.27    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.16  100.16 ^ mprj/u_wb_host/_6308_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   99.91   clock uncertainty
-                          0.00   99.91   clock reconvergence pessimism
-                          0.07   99.98   library setup time
-                                 99.98   data required time
------------------------------------------------------------------------------
-                                 99.98   data required time
-                                 -4.26   data arrival time
------------------------------------------------------------------------------
-                                 95.72   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6447_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6291_
-          (rising edge-triggered flip-flop clocked by uart_clk)
-Path Group: uart_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.17    0.17 ^ mprj/u_wb_host/_6447_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.14    0.79    0.96 v mprj/u_wb_host/_6447_/Q (sky130_fd_sc_hd__dfrtp_1)
-    10    0.03                           mprj/u_wb_host/u_uart2wb.rx_data[4] (net)
-                  0.14    0.00    0.96 v mprj/u_wb_host/_4296_/A (sky130_fd_sc_hd__or3_2)
-                  0.13    0.61    1.57 v mprj/u_wb_host/_4296_/X (sky130_fd_sc_hd__or3_2)
-     6    0.02                           mprj/u_wb_host/_2183_ (net)
-                  0.14    0.00    1.57 v mprj/u_wb_host/_4299_/B (sky130_fd_sc_hd__or3_2)
-                  0.13    0.58    2.15 v mprj/u_wb_host/_4299_/X (sky130_fd_sc_hd__or3_2)
-     6    0.02                           mprj/u_wb_host/_2186_ (net)
-                  0.13    0.00    2.15 v mprj/u_wb_host/_4300_/C (sky130_fd_sc_hd__and3_1)
-                  0.14    0.32    2.47 v mprj/u_wb_host/_4300_/X (sky130_fd_sc_hd__and3_1)
-     8    0.03                           mprj/u_wb_host/_2187_ (net)
-                  0.14    0.00    2.47 v mprj/u_wb_host/_4904_/A (sky130_fd_sc_hd__or3_1)
-                  0.07    0.41    2.88 v mprj/u_wb_host/_4904_/X (sky130_fd_sc_hd__or3_1)
-     1    0.00                           mprj/u_wb_host/_2398_ (net)
-                  0.07    0.00    2.88 v mprj/u_wb_host/_4905_/A (sky130_fd_sc_hd__or3b_4)
-                  0.30    0.66    3.55 v mprj/u_wb_host/_4905_/X (sky130_fd_sc_hd__or3b_4)
-    42    0.19                           mprj/u_wb_host/_2399_ (net)
-                  0.31    0.04    3.59 v mprj/u_wb_host/_4906_/B (sky130_fd_sc_hd__nor2_8)
-                  0.44    0.47    4.06 ^ mprj/u_wb_host/_4906_/Y (sky130_fd_sc_hd__nor2_8)
-    40    0.12                           mprj/u_wb_host/_2400_ (net)
-                  0.44    0.01    4.07 ^ mprj/u_wb_host/_4910_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.05    0.20    4.27 ^ mprj/u_wb_host/_4910_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_wb_host/_0805_ (net)
-                  0.05    0.00    4.27 ^ mprj/u_wb_host/_6291_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  4.27   data arrival time
-
-                        100.00  100.00   clock uart_clk (rise edge)
-                          0.00  100.00   clock source latency
-                  2.27    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.17  100.17 ^ mprj/u_wb_host/_6291_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   99.92   clock uncertainty
-                          0.00   99.92   clock reconvergence pessimism
-                          0.07   99.98   library setup time
-                                 99.98   data required time
------------------------------------------------------------------------------
-                                 99.98   data required time
-                                 -4.27   data arrival time
------------------------------------------------------------------------------
-                                 95.72   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6447_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6298_
-          (rising edge-triggered flip-flop clocked by uart_clk)
-Path Group: uart_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.17    0.17 ^ mprj/u_wb_host/_6447_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.14    0.79    0.96 v mprj/u_wb_host/_6447_/Q (sky130_fd_sc_hd__dfrtp_1)
-    10    0.03                           mprj/u_wb_host/u_uart2wb.rx_data[4] (net)
-                  0.14    0.00    0.96 v mprj/u_wb_host/_4296_/A (sky130_fd_sc_hd__or3_2)
-                  0.13    0.61    1.57 v mprj/u_wb_host/_4296_/X (sky130_fd_sc_hd__or3_2)
-     6    0.02                           mprj/u_wb_host/_2183_ (net)
-                  0.14    0.00    1.57 v mprj/u_wb_host/_4299_/B (sky130_fd_sc_hd__or3_2)
-                  0.13    0.58    2.15 v mprj/u_wb_host/_4299_/X (sky130_fd_sc_hd__or3_2)
-     6    0.02                           mprj/u_wb_host/_2186_ (net)
-                  0.13    0.00    2.15 v mprj/u_wb_host/_4300_/C (sky130_fd_sc_hd__and3_1)
-                  0.14    0.32    2.47 v mprj/u_wb_host/_4300_/X (sky130_fd_sc_hd__and3_1)
-     8    0.03                           mprj/u_wb_host/_2187_ (net)
-                  0.14    0.00    2.47 v mprj/u_wb_host/_4904_/A (sky130_fd_sc_hd__or3_1)
-                  0.07    0.41    2.88 v mprj/u_wb_host/_4904_/X (sky130_fd_sc_hd__or3_1)
-     1    0.00                           mprj/u_wb_host/_2398_ (net)
-                  0.07    0.00    2.88 v mprj/u_wb_host/_4905_/A (sky130_fd_sc_hd__or3b_4)
-                  0.30    0.66    3.55 v mprj/u_wb_host/_4905_/X (sky130_fd_sc_hd__or3b_4)
-    42    0.19                           mprj/u_wb_host/_2399_ (net)
-                  0.31    0.04    3.59 v mprj/u_wb_host/_4906_/B (sky130_fd_sc_hd__nor2_8)
-                  0.44    0.47    4.06 ^ mprj/u_wb_host/_4906_/Y (sky130_fd_sc_hd__nor2_8)
-    40    0.12                           mprj/u_wb_host/_2400_ (net)
-                  0.44    0.01    4.07 ^ mprj/u_wb_host/_4918_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.05    0.19    4.27 ^ mprj/u_wb_host/_4918_/X (sky130_fd_sc_hd__a22o_1)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.00    0.62 ^ mprj/u_wb_host/clkbuf_leaf_15_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    0.88 ^ mprj/u_wb_host/clkbuf_leaf_15_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_15_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.88 ^ mprj/u_wb_host/_6444_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.11    0.47    1.35 v mprj/u_wb_host/_6444_/Q (sky130_fd_sc_hd__dfrtp_1)
+     5    0.02                           mprj/u_wb_host/u_uart2wb.rx_data[7] (net)
+                  0.11    0.00    1.35 v mprj/u_wb_host/_4284_/B (sky130_fd_sc_hd__or3_1)
+                  0.11    0.43    1.79 v mprj/u_wb_host/_4284_/X (sky130_fd_sc_hd__or3_1)
+     4    0.01                           mprj/u_wb_host/_2174_ (net)
+                  0.11    0.00    1.79 v mprj/u_wb_host/_4287_/B (sky130_fd_sc_hd__or4_2)
+                  0.20    0.85    2.63 v mprj/u_wb_host/_4287_/X (sky130_fd_sc_hd__or4_2)
+    10    0.04                           mprj/u_wb_host/_2177_ (net)
+                  0.20    0.00    2.63 v mprj/u_wb_host/fanout453/A (sky130_fd_sc_hd__buf_2)
+                  0.14    0.30    2.93 v mprj/u_wb_host/fanout453/X (sky130_fd_sc_hd__buf_2)
+    20    0.06                           mprj/u_wb_host/net453 (net)
+                  0.14    0.00    2.94 v mprj/u_wb_host/_4875_/A1 (sky130_fd_sc_hd__a2111o_1)
+                  0.10    0.46    3.40 v mprj/u_wb_host/_4875_/X (sky130_fd_sc_hd__a2111o_1)
+     2    0.01                           mprj/u_wb_host/_2373_ (net)
+                  0.10    0.00    3.40 v mprj/u_wb_host/_4895_/B (sky130_fd_sc_hd__nand3_1)
+                  0.08    0.11    3.51 ^ mprj/u_wb_host/_4895_/Y (sky130_fd_sc_hd__nand3_1)
+     1    0.00                           mprj/u_wb_host/_2392_ (net)
+                  0.08    0.00    3.51 ^ mprj/u_wb_host/_4896_/B (sky130_fd_sc_hd__or3b_4)
+                  0.17    0.23    3.74 ^ mprj/u_wb_host/_4896_/X (sky130_fd_sc_hd__or3b_4)
+     2    0.05                           mprj/u_wb_host/_2393_ (net)
+                  0.17    0.01    3.75 ^ mprj/u_wb_host/fanout335/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.29    4.04 ^ mprj/u_wb_host/fanout335/X (sky130_fd_sc_hd__buf_2)
+    12    0.05                           mprj/u_wb_host/net335 (net)
+                  0.22    0.00    4.04 ^ mprj/u_wb_host/_4897_/B (sky130_fd_sc_hd__nor2_1)
+                  0.13    0.18    4.22 v mprj/u_wb_host/_4897_/Y (sky130_fd_sc_hd__nor2_1)
+     4    0.03                           mprj/u_wb_host/_2394_ (net)
+                  0.13    0.00    4.22 v mprj/u_wb_host/fanout332/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.13    0.28    4.49 v mprj/u_wb_host/fanout332/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.07                           mprj/u_wb_host/net332 (net)
+                  0.13    0.00    4.50 v mprj/u_wb_host/fanout331/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.10    0.25    4.74 v mprj/u_wb_host/fanout331/X (sky130_fd_sc_hd__clkbuf_4)
+    10    0.04                           mprj/u_wb_host/net331 (net)
+                  0.10    0.00    4.74 v mprj/u_wb_host/_4908_/B1 (sky130_fd_sc_hd__a22o_1)
+                  0.04    0.20    4.94 v mprj/u_wb_host/_4908_/X (sky130_fd_sc_hd__a22o_1)
      1    0.00                           mprj/u_wb_host/_0812_ (net)
-                  0.05    0.00    4.27 ^ mprj/u_wb_host/_6298_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  4.27   data arrival time
+                  0.04    0.00    4.94 v mprj/u_wb_host/_6292_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  4.94   data arrival time
 
                         100.00  100.00   clock uart_clk (rise edge)
                           0.00  100.00   clock source latency
-                  2.27    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.17  100.17 ^ mprj/u_wb_host/_6298_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   99.92   clock uncertainty
-                          0.00   99.92   clock reconvergence pessimism
-                          0.07   99.98   library setup time
-                                 99.98   data required time
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14  100.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00  100.14 ^ mprj/u_wb_host/clkbuf_1_0_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12  100.26 ^ mprj/u_wb_host/clkbuf_1_0_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00  100.26 ^ mprj/u_wb_host/clkbuf_1_0_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.25    0.26  100.52 ^ mprj/u_wb_host/clkbuf_1_0_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.14                           mprj/u_wb_host/clknet_1_0_1_u_uart2wb.baud_clk_16x (net)
+                  0.25    0.01  100.53 ^ mprj/u_wb_host/clkbuf_leaf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.23  100.76 ^ mprj/u_wb_host/clkbuf_leaf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_0_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00  100.76 ^ mprj/u_wb_host/_6292_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25  100.51   clock uncertainty
+                          0.01  100.52   clock reconvergence pessimism
+                         -0.10  100.42   library setup time
+                                100.42   data required time
 -----------------------------------------------------------------------------
-                                 99.98   data required time
-                                 -4.27   data arrival time
+                                100.42   data required time
+                                 -4.94   data arrival time
 -----------------------------------------------------------------------------
-                                 95.72   slack (MET)
+                                 95.48   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_6369_
+Startpoint: mprj/u_wb_host/_6444_
             (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6396_
+Endpoint: mprj/u_wb_host/_6286_
           (rising edge-triggered flip-flop clocked by uart_clk)
 Path Group: uart_clk
 Path Type: max
@@ -147901,54 +172883,90 @@
 -----------------------------------------------------------------------------
                           0.00    0.00   clock uart_clk (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.15    0.15 ^ mprj/u_wb_host/_6369_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.12    0.88    1.04 v mprj/u_wb_host/_6369_/Q (sky130_fd_sc_hd__dfrtp_4)
-    10    0.05                           mprj/u_wb_host/u_uart2wb.u_msg.State[1] (net)
-                  0.12    0.00    1.04 v mprj/u_wb_host/_3298_/A (sky130_fd_sc_hd__or3b_4)
-                  0.13    0.53    1.57 v mprj/u_wb_host/_3298_/X (sky130_fd_sc_hd__or3b_4)
-     6    0.05                           mprj/u_wb_host/_1517_ (net)
-                  0.13    0.00    1.57 v mprj/u_wb_host/_3299_/B (sky130_fd_sc_hd__nor2_8)
-                  1.26    0.99    2.57 ^ mprj/u_wb_host/_3299_/Y (sky130_fd_sc_hd__nor2_8)
-   122    0.37                           mprj/u_wb_host/_1518_ (net)
-                  1.26    0.04    2.61 ^ mprj/u_wb_host/_3357_/A (sky130_fd_sc_hd__nor2_2)
-                  0.23    0.21    2.81 v mprj/u_wb_host/_3357_/Y (sky130_fd_sc_hd__nor2_2)
-     4    0.02                           mprj/u_wb_host/_1536_ (net)
-                  0.23    0.00    2.81 v mprj/u_wb_host/_5054_/A2 (sky130_fd_sc_hd__a21oi_4)
-                  0.54    0.56    3.37 ^ mprj/u_wb_host/_5054_/Y (sky130_fd_sc_hd__a21oi_4)
-    28    0.08                           mprj/u_wb_host/_2466_ (net)
-                  0.54    0.00    3.37 ^ mprj/u_wb_host/_5068_/B (sky130_fd_sc_hd__and2_1)
-                  0.23    0.35    3.72 ^ mprj/u_wb_host/_5068_/X (sky130_fd_sc_hd__and2_1)
-     5    0.02                           mprj/u_wb_host/_2472_ (net)
-                  0.23    0.00    3.72 ^ mprj/u_wb_host/_5077_/B (sky130_fd_sc_hd__or2_1)
-                  0.14    0.21    3.93 ^ mprj/u_wb_host/_5077_/X (sky130_fd_sc_hd__or2_1)
-     4    0.01                           mprj/u_wb_host/_2473_ (net)
-                  0.14    0.00    3.93 ^ mprj/u_wb_host/_5090_/C1 (sky130_fd_sc_hd__a221o_1)
-                  0.04    0.12    4.06 ^ mprj/u_wb_host/_5090_/X (sky130_fd_sc_hd__a221o_1)
-     1    0.00                           mprj/u_wb_host/_0910_ (net)
-                  0.04    0.00    4.06 ^ mprj/u_wb_host/_6396_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  4.06   data arrival time
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.00    0.62 ^ mprj/u_wb_host/clkbuf_leaf_15_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    0.88 ^ mprj/u_wb_host/clkbuf_leaf_15_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_15_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.88 ^ mprj/u_wb_host/_6444_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.11    0.47    1.35 v mprj/u_wb_host/_6444_/Q (sky130_fd_sc_hd__dfrtp_1)
+     5    0.02                           mprj/u_wb_host/u_uart2wb.rx_data[7] (net)
+                  0.11    0.00    1.35 v mprj/u_wb_host/_4284_/B (sky130_fd_sc_hd__or3_1)
+                  0.11    0.43    1.79 v mprj/u_wb_host/_4284_/X (sky130_fd_sc_hd__or3_1)
+     4    0.01                           mprj/u_wb_host/_2174_ (net)
+                  0.11    0.00    1.79 v mprj/u_wb_host/_4287_/B (sky130_fd_sc_hd__or4_2)
+                  0.20    0.85    2.63 v mprj/u_wb_host/_4287_/X (sky130_fd_sc_hd__or4_2)
+    10    0.04                           mprj/u_wb_host/_2177_ (net)
+                  0.20    0.00    2.63 v mprj/u_wb_host/fanout453/A (sky130_fd_sc_hd__buf_2)
+                  0.14    0.30    2.93 v mprj/u_wb_host/fanout453/X (sky130_fd_sc_hd__buf_2)
+    20    0.06                           mprj/u_wb_host/net453 (net)
+                  0.14    0.00    2.94 v mprj/u_wb_host/_4875_/A1 (sky130_fd_sc_hd__a2111o_1)
+                  0.10    0.46    3.40 v mprj/u_wb_host/_4875_/X (sky130_fd_sc_hd__a2111o_1)
+     2    0.01                           mprj/u_wb_host/_2373_ (net)
+                  0.10    0.00    3.40 v mprj/u_wb_host/_4895_/B (sky130_fd_sc_hd__nand3_1)
+                  0.08    0.11    3.51 ^ mprj/u_wb_host/_4895_/Y (sky130_fd_sc_hd__nand3_1)
+     1    0.00                           mprj/u_wb_host/_2392_ (net)
+                  0.08    0.00    3.51 ^ mprj/u_wb_host/_4896_/B (sky130_fd_sc_hd__or3b_4)
+                  0.17    0.23    3.74 ^ mprj/u_wb_host/_4896_/X (sky130_fd_sc_hd__or3b_4)
+     2    0.05                           mprj/u_wb_host/_2393_ (net)
+                  0.17    0.01    3.75 ^ mprj/u_wb_host/fanout335/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.29    4.04 ^ mprj/u_wb_host/fanout335/X (sky130_fd_sc_hd__buf_2)
+    12    0.05                           mprj/u_wb_host/net335 (net)
+                  0.22    0.00    4.04 ^ mprj/u_wb_host/_4897_/B (sky130_fd_sc_hd__nor2_1)
+                  0.13    0.18    4.22 v mprj/u_wb_host/_4897_/Y (sky130_fd_sc_hd__nor2_1)
+     4    0.03                           mprj/u_wb_host/_2394_ (net)
+                  0.13    0.00    4.22 v mprj/u_wb_host/fanout332/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.13    0.28    4.49 v mprj/u_wb_host/fanout332/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.07                           mprj/u_wb_host/net332 (net)
+                  0.13    0.00    4.50 v mprj/u_wb_host/fanout331/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.10    0.25    4.74 v mprj/u_wb_host/fanout331/X (sky130_fd_sc_hd__clkbuf_4)
+    10    0.04                           mprj/u_wb_host/net331 (net)
+                  0.10    0.00    4.74 v mprj/u_wb_host/_4902_/B1 (sky130_fd_sc_hd__a22o_1)
+                  0.04    0.20    4.94 v mprj/u_wb_host/_4902_/X (sky130_fd_sc_hd__a22o_1)
+     1    0.00                           mprj/u_wb_host/_0806_ (net)
+                  0.04    0.00    4.94 v mprj/u_wb_host/_6286_/D (sky130_fd_sc_hd__dfrtp_2)
+                                  4.94   data arrival time
 
                         100.00  100.00   clock uart_clk (rise edge)
                           0.00  100.00   clock source latency
-                  2.27    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.15  100.15 ^ mprj/u_wb_host/_6396_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                         -0.25   99.90   clock uncertainty
-                          0.00   99.90   clock reconvergence pessimism
-                          0.06   99.96   library setup time
-                                 99.96   data required time
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14  100.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00  100.14 ^ mprj/u_wb_host/clkbuf_1_0_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12  100.26 ^ mprj/u_wb_host/clkbuf_1_0_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00  100.26 ^ mprj/u_wb_host/clkbuf_1_0_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.25    0.26  100.52 ^ mprj/u_wb_host/clkbuf_1_0_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.14                           mprj/u_wb_host/clknet_1_0_1_u_uart2wb.baud_clk_16x (net)
+                  0.25    0.01  100.53 ^ mprj/u_wb_host/clkbuf_leaf_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.23  100.76 ^ mprj/u_wb_host/clkbuf_leaf_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    28    0.09                           mprj/u_wb_host/clknet_leaf_1_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00  100.76 ^ mprj/u_wb_host/_6286_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                         -0.25  100.51   clock uncertainty
+                          0.01  100.52   clock reconvergence pessimism
+                         -0.10  100.42   library setup time
+                                100.42   data required time
 -----------------------------------------------------------------------------
-                                 99.96   data required time
-                                 -4.06   data arrival time
+                                100.42   data required time
+                                 -4.94   data arrival time
 -----------------------------------------------------------------------------
-                                 95.90   slack (MET)
+                                 95.48   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_6369_
+Startpoint: mprj/u_wb_host/_6444_
             (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6393_
+Endpoint: mprj/u_wb_host/_6284_
           (rising edge-triggered flip-flop clocked by uart_clk)
 Path Group: uart_clk
 Path Type: max
@@ -147957,482 +172975,88 @@
 -----------------------------------------------------------------------------
                           0.00    0.00   clock uart_clk (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.15    0.15 ^ mprj/u_wb_host/_6369_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.12    0.88    1.04 v mprj/u_wb_host/_6369_/Q (sky130_fd_sc_hd__dfrtp_4)
-    10    0.05                           mprj/u_wb_host/u_uart2wb.u_msg.State[1] (net)
-                  0.12    0.00    1.04 v mprj/u_wb_host/_3298_/A (sky130_fd_sc_hd__or3b_4)
-                  0.13    0.53    1.57 v mprj/u_wb_host/_3298_/X (sky130_fd_sc_hd__or3b_4)
-     6    0.05                           mprj/u_wb_host/_1517_ (net)
-                  0.13    0.00    1.57 v mprj/u_wb_host/_3299_/B (sky130_fd_sc_hd__nor2_8)
-                  1.26    0.99    2.57 ^ mprj/u_wb_host/_3299_/Y (sky130_fd_sc_hd__nor2_8)
-   122    0.37                           mprj/u_wb_host/_1518_ (net)
-                  1.26    0.04    2.61 ^ mprj/u_wb_host/_3357_/A (sky130_fd_sc_hd__nor2_2)
-                  0.23    0.21    2.81 v mprj/u_wb_host/_3357_/Y (sky130_fd_sc_hd__nor2_2)
-     4    0.02                           mprj/u_wb_host/_1536_ (net)
-                  0.23    0.00    2.81 v mprj/u_wb_host/_5054_/A2 (sky130_fd_sc_hd__a21oi_4)
-                  0.54    0.56    3.37 ^ mprj/u_wb_host/_5054_/Y (sky130_fd_sc_hd__a21oi_4)
-    28    0.08                           mprj/u_wb_host/_2466_ (net)
-                  0.54    0.00    3.37 ^ mprj/u_wb_host/_5068_/B (sky130_fd_sc_hd__and2_1)
-                  0.23    0.35    3.72 ^ mprj/u_wb_host/_5068_/X (sky130_fd_sc_hd__and2_1)
-     5    0.02                           mprj/u_wb_host/_2472_ (net)
-                  0.23    0.00    3.72 ^ mprj/u_wb_host/_5077_/B (sky130_fd_sc_hd__or2_1)
-                  0.14    0.21    3.93 ^ mprj/u_wb_host/_5077_/X (sky130_fd_sc_hd__or2_1)
-     4    0.01                           mprj/u_wb_host/_2473_ (net)
-                  0.14    0.00    3.93 ^ mprj/u_wb_host/_5087_/C1 (sky130_fd_sc_hd__a221o_1)
-                  0.05    0.13    4.06 ^ mprj/u_wb_host/_5087_/X (sky130_fd_sc_hd__a221o_1)
-     1    0.00                           mprj/u_wb_host/_0907_ (net)
-                  0.05    0.00    4.06 ^ mprj/u_wb_host/_6393_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  4.06   data arrival time
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.00    0.62 ^ mprj/u_wb_host/clkbuf_leaf_15_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    0.88 ^ mprj/u_wb_host/clkbuf_leaf_15_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_15_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.88 ^ mprj/u_wb_host/_6444_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.11    0.47    1.35 v mprj/u_wb_host/_6444_/Q (sky130_fd_sc_hd__dfrtp_1)
+     5    0.02                           mprj/u_wb_host/u_uart2wb.rx_data[7] (net)
+                  0.11    0.00    1.35 v mprj/u_wb_host/_4284_/B (sky130_fd_sc_hd__or3_1)
+                  0.11    0.43    1.79 v mprj/u_wb_host/_4284_/X (sky130_fd_sc_hd__or3_1)
+     4    0.01                           mprj/u_wb_host/_2174_ (net)
+                  0.11    0.00    1.79 v mprj/u_wb_host/_4287_/B (sky130_fd_sc_hd__or4_2)
+                  0.20    0.85    2.63 v mprj/u_wb_host/_4287_/X (sky130_fd_sc_hd__or4_2)
+    10    0.04                           mprj/u_wb_host/_2177_ (net)
+                  0.20    0.00    2.63 v mprj/u_wb_host/fanout453/A (sky130_fd_sc_hd__buf_2)
+                  0.14    0.30    2.93 v mprj/u_wb_host/fanout453/X (sky130_fd_sc_hd__buf_2)
+    20    0.06                           mprj/u_wb_host/net453 (net)
+                  0.14    0.00    2.94 v mprj/u_wb_host/_4875_/A1 (sky130_fd_sc_hd__a2111o_1)
+                  0.10    0.46    3.40 v mprj/u_wb_host/_4875_/X (sky130_fd_sc_hd__a2111o_1)
+     2    0.01                           mprj/u_wb_host/_2373_ (net)
+                  0.10    0.00    3.40 v mprj/u_wb_host/_4895_/B (sky130_fd_sc_hd__nand3_1)
+                  0.08    0.11    3.51 ^ mprj/u_wb_host/_4895_/Y (sky130_fd_sc_hd__nand3_1)
+     1    0.00                           mprj/u_wb_host/_2392_ (net)
+                  0.08    0.00    3.51 ^ mprj/u_wb_host/_4896_/B (sky130_fd_sc_hd__or3b_4)
+                  0.17    0.23    3.74 ^ mprj/u_wb_host/_4896_/X (sky130_fd_sc_hd__or3b_4)
+     2    0.05                           mprj/u_wb_host/_2393_ (net)
+                  0.17    0.01    3.75 ^ mprj/u_wb_host/fanout335/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.29    4.04 ^ mprj/u_wb_host/fanout335/X (sky130_fd_sc_hd__buf_2)
+    12    0.05                           mprj/u_wb_host/net335 (net)
+                  0.22    0.00    4.04 ^ mprj/u_wb_host/_4897_/B (sky130_fd_sc_hd__nor2_1)
+                  0.13    0.18    4.22 v mprj/u_wb_host/_4897_/Y (sky130_fd_sc_hd__nor2_1)
+     4    0.03                           mprj/u_wb_host/_2394_ (net)
+                  0.13    0.00    4.22 v mprj/u_wb_host/fanout332/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.13    0.28    4.49 v mprj/u_wb_host/fanout332/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.07                           mprj/u_wb_host/net332 (net)
+                  0.13    0.00    4.50 v mprj/u_wb_host/fanout331/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.10    0.25    4.74 v mprj/u_wb_host/fanout331/X (sky130_fd_sc_hd__clkbuf_4)
+    10    0.04                           mprj/u_wb_host/net331 (net)
+                  0.10    0.00    4.74 v mprj/u_wb_host/_4898_/B1 (sky130_fd_sc_hd__a22o_1)
+                  0.04    0.20    4.94 v mprj/u_wb_host/_4898_/X (sky130_fd_sc_hd__a22o_1)
+     1    0.00                           mprj/u_wb_host/_0804_ (net)
+                  0.04    0.00    4.94 v mprj/u_wb_host/_6284_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  4.94   data arrival time
 
                         100.00  100.00   clock uart_clk (rise edge)
                           0.00  100.00   clock source latency
-                  2.27    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.15  100.15 ^ mprj/u_wb_host/_6393_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                         -0.25   99.90   clock uncertainty
-                          0.00   99.90   clock reconvergence pessimism
-                          0.06   99.96   library setup time
-                                 99.96   data required time
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14  100.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00  100.14 ^ mprj/u_wb_host/clkbuf_1_0_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12  100.26 ^ mprj/u_wb_host/clkbuf_1_0_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00  100.26 ^ mprj/u_wb_host/clkbuf_1_0_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.25    0.26  100.52 ^ mprj/u_wb_host/clkbuf_1_0_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.14                           mprj/u_wb_host/clknet_1_0_1_u_uart2wb.baud_clk_16x (net)
+                  0.25    0.01  100.53 ^ mprj/u_wb_host/clkbuf_leaf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.23  100.76 ^ mprj/u_wb_host/clkbuf_leaf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_0_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00  100.76 ^ mprj/u_wb_host/_6284_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25  100.51   clock uncertainty
+                          0.01  100.52   clock reconvergence pessimism
+                         -0.10  100.42   library setup time
+                                100.42   data required time
 -----------------------------------------------------------------------------
-                                 99.96   data required time
-                                 -4.06   data arrival time
+                                100.42   data required time
+                                 -4.94   data arrival time
 -----------------------------------------------------------------------------
-                                 95.90   slack (MET)
+                                 95.48   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_6369_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6388_
-          (rising edge-triggered flip-flop clocked by uart_clk)
-Path Group: uart_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.15    0.15 ^ mprj/u_wb_host/_6369_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.12    0.88    1.04 v mprj/u_wb_host/_6369_/Q (sky130_fd_sc_hd__dfrtp_4)
-    10    0.05                           mprj/u_wb_host/u_uart2wb.u_msg.State[1] (net)
-                  0.12    0.00    1.04 v mprj/u_wb_host/_3298_/A (sky130_fd_sc_hd__or3b_4)
-                  0.13    0.53    1.57 v mprj/u_wb_host/_3298_/X (sky130_fd_sc_hd__or3b_4)
-     6    0.05                           mprj/u_wb_host/_1517_ (net)
-                  0.13    0.00    1.57 v mprj/u_wb_host/_3299_/B (sky130_fd_sc_hd__nor2_8)
-                  1.26    0.99    2.57 ^ mprj/u_wb_host/_3299_/Y (sky130_fd_sc_hd__nor2_8)
-   122    0.37                           mprj/u_wb_host/_1518_ (net)
-                  1.26    0.04    2.61 ^ mprj/u_wb_host/_3357_/A (sky130_fd_sc_hd__nor2_2)
-                  0.23    0.21    2.81 v mprj/u_wb_host/_3357_/Y (sky130_fd_sc_hd__nor2_2)
-     4    0.02                           mprj/u_wb_host/_1536_ (net)
-                  0.23    0.00    2.81 v mprj/u_wb_host/_5054_/A2 (sky130_fd_sc_hd__a21oi_4)
-                  0.54    0.56    3.37 ^ mprj/u_wb_host/_5054_/Y (sky130_fd_sc_hd__a21oi_4)
-    28    0.08                           mprj/u_wb_host/_2466_ (net)
-                  0.54    0.00    3.37 ^ mprj/u_wb_host/_5068_/B (sky130_fd_sc_hd__and2_1)
-                  0.23    0.35    3.72 ^ mprj/u_wb_host/_5068_/X (sky130_fd_sc_hd__and2_1)
-     5    0.02                           mprj/u_wb_host/_2472_ (net)
-                  0.23    0.00    3.72 ^ mprj/u_wb_host/_5077_/B (sky130_fd_sc_hd__or2_1)
-                  0.14    0.21    3.93 ^ mprj/u_wb_host/_5077_/X (sky130_fd_sc_hd__or2_1)
-     4    0.01                           mprj/u_wb_host/_2473_ (net)
-                  0.14    0.00    3.93 ^ mprj/u_wb_host/_5078_/C1 (sky130_fd_sc_hd__a221o_1)
-                  0.05    0.13    4.06 ^ mprj/u_wb_host/_5078_/X (sky130_fd_sc_hd__a221o_1)
-     1    0.00                           mprj/u_wb_host/_0902_ (net)
-                  0.05    0.00    4.06 ^ mprj/u_wb_host/_6388_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  4.06   data arrival time
-
-                        100.00  100.00   clock uart_clk (rise edge)
-                          0.00  100.00   clock source latency
-                  2.27    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.15  100.15 ^ mprj/u_wb_host/_6388_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                         -0.25   99.90   clock uncertainty
-                          0.00   99.90   clock reconvergence pessimism
-                          0.06   99.96   library setup time
-                                 99.96   data required time
------------------------------------------------------------------------------
-                                 99.96   data required time
-                                 -4.06   data arrival time
------------------------------------------------------------------------------
-                                 95.90   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6369_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6399_
-          (rising edge-triggered flip-flop clocked by uart_clk)
-Path Group: uart_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.15    0.15 ^ mprj/u_wb_host/_6369_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.12    0.88    1.04 v mprj/u_wb_host/_6369_/Q (sky130_fd_sc_hd__dfrtp_4)
-    10    0.05                           mprj/u_wb_host/u_uart2wb.u_msg.State[1] (net)
-                  0.12    0.00    1.04 v mprj/u_wb_host/_3298_/A (sky130_fd_sc_hd__or3b_4)
-                  0.13    0.53    1.57 v mprj/u_wb_host/_3298_/X (sky130_fd_sc_hd__or3b_4)
-     6    0.05                           mprj/u_wb_host/_1517_ (net)
-                  0.13    0.00    1.57 v mprj/u_wb_host/_3299_/B (sky130_fd_sc_hd__nor2_8)
-                  1.26    0.99    2.57 ^ mprj/u_wb_host/_3299_/Y (sky130_fd_sc_hd__nor2_8)
-   122    0.37                           mprj/u_wb_host/_1518_ (net)
-                  1.26    0.04    2.61 ^ mprj/u_wb_host/_3357_/A (sky130_fd_sc_hd__nor2_2)
-                  0.23    0.21    2.81 v mprj/u_wb_host/_3357_/Y (sky130_fd_sc_hd__nor2_2)
-     4    0.02                           mprj/u_wb_host/_1536_ (net)
-                  0.23    0.00    2.81 v mprj/u_wb_host/_5054_/A2 (sky130_fd_sc_hd__a21oi_4)
-                  0.54    0.56    3.37 ^ mprj/u_wb_host/_5054_/Y (sky130_fd_sc_hd__a21oi_4)
-    28    0.08                           mprj/u_wb_host/_2466_ (net)
-                  0.54    0.00    3.37 ^ mprj/u_wb_host/_5068_/B (sky130_fd_sc_hd__and2_1)
-                  0.23    0.35    3.72 ^ mprj/u_wb_host/_5068_/X (sky130_fd_sc_hd__and2_1)
-     5    0.02                           mprj/u_wb_host/_2472_ (net)
-                  0.23    0.00    3.72 ^ mprj/u_wb_host/_5077_/B (sky130_fd_sc_hd__or2_1)
-                  0.14    0.21    3.93 ^ mprj/u_wb_host/_5077_/X (sky130_fd_sc_hd__or2_1)
-     4    0.01                           mprj/u_wb_host/_2473_ (net)
-                  0.14    0.00    3.93 ^ mprj/u_wb_host/_5093_/C1 (sky130_fd_sc_hd__a221o_1)
-                  0.05    0.13    4.06 ^ mprj/u_wb_host/_5093_/X (sky130_fd_sc_hd__a221o_1)
-     1    0.00                           mprj/u_wb_host/_0913_ (net)
-                  0.05    0.00    4.06 ^ mprj/u_wb_host/_6399_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  4.06   data arrival time
-
-                        100.00  100.00   clock uart_clk (rise edge)
-                          0.00  100.00   clock source latency
-                  2.27    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.15  100.15 ^ mprj/u_wb_host/_6399_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                         -0.25   99.90   clock uncertainty
-                          0.00   99.90   clock reconvergence pessimism
-                          0.06   99.96   library setup time
-                                 99.96   data required time
------------------------------------------------------------------------------
-                                 99.96   data required time
-                                 -4.06   data arrival time
------------------------------------------------------------------------------
-                                 95.90   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6369_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_5615_
-          (rising edge-triggered flip-flop clocked by uart_clk)
-Path Group: uart_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.15    0.15 ^ mprj/u_wb_host/_6369_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.12    0.88    1.04 v mprj/u_wb_host/_6369_/Q (sky130_fd_sc_hd__dfrtp_4)
-    10    0.05                           mprj/u_wb_host/u_uart2wb.u_msg.State[1] (net)
-                  0.12    0.00    1.04 v mprj/u_wb_host/_3298_/A (sky130_fd_sc_hd__or3b_4)
-                  0.13    0.53    1.57 v mprj/u_wb_host/_3298_/X (sky130_fd_sc_hd__or3b_4)
-     6    0.05                           mprj/u_wb_host/_1517_ (net)
-                  0.13    0.00    1.57 v mprj/u_wb_host/_3299_/B (sky130_fd_sc_hd__nor2_8)
-                  1.26    0.99    2.57 ^ mprj/u_wb_host/_3299_/Y (sky130_fd_sc_hd__nor2_8)
-   122    0.37                           mprj/u_wb_host/_1518_ (net)
-                  1.26    0.04    2.61 ^ mprj/u_wb_host/_3364_/A1 (sky130_fd_sc_hd__a211o_4)
-                  0.20    0.41    3.02 ^ mprj/u_wb_host/_3364_/X (sky130_fd_sc_hd__a211o_4)
-     8    0.07                           mprj/u_wb_host/_1543_ (net)
-                  0.20    0.00    3.02 ^ mprj/u_wb_host/_3366_/B (sky130_fd_sc_hd__or2_4)
-                  0.54    0.52    3.54 ^ mprj/u_wb_host/_3366_/X (sky130_fd_sc_hd__or2_4)
-    60    0.18                           mprj/u_wb_host/_1545_ (net)
-                  0.54    0.03    3.56 ^ mprj/u_wb_host/_3468_/A (sky130_fd_sc_hd__or3_1)
-                  0.05    0.21    3.77 ^ mprj/u_wb_host/_3468_/X (sky130_fd_sc_hd__or3_1)
-     1    0.00                           mprj/u_wb_host/_1619_ (net)
-                  0.05    0.00    3.77 ^ mprj/u_wb_host/_3469_/B1 (sky130_fd_sc_hd__o21a_1)
-                  0.06    0.11    3.88 ^ mprj/u_wb_host/_3469_/X (sky130_fd_sc_hd__o21a_1)
-     1    0.00                           mprj/u_wb_host/_0147_ (net)
-                  0.06    0.00    3.88 ^ mprj/u_wb_host/_5615_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  3.88   data arrival time
-
-                        100.00  100.00   clock uart_clk (rise edge)
-                          0.00  100.00   clock source latency
-                  2.27    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.27    0.03  100.03 ^ mprj/u_wb_host/_5615_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                         -0.25   99.78   clock uncertainty
-                          0.00   99.78   clock reconvergence pessimism
-                          0.06   99.84   library setup time
-                                 99.84   data required time
------------------------------------------------------------------------------
-                                 99.84   data required time
-                                 -3.88   data arrival time
------------------------------------------------------------------------------
-                                 95.95   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6369_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_5622_
-          (rising edge-triggered flip-flop clocked by uart_clk)
-Path Group: uart_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.15    0.15 ^ mprj/u_wb_host/_6369_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.12    0.88    1.04 v mprj/u_wb_host/_6369_/Q (sky130_fd_sc_hd__dfrtp_4)
-    10    0.05                           mprj/u_wb_host/u_uart2wb.u_msg.State[1] (net)
-                  0.12    0.00    1.04 v mprj/u_wb_host/_3298_/A (sky130_fd_sc_hd__or3b_4)
-                  0.13    0.53    1.57 v mprj/u_wb_host/_3298_/X (sky130_fd_sc_hd__or3b_4)
-     6    0.05                           mprj/u_wb_host/_1517_ (net)
-                  0.13    0.00    1.57 v mprj/u_wb_host/_3299_/B (sky130_fd_sc_hd__nor2_8)
-                  1.26    0.99    2.57 ^ mprj/u_wb_host/_3299_/Y (sky130_fd_sc_hd__nor2_8)
-   122    0.37                           mprj/u_wb_host/_1518_ (net)
-                  1.26    0.04    2.61 ^ mprj/u_wb_host/_3364_/A1 (sky130_fd_sc_hd__a211o_4)
-                  0.20    0.41    3.02 ^ mprj/u_wb_host/_3364_/X (sky130_fd_sc_hd__a211o_4)
-     8    0.07                           mprj/u_wb_host/_1543_ (net)
-                  0.20    0.00    3.02 ^ mprj/u_wb_host/_3366_/B (sky130_fd_sc_hd__or2_4)
-                  0.54    0.52    3.54 ^ mprj/u_wb_host/_3366_/X (sky130_fd_sc_hd__or2_4)
-    60    0.18                           mprj/u_wb_host/_1545_ (net)
-                  0.54    0.03    3.56 ^ mprj/u_wb_host/_3492_/B1 (sky130_fd_sc_hd__a211o_1)
-                  0.06    0.18    3.75 ^ mprj/u_wb_host/_3492_/X (sky130_fd_sc_hd__a211o_1)
-     1    0.00                           mprj/u_wb_host/_1636_ (net)
-                  0.06    0.00    3.75 ^ mprj/u_wb_host/_3493_/B2 (sky130_fd_sc_hd__o22a_1)
-                  0.06    0.12    3.87 ^ mprj/u_wb_host/_3493_/X (sky130_fd_sc_hd__o22a_1)
-     1    0.00                           mprj/u_wb_host/_0154_ (net)
-                  0.06    0.00    3.87 ^ mprj/u_wb_host/_5622_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  3.87   data arrival time
-
-                        100.00  100.00   clock uart_clk (rise edge)
-                          0.00  100.00   clock source latency
-                  2.27    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.27    0.03  100.03 ^ mprj/u_wb_host/_5622_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                         -0.25   99.78   clock uncertainty
-                          0.00   99.78   clock reconvergence pessimism
-                          0.06   99.84   library setup time
-                                 99.84   data required time
------------------------------------------------------------------------------
-                                 99.84   data required time
-                                 -3.87   data arrival time
------------------------------------------------------------------------------
-                                 95.97   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6369_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_5630_
-          (rising edge-triggered flip-flop clocked by uart_clk)
-Path Group: uart_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.15    0.15 ^ mprj/u_wb_host/_6369_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.12    0.88    1.04 v mprj/u_wb_host/_6369_/Q (sky130_fd_sc_hd__dfrtp_4)
-    10    0.05                           mprj/u_wb_host/u_uart2wb.u_msg.State[1] (net)
-                  0.12    0.00    1.04 v mprj/u_wb_host/_3298_/A (sky130_fd_sc_hd__or3b_4)
-                  0.13    0.53    1.57 v mprj/u_wb_host/_3298_/X (sky130_fd_sc_hd__or3b_4)
-     6    0.05                           mprj/u_wb_host/_1517_ (net)
-                  0.13    0.00    1.57 v mprj/u_wb_host/_3299_/B (sky130_fd_sc_hd__nor2_8)
-                  1.26    0.99    2.57 ^ mprj/u_wb_host/_3299_/Y (sky130_fd_sc_hd__nor2_8)
-   122    0.37                           mprj/u_wb_host/_1518_ (net)
-                  1.26    0.04    2.61 ^ mprj/u_wb_host/_3364_/A1 (sky130_fd_sc_hd__a211o_4)
-                  0.20    0.41    3.02 ^ mprj/u_wb_host/_3364_/X (sky130_fd_sc_hd__a211o_4)
-     8    0.07                           mprj/u_wb_host/_1543_ (net)
-                  0.20    0.00    3.02 ^ mprj/u_wb_host/_3366_/B (sky130_fd_sc_hd__or2_4)
-                  0.54    0.52    3.54 ^ mprj/u_wb_host/_3366_/X (sky130_fd_sc_hd__or2_4)
-    60    0.18                           mprj/u_wb_host/_1545_ (net)
-                  0.54    0.03    3.56 ^ mprj/u_wb_host/_3521_/B (sky130_fd_sc_hd__or3_1)
-                  0.05    0.20    3.76 ^ mprj/u_wb_host/_3521_/X (sky130_fd_sc_hd__or3_1)
-     1    0.00                           mprj/u_wb_host/_1657_ (net)
-                  0.05    0.00    3.76 ^ mprj/u_wb_host/_3522_/B1 (sky130_fd_sc_hd__o21a_1)
-                  0.06    0.11    3.86 ^ mprj/u_wb_host/_3522_/X (sky130_fd_sc_hd__o21a_1)
-     1    0.00                           mprj/u_wb_host/_0162_ (net)
-                  0.06    0.00    3.86 ^ mprj/u_wb_host/_5630_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  3.86   data arrival time
-
-                        100.00  100.00   clock uart_clk (rise edge)
-                          0.00  100.00   clock source latency
-                  2.27    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.27    0.03  100.03 ^ mprj/u_wb_host/_5630_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                         -0.25   99.78   clock uncertainty
-                          0.00   99.78   clock reconvergence pessimism
-                          0.06   99.83   library setup time
-                                 99.83   data required time
------------------------------------------------------------------------------
-                                 99.83   data required time
-                                 -3.86   data arrival time
------------------------------------------------------------------------------
-                                 95.97   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6369_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_5631_
-          (rising edge-triggered flip-flop clocked by uart_clk)
-Path Group: uart_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.15    0.15 ^ mprj/u_wb_host/_6369_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.12    0.88    1.04 v mprj/u_wb_host/_6369_/Q (sky130_fd_sc_hd__dfrtp_4)
-    10    0.05                           mprj/u_wb_host/u_uart2wb.u_msg.State[1] (net)
-                  0.12    0.00    1.04 v mprj/u_wb_host/_3298_/A (sky130_fd_sc_hd__or3b_4)
-                  0.13    0.53    1.57 v mprj/u_wb_host/_3298_/X (sky130_fd_sc_hd__or3b_4)
-     6    0.05                           mprj/u_wb_host/_1517_ (net)
-                  0.13    0.00    1.57 v mprj/u_wb_host/_3299_/B (sky130_fd_sc_hd__nor2_8)
-                  1.26    0.99    2.57 ^ mprj/u_wb_host/_3299_/Y (sky130_fd_sc_hd__nor2_8)
-   122    0.37                           mprj/u_wb_host/_1518_ (net)
-                  1.26    0.04    2.61 ^ mprj/u_wb_host/_3364_/A1 (sky130_fd_sc_hd__a211o_4)
-                  0.20    0.41    3.02 ^ mprj/u_wb_host/_3364_/X (sky130_fd_sc_hd__a211o_4)
-     8    0.07                           mprj/u_wb_host/_1543_ (net)
-                  0.20    0.00    3.02 ^ mprj/u_wb_host/_3366_/B (sky130_fd_sc_hd__or2_4)
-                  0.54    0.52    3.54 ^ mprj/u_wb_host/_3366_/X (sky130_fd_sc_hd__or2_4)
-    60    0.18                           mprj/u_wb_host/_1545_ (net)
-                  0.54    0.03    3.56 ^ mprj/u_wb_host/_3524_/B1 (sky130_fd_sc_hd__a211o_1)
-                  0.06    0.18    3.75 ^ mprj/u_wb_host/_3524_/X (sky130_fd_sc_hd__a211o_1)
-     1    0.00                           mprj/u_wb_host/_1659_ (net)
-                  0.06    0.00    3.75 ^ mprj/u_wb_host/_3525_/B2 (sky130_fd_sc_hd__o22a_1)
-                  0.06    0.11    3.86 ^ mprj/u_wb_host/_3525_/X (sky130_fd_sc_hd__o22a_1)
-     1    0.00                           mprj/u_wb_host/_0163_ (net)
-                  0.06    0.00    3.86 ^ mprj/u_wb_host/_5631_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  3.86   data arrival time
-
-                        100.00  100.00   clock uart_clk (rise edge)
-                          0.00  100.00   clock source latency
-                  2.27    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.27    0.03  100.03 ^ mprj/u_wb_host/_5631_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                         -0.25   99.78   clock uncertainty
-                          0.00   99.78   clock reconvergence pessimism
-                          0.06   99.84   library setup time
-                                 99.84   data required time
------------------------------------------------------------------------------
-                                 99.84   data required time
-                                 -3.86   data arrival time
------------------------------------------------------------------------------
-                                 95.98   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6369_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_5611_
-          (rising edge-triggered flip-flop clocked by uart_clk)
-Path Group: uart_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.15    0.15 ^ mprj/u_wb_host/_6369_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.12    0.88    1.04 v mprj/u_wb_host/_6369_/Q (sky130_fd_sc_hd__dfrtp_4)
-    10    0.05                           mprj/u_wb_host/u_uart2wb.u_msg.State[1] (net)
-                  0.12    0.00    1.04 v mprj/u_wb_host/_3298_/A (sky130_fd_sc_hd__or3b_4)
-                  0.13    0.53    1.57 v mprj/u_wb_host/_3298_/X (sky130_fd_sc_hd__or3b_4)
-     6    0.05                           mprj/u_wb_host/_1517_ (net)
-                  0.13    0.00    1.57 v mprj/u_wb_host/_3299_/B (sky130_fd_sc_hd__nor2_8)
-                  1.26    0.99    2.57 ^ mprj/u_wb_host/_3299_/Y (sky130_fd_sc_hd__nor2_8)
-   122    0.37                           mprj/u_wb_host/_1518_ (net)
-                  1.26    0.04    2.61 ^ mprj/u_wb_host/_3364_/A1 (sky130_fd_sc_hd__a211o_4)
-                  0.20    0.41    3.02 ^ mprj/u_wb_host/_3364_/X (sky130_fd_sc_hd__a211o_4)
-     8    0.07                           mprj/u_wb_host/_1543_ (net)
-                  0.20    0.00    3.02 ^ mprj/u_wb_host/_3366_/B (sky130_fd_sc_hd__or2_4)
-                  0.54    0.52    3.54 ^ mprj/u_wb_host/_3366_/X (sky130_fd_sc_hd__or2_4)
-    60    0.18                           mprj/u_wb_host/_1545_ (net)
-                  0.54    0.02    3.56 ^ mprj/u_wb_host/_3454_/A (sky130_fd_sc_hd__or4_1)
-                  0.06    0.23    3.78 ^ mprj/u_wb_host/_3454_/X (sky130_fd_sc_hd__or4_1)
-     1    0.01                           mprj/u_wb_host/_1609_ (net)
-                  0.06    0.00    3.78 ^ mprj/u_wb_host/_3455_/B1 (sky130_fd_sc_hd__o21a_1)
-                  0.06    0.11    3.90 ^ mprj/u_wb_host/_3455_/X (sky130_fd_sc_hd__o21a_1)
-     1    0.00                           mprj/u_wb_host/_0143_ (net)
-                  0.06    0.00    3.90 ^ mprj/u_wb_host/_5611_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  3.90   data arrival time
-
-                        100.00  100.00   clock uart_clk (rise edge)
-                          0.00  100.00   clock source latency
-                  2.27    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.28    0.09  100.09 ^ mprj/u_wb_host/_5611_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                         -0.25   99.84   clock uncertainty
-                          0.00   99.84   clock reconvergence pessimism
-                          0.06   99.90   library setup time
-                                 99.90   data required time
------------------------------------------------------------------------------
-                                 99.90   data required time
-                                 -3.90   data arrival time
------------------------------------------------------------------------------
-                                 96.00   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6369_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_5601_
-          (rising edge-triggered flip-flop clocked by uart_clk)
-Path Group: uart_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.15    0.15 ^ mprj/u_wb_host/_6369_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.12    0.88    1.04 v mprj/u_wb_host/_6369_/Q (sky130_fd_sc_hd__dfrtp_4)
-    10    0.05                           mprj/u_wb_host/u_uart2wb.u_msg.State[1] (net)
-                  0.12    0.00    1.04 v mprj/u_wb_host/_3298_/A (sky130_fd_sc_hd__or3b_4)
-                  0.13    0.53    1.57 v mprj/u_wb_host/_3298_/X (sky130_fd_sc_hd__or3b_4)
-     6    0.05                           mprj/u_wb_host/_1517_ (net)
-                  0.13    0.00    1.57 v mprj/u_wb_host/_3299_/B (sky130_fd_sc_hd__nor2_8)
-                  1.26    0.99    2.57 ^ mprj/u_wb_host/_3299_/Y (sky130_fd_sc_hd__nor2_8)
-   122    0.37                           mprj/u_wb_host/_1518_ (net)
-                  1.26    0.04    2.61 ^ mprj/u_wb_host/_3364_/A1 (sky130_fd_sc_hd__a211o_4)
-                  0.20    0.41    3.02 ^ mprj/u_wb_host/_3364_/X (sky130_fd_sc_hd__a211o_4)
-     8    0.07                           mprj/u_wb_host/_1543_ (net)
-                  0.20    0.00    3.02 ^ mprj/u_wb_host/_3366_/B (sky130_fd_sc_hd__or2_4)
-                  0.54    0.52    3.54 ^ mprj/u_wb_host/_3366_/X (sky130_fd_sc_hd__or2_4)
-    60    0.18                           mprj/u_wb_host/_1545_ (net)
-                  0.54    0.02    3.56 ^ mprj/u_wb_host/_3418_/B1 (sky130_fd_sc_hd__a2111o_1)
-                  0.06    0.19    3.75 ^ mprj/u_wb_host/_3418_/X (sky130_fd_sc_hd__a2111o_1)
-     1    0.00                           mprj/u_wb_host/_1583_ (net)
-                  0.06    0.00    3.75 ^ mprj/u_wb_host/_3419_/B2 (sky130_fd_sc_hd__o22a_1)
-                  0.06    0.11    3.87 ^ mprj/u_wb_host/_3419_/X (sky130_fd_sc_hd__o22a_1)
-     1    0.00                           mprj/u_wb_host/_0133_ (net)
-                  0.06    0.00    3.87 ^ mprj/u_wb_host/_5601_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  3.87   data arrival time
-
-                        100.00  100.00   clock uart_clk (rise edge)
-                          0.00  100.00   clock source latency
-                  2.27    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.28    0.07  100.07 ^ mprj/u_wb_host/_5601_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                         -0.25   99.82   clock uncertainty
-                          0.00   99.82   clock reconvergence pessimism
-                          0.06   99.88   library setup time
-                                 99.88   data required time
------------------------------------------------------------------------------
-                                 99.88   data required time
-                                 -3.87   data arrival time
------------------------------------------------------------------------------
-                                 96.01   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6447_
+Startpoint: mprj/u_wb_host/_6444_
             (rising edge-triggered flip-flop clocked by uart_clk)
 Endpoint: mprj/u_wb_host/_6289_
           (rising edge-triggered flip-flop clocked by uart_clk)
@@ -148443,57 +173067,90 @@
 -----------------------------------------------------------------------------
                           0.00    0.00   clock uart_clk (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.17    0.17 ^ mprj/u_wb_host/_6447_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.14    0.79    0.96 v mprj/u_wb_host/_6447_/Q (sky130_fd_sc_hd__dfrtp_1)
-    10    0.03                           mprj/u_wb_host/u_uart2wb.rx_data[4] (net)
-                  0.14    0.00    0.96 v mprj/u_wb_host/_4296_/A (sky130_fd_sc_hd__or3_2)
-                  0.13    0.61    1.57 v mprj/u_wb_host/_4296_/X (sky130_fd_sc_hd__or3_2)
-     6    0.02                           mprj/u_wb_host/_2183_ (net)
-                  0.14    0.00    1.57 v mprj/u_wb_host/_4299_/B (sky130_fd_sc_hd__or3_2)
-                  0.13    0.58    2.15 v mprj/u_wb_host/_4299_/X (sky130_fd_sc_hd__or3_2)
-     6    0.02                           mprj/u_wb_host/_2186_ (net)
-                  0.13    0.00    2.15 v mprj/u_wb_host/_4860_/A1 (sky130_fd_sc_hd__o311a_1)
-                  0.10    0.45    2.60 v mprj/u_wb_host/_4860_/X (sky130_fd_sc_hd__o311a_1)
-     1    0.01                           mprj/u_wb_host/_2355_ (net)
-                  0.10    0.00    2.60 v mprj/u_wb_host/_4867_/B1 (sky130_fd_sc_hd__o211ai_4)
-                  0.20    0.13    2.73 ^ mprj/u_wb_host/_4867_/Y (sky130_fd_sc_hd__o211ai_4)
-     2    0.02                           mprj/u_wb_host/_2362_ (net)
-                  0.20    0.00    2.73 ^ mprj/u_wb_host/_4868_/B (sky130_fd_sc_hd__nor2_2)
-                  0.08    0.11    2.84 v mprj/u_wb_host/_4868_/Y (sky130_fd_sc_hd__nor2_2)
-     3    0.02                           mprj/u_wb_host/_2363_ (net)
-                  0.08    0.00    2.84 v mprj/u_wb_host/_4882_/B (sky130_fd_sc_hd__or4b_1)
-                  0.11    0.56    3.40 v mprj/u_wb_host/_4882_/X (sky130_fd_sc_hd__or4b_1)
-     2    0.01                           mprj/u_wb_host/_2377_ (net)
-                  0.11    0.00    3.40 v mprj/u_wb_host/_4883_/B (sky130_fd_sc_hd__or2_1)
-                  0.06    0.25    3.65 v mprj/u_wb_host/_4883_/X (sky130_fd_sc_hd__or2_1)
-     2    0.01                           mprj/u_wb_host/_2378_ (net)
-                  0.06    0.00    3.65 v mprj/u_wb_host/_4884_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.32    3.97 v mprj/u_wb_host/_4884_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0803_ (net)
-                  0.05    0.00    3.97 v mprj/u_wb_host/_6289_/D (sky130_fd_sc_hd__dfrtp_4)
-                                  3.97   data arrival time
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.00    0.62 ^ mprj/u_wb_host/clkbuf_leaf_15_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    0.88 ^ mprj/u_wb_host/clkbuf_leaf_15_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_15_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.88 ^ mprj/u_wb_host/_6444_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.11    0.47    1.35 v mprj/u_wb_host/_6444_/Q (sky130_fd_sc_hd__dfrtp_1)
+     5    0.02                           mprj/u_wb_host/u_uart2wb.rx_data[7] (net)
+                  0.11    0.00    1.35 v mprj/u_wb_host/_4284_/B (sky130_fd_sc_hd__or3_1)
+                  0.11    0.43    1.79 v mprj/u_wb_host/_4284_/X (sky130_fd_sc_hd__or3_1)
+     4    0.01                           mprj/u_wb_host/_2174_ (net)
+                  0.11    0.00    1.79 v mprj/u_wb_host/_4287_/B (sky130_fd_sc_hd__or4_2)
+                  0.20    0.85    2.63 v mprj/u_wb_host/_4287_/X (sky130_fd_sc_hd__or4_2)
+    10    0.04                           mprj/u_wb_host/_2177_ (net)
+                  0.20    0.00    2.63 v mprj/u_wb_host/fanout453/A (sky130_fd_sc_hd__buf_2)
+                  0.14    0.30    2.93 v mprj/u_wb_host/fanout453/X (sky130_fd_sc_hd__buf_2)
+    20    0.06                           mprj/u_wb_host/net453 (net)
+                  0.14    0.00    2.94 v mprj/u_wb_host/_4875_/A1 (sky130_fd_sc_hd__a2111o_1)
+                  0.10    0.46    3.40 v mprj/u_wb_host/_4875_/X (sky130_fd_sc_hd__a2111o_1)
+     2    0.01                           mprj/u_wb_host/_2373_ (net)
+                  0.10    0.00    3.40 v mprj/u_wb_host/_4895_/B (sky130_fd_sc_hd__nand3_1)
+                  0.08    0.11    3.51 ^ mprj/u_wb_host/_4895_/Y (sky130_fd_sc_hd__nand3_1)
+     1    0.00                           mprj/u_wb_host/_2392_ (net)
+                  0.08    0.00    3.51 ^ mprj/u_wb_host/_4896_/B (sky130_fd_sc_hd__or3b_4)
+                  0.17    0.23    3.74 ^ mprj/u_wb_host/_4896_/X (sky130_fd_sc_hd__or3b_4)
+     2    0.05                           mprj/u_wb_host/_2393_ (net)
+                  0.17    0.01    3.75 ^ mprj/u_wb_host/fanout335/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.29    4.04 ^ mprj/u_wb_host/fanout335/X (sky130_fd_sc_hd__buf_2)
+    12    0.05                           mprj/u_wb_host/net335 (net)
+                  0.22    0.00    4.04 ^ mprj/u_wb_host/_4897_/B (sky130_fd_sc_hd__nor2_1)
+                  0.13    0.18    4.22 v mprj/u_wb_host/_4897_/Y (sky130_fd_sc_hd__nor2_1)
+     4    0.03                           mprj/u_wb_host/_2394_ (net)
+                  0.13    0.00    4.22 v mprj/u_wb_host/fanout332/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.13    0.28    4.49 v mprj/u_wb_host/fanout332/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.07                           mprj/u_wb_host/net332 (net)
+                  0.13    0.00    4.50 v mprj/u_wb_host/fanout331/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.10    0.25    4.74 v mprj/u_wb_host/fanout331/X (sky130_fd_sc_hd__clkbuf_4)
+    10    0.04                           mprj/u_wb_host/net331 (net)
+                  0.10    0.00    4.74 v mprj/u_wb_host/_4905_/B1 (sky130_fd_sc_hd__a22o_1)
+                  0.04    0.20    4.94 v mprj/u_wb_host/_4905_/X (sky130_fd_sc_hd__a22o_1)
+     1    0.00                           mprj/u_wb_host/_0809_ (net)
+                  0.04    0.00    4.94 v mprj/u_wb_host/_6289_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  4.94   data arrival time
 
                         100.00  100.00   clock uart_clk (rise edge)
                           0.00  100.00   clock source latency
-                  2.27    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.28    0.10  100.10 ^ mprj/u_wb_host/_6289_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                         -0.25   99.85   clock uncertainty
-                          0.00   99.85   clock reconvergence pessimism
-                          0.14   99.99   library setup time
-                                 99.99   data required time
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14  100.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00  100.14 ^ mprj/u_wb_host/clkbuf_1_0_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12  100.26 ^ mprj/u_wb_host/clkbuf_1_0_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00  100.26 ^ mprj/u_wb_host/clkbuf_1_0_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.25    0.26  100.52 ^ mprj/u_wb_host/clkbuf_1_0_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.14                           mprj/u_wb_host/clknet_1_0_1_u_uart2wb.baud_clk_16x (net)
+                  0.25    0.01  100.53 ^ mprj/u_wb_host/clkbuf_leaf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.23  100.76 ^ mprj/u_wb_host/clkbuf_leaf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_0_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00  100.76 ^ mprj/u_wb_host/_6289_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25  100.51   clock uncertainty
+                          0.01  100.52   clock reconvergence pessimism
+                         -0.10  100.42   library setup time
+                                100.42   data required time
 -----------------------------------------------------------------------------
-                                 99.99   data required time
-                                 -3.97   data arrival time
+                                100.42   data required time
+                                 -4.94   data arrival time
 -----------------------------------------------------------------------------
-                                 96.02   slack (MET)
+                                 95.48   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_6371_
+Startpoint: mprj/u_wb_host/_6444_
             (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_5602_
+Endpoint: mprj/u_wb_host/_6290_
           (rising edge-triggered flip-flop clocked by uart_clk)
 Path Group: uart_clk
 Path Type: max
@@ -148502,51 +173159,90 @@
 -----------------------------------------------------------------------------
                           0.00    0.00   clock uart_clk (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.15    0.15 ^ mprj/u_wb_host/_6371_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.09    0.85    1.00 v mprj/u_wb_host/_6371_/Q (sky130_fd_sc_hd__dfrtp_4)
-     9    0.03                           mprj/u_wb_host/u_uart2wb.u_msg.State[3] (net)
-                  0.09    0.00    1.00 v mprj/u_wb_host/_3298_/C_N (sky130_fd_sc_hd__or3b_4)
-                  0.18    0.37    1.37 ^ mprj/u_wb_host/_3298_/X (sky130_fd_sc_hd__or3b_4)
-     6    0.05                           mprj/u_wb_host/_1517_ (net)
-                  0.18    0.00    1.37 ^ mprj/u_wb_host/_3299_/B (sky130_fd_sc_hd__nor2_8)
-                  0.28    0.22    1.60 v mprj/u_wb_host/_3299_/Y (sky130_fd_sc_hd__nor2_8)
-   122    0.35                           mprj/u_wb_host/_1518_ (net)
-                  0.29    0.04    1.64 v mprj/u_wb_host/_3364_/A1 (sky130_fd_sc_hd__a211o_4)
-                  0.12    0.52    2.16 v mprj/u_wb_host/_3364_/X (sky130_fd_sc_hd__a211o_4)
-     8    0.06                           mprj/u_wb_host/_1543_ (net)
-                  0.12    0.00    2.16 v mprj/u_wb_host/_3365_/B (sky130_fd_sc_hd__nor2_8)
-                  1.45    1.11    3.26 ^ mprj/u_wb_host/_3365_/Y (sky130_fd_sc_hd__nor2_8)
-   136    0.42                           mprj/u_wb_host/_1544_ (net)
-                  1.46    0.07    3.33 ^ mprj/u_wb_host/_3423_/B1 (sky130_fd_sc_hd__o211a_1)
-                  0.29    0.49    3.83 ^ mprj/u_wb_host/_3423_/X (sky130_fd_sc_hd__o211a_1)
-     2    0.03                           mprj/u_wb_host/_1587_ (net)
-                  0.29    0.00    3.83 ^ mprj/u_wb_host/_3424_/B1 (sky130_fd_sc_hd__a21o_1)
-                  0.04    0.12    3.95 ^ mprj/u_wb_host/_3424_/X (sky130_fd_sc_hd__a21o_1)
-     1    0.00                           mprj/u_wb_host/_0134_ (net)
-                  0.04    0.00    3.95 ^ mprj/u_wb_host/_5602_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  3.95   data arrival time
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.00    0.62 ^ mprj/u_wb_host/clkbuf_leaf_15_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    0.88 ^ mprj/u_wb_host/clkbuf_leaf_15_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_15_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.88 ^ mprj/u_wb_host/_6444_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.11    0.47    1.35 v mprj/u_wb_host/_6444_/Q (sky130_fd_sc_hd__dfrtp_1)
+     5    0.02                           mprj/u_wb_host/u_uart2wb.rx_data[7] (net)
+                  0.11    0.00    1.35 v mprj/u_wb_host/_4284_/B (sky130_fd_sc_hd__or3_1)
+                  0.11    0.43    1.79 v mprj/u_wb_host/_4284_/X (sky130_fd_sc_hd__or3_1)
+     4    0.01                           mprj/u_wb_host/_2174_ (net)
+                  0.11    0.00    1.79 v mprj/u_wb_host/_4287_/B (sky130_fd_sc_hd__or4_2)
+                  0.20    0.85    2.63 v mprj/u_wb_host/_4287_/X (sky130_fd_sc_hd__or4_2)
+    10    0.04                           mprj/u_wb_host/_2177_ (net)
+                  0.20    0.00    2.63 v mprj/u_wb_host/fanout453/A (sky130_fd_sc_hd__buf_2)
+                  0.14    0.30    2.93 v mprj/u_wb_host/fanout453/X (sky130_fd_sc_hd__buf_2)
+    20    0.06                           mprj/u_wb_host/net453 (net)
+                  0.14    0.00    2.94 v mprj/u_wb_host/_4875_/A1 (sky130_fd_sc_hd__a2111o_1)
+                  0.10    0.46    3.40 v mprj/u_wb_host/_4875_/X (sky130_fd_sc_hd__a2111o_1)
+     2    0.01                           mprj/u_wb_host/_2373_ (net)
+                  0.10    0.00    3.40 v mprj/u_wb_host/_4895_/B (sky130_fd_sc_hd__nand3_1)
+                  0.08    0.11    3.51 ^ mprj/u_wb_host/_4895_/Y (sky130_fd_sc_hd__nand3_1)
+     1    0.00                           mprj/u_wb_host/_2392_ (net)
+                  0.08    0.00    3.51 ^ mprj/u_wb_host/_4896_/B (sky130_fd_sc_hd__or3b_4)
+                  0.17    0.23    3.74 ^ mprj/u_wb_host/_4896_/X (sky130_fd_sc_hd__or3b_4)
+     2    0.05                           mprj/u_wb_host/_2393_ (net)
+                  0.17    0.01    3.75 ^ mprj/u_wb_host/fanout335/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.29    4.04 ^ mprj/u_wb_host/fanout335/X (sky130_fd_sc_hd__buf_2)
+    12    0.05                           mprj/u_wb_host/net335 (net)
+                  0.22    0.00    4.04 ^ mprj/u_wb_host/_4897_/B (sky130_fd_sc_hd__nor2_1)
+                  0.13    0.18    4.22 v mprj/u_wb_host/_4897_/Y (sky130_fd_sc_hd__nor2_1)
+     4    0.03                           mprj/u_wb_host/_2394_ (net)
+                  0.13    0.00    4.22 v mprj/u_wb_host/fanout332/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.13    0.28    4.49 v mprj/u_wb_host/fanout332/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.07                           mprj/u_wb_host/net332 (net)
+                  0.13    0.00    4.50 v mprj/u_wb_host/fanout331/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.10    0.25    4.74 v mprj/u_wb_host/fanout331/X (sky130_fd_sc_hd__clkbuf_4)
+    10    0.04                           mprj/u_wb_host/net331 (net)
+                  0.10    0.00    4.74 v mprj/u_wb_host/_4906_/B1 (sky130_fd_sc_hd__a22o_1)
+                  0.04    0.20    4.94 v mprj/u_wb_host/_4906_/X (sky130_fd_sc_hd__a22o_1)
+     1    0.00                           mprj/u_wb_host/_0810_ (net)
+                  0.04    0.00    4.94 v mprj/u_wb_host/_6290_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  4.94   data arrival time
 
                         100.00  100.00   clock uart_clk (rise edge)
                           0.00  100.00   clock source latency
-                  2.27    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.17  100.17 ^ mprj/u_wb_host/_5602_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                         -0.25   99.92   clock uncertainty
-                          0.00   99.92   clock reconvergence pessimism
-                          0.06   99.98   library setup time
-                                 99.98   data required time
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14  100.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00  100.14 ^ mprj/u_wb_host/clkbuf_1_0_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12  100.26 ^ mprj/u_wb_host/clkbuf_1_0_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00  100.26 ^ mprj/u_wb_host/clkbuf_1_0_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.25    0.26  100.52 ^ mprj/u_wb_host/clkbuf_1_0_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.14                           mprj/u_wb_host/clknet_1_0_1_u_uart2wb.baud_clk_16x (net)
+                  0.25    0.01  100.53 ^ mprj/u_wb_host/clkbuf_leaf_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.23  100.76 ^ mprj/u_wb_host/clkbuf_leaf_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    28    0.09                           mprj/u_wb_host/clknet_leaf_1_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00  100.76 ^ mprj/u_wb_host/_6290_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25  100.51   clock uncertainty
+                          0.01  100.52   clock reconvergence pessimism
+                         -0.10  100.42   library setup time
+                                100.42   data required time
 -----------------------------------------------------------------------------
-                                 99.98   data required time
-                                 -3.95   data arrival time
+                                100.42   data required time
+                                 -4.94   data arrival time
 -----------------------------------------------------------------------------
-                                 96.03   slack (MET)
+                                 95.48   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_6371_
+Startpoint: mprj/u_wb_host/_6444_
             (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_5620_
+Endpoint: mprj/u_wb_host/_6291_
           (rising edge-triggered flip-flop clocked by uart_clk)
 Path Group: uart_clk
 Path Type: max
@@ -148555,51 +173251,90 @@
 -----------------------------------------------------------------------------
                           0.00    0.00   clock uart_clk (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.15    0.15 ^ mprj/u_wb_host/_6371_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.09    0.85    1.00 v mprj/u_wb_host/_6371_/Q (sky130_fd_sc_hd__dfrtp_4)
-     9    0.03                           mprj/u_wb_host/u_uart2wb.u_msg.State[3] (net)
-                  0.09    0.00    1.00 v mprj/u_wb_host/_3298_/C_N (sky130_fd_sc_hd__or3b_4)
-                  0.18    0.37    1.37 ^ mprj/u_wb_host/_3298_/X (sky130_fd_sc_hd__or3b_4)
-     6    0.05                           mprj/u_wb_host/_1517_ (net)
-                  0.18    0.00    1.37 ^ mprj/u_wb_host/_3299_/B (sky130_fd_sc_hd__nor2_8)
-                  0.28    0.22    1.60 v mprj/u_wb_host/_3299_/Y (sky130_fd_sc_hd__nor2_8)
-   122    0.35                           mprj/u_wb_host/_1518_ (net)
-                  0.29    0.04    1.64 v mprj/u_wb_host/_3364_/A1 (sky130_fd_sc_hd__a211o_4)
-                  0.12    0.52    2.16 v mprj/u_wb_host/_3364_/X (sky130_fd_sc_hd__a211o_4)
-     8    0.06                           mprj/u_wb_host/_1543_ (net)
-                  0.12    0.00    2.16 v mprj/u_wb_host/_3365_/B (sky130_fd_sc_hd__nor2_8)
-                  1.45    1.11    3.26 ^ mprj/u_wb_host/_3365_/Y (sky130_fd_sc_hd__nor2_8)
-   136    0.42                           mprj/u_wb_host/_1544_ (net)
-                  1.45    0.06    3.33 ^ mprj/u_wb_host/_3484_/C1 (sky130_fd_sc_hd__o221a_1)
-                  0.09    0.39    3.72 ^ mprj/u_wb_host/_3484_/X (sky130_fd_sc_hd__o221a_1)
-     1    0.01                           mprj/u_wb_host/_1630_ (net)
-                  0.09    0.00    3.72 ^ mprj/u_wb_host/_3485_/B1 (sky130_fd_sc_hd__a21o_1)
-                  0.04    0.09    3.81 ^ mprj/u_wb_host/_3485_/X (sky130_fd_sc_hd__a21o_1)
-     1    0.00                           mprj/u_wb_host/_0152_ (net)
-                  0.04    0.00    3.81 ^ mprj/u_wb_host/_5620_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  3.81   data arrival time
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.00    0.62 ^ mprj/u_wb_host/clkbuf_leaf_15_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    0.88 ^ mprj/u_wb_host/clkbuf_leaf_15_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_15_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.88 ^ mprj/u_wb_host/_6444_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.11    0.47    1.35 v mprj/u_wb_host/_6444_/Q (sky130_fd_sc_hd__dfrtp_1)
+     5    0.02                           mprj/u_wb_host/u_uart2wb.rx_data[7] (net)
+                  0.11    0.00    1.35 v mprj/u_wb_host/_4284_/B (sky130_fd_sc_hd__or3_1)
+                  0.11    0.43    1.79 v mprj/u_wb_host/_4284_/X (sky130_fd_sc_hd__or3_1)
+     4    0.01                           mprj/u_wb_host/_2174_ (net)
+                  0.11    0.00    1.79 v mprj/u_wb_host/_4287_/B (sky130_fd_sc_hd__or4_2)
+                  0.20    0.85    2.63 v mprj/u_wb_host/_4287_/X (sky130_fd_sc_hd__or4_2)
+    10    0.04                           mprj/u_wb_host/_2177_ (net)
+                  0.20    0.00    2.63 v mprj/u_wb_host/fanout453/A (sky130_fd_sc_hd__buf_2)
+                  0.14    0.30    2.93 v mprj/u_wb_host/fanout453/X (sky130_fd_sc_hd__buf_2)
+    20    0.06                           mprj/u_wb_host/net453 (net)
+                  0.14    0.00    2.94 v mprj/u_wb_host/_4875_/A1 (sky130_fd_sc_hd__a2111o_1)
+                  0.10    0.46    3.40 v mprj/u_wb_host/_4875_/X (sky130_fd_sc_hd__a2111o_1)
+     2    0.01                           mprj/u_wb_host/_2373_ (net)
+                  0.10    0.00    3.40 v mprj/u_wb_host/_4895_/B (sky130_fd_sc_hd__nand3_1)
+                  0.08    0.11    3.51 ^ mprj/u_wb_host/_4895_/Y (sky130_fd_sc_hd__nand3_1)
+     1    0.00                           mprj/u_wb_host/_2392_ (net)
+                  0.08    0.00    3.51 ^ mprj/u_wb_host/_4896_/B (sky130_fd_sc_hd__or3b_4)
+                  0.17    0.23    3.74 ^ mprj/u_wb_host/_4896_/X (sky130_fd_sc_hd__or3b_4)
+     2    0.05                           mprj/u_wb_host/_2393_ (net)
+                  0.17    0.01    3.75 ^ mprj/u_wb_host/fanout335/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.29    4.04 ^ mprj/u_wb_host/fanout335/X (sky130_fd_sc_hd__buf_2)
+    12    0.05                           mprj/u_wb_host/net335 (net)
+                  0.22    0.00    4.04 ^ mprj/u_wb_host/_4897_/B (sky130_fd_sc_hd__nor2_1)
+                  0.13    0.18    4.22 v mprj/u_wb_host/_4897_/Y (sky130_fd_sc_hd__nor2_1)
+     4    0.03                           mprj/u_wb_host/_2394_ (net)
+                  0.13    0.00    4.22 v mprj/u_wb_host/fanout332/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.13    0.28    4.49 v mprj/u_wb_host/fanout332/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.07                           mprj/u_wb_host/net332 (net)
+                  0.13    0.00    4.50 v mprj/u_wb_host/fanout331/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.10    0.25    4.74 v mprj/u_wb_host/fanout331/X (sky130_fd_sc_hd__clkbuf_4)
+    10    0.04                           mprj/u_wb_host/net331 (net)
+                  0.10    0.00    4.74 v mprj/u_wb_host/_4907_/B1 (sky130_fd_sc_hd__a22o_1)
+                  0.04    0.20    4.94 v mprj/u_wb_host/_4907_/X (sky130_fd_sc_hd__a22o_1)
+     1    0.00                           mprj/u_wb_host/_0811_ (net)
+                  0.04    0.00    4.94 v mprj/u_wb_host/_6291_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  4.94   data arrival time
 
                         100.00  100.00   clock uart_clk (rise edge)
                           0.00  100.00   clock source latency
-                  2.27    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.27    0.03  100.03 ^ mprj/u_wb_host/_5620_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                         -0.25   99.78   clock uncertainty
-                          0.00   99.78   clock reconvergence pessimism
-                          0.06   99.84   library setup time
-                                 99.84   data required time
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14  100.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00  100.14 ^ mprj/u_wb_host/clkbuf_1_0_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12  100.26 ^ mprj/u_wb_host/clkbuf_1_0_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00  100.26 ^ mprj/u_wb_host/clkbuf_1_0_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.25    0.26  100.52 ^ mprj/u_wb_host/clkbuf_1_0_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.14                           mprj/u_wb_host/clknet_1_0_1_u_uart2wb.baud_clk_16x (net)
+                  0.25    0.01  100.53 ^ mprj/u_wb_host/clkbuf_leaf_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.23  100.76 ^ mprj/u_wb_host/clkbuf_leaf_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    28    0.09                           mprj/u_wb_host/clknet_leaf_1_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00  100.76 ^ mprj/u_wb_host/_6291_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25  100.51   clock uncertainty
+                          0.01  100.52   clock reconvergence pessimism
+                         -0.10  100.42   library setup time
+                                100.42   data required time
 -----------------------------------------------------------------------------
-                                 99.84   data required time
-                                 -3.81   data arrival time
+                                100.42   data required time
+                                 -4.94   data arrival time
 -----------------------------------------------------------------------------
-                                 96.03   slack (MET)
+                                 95.48   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_6371_
+Startpoint: mprj/u_wb_host/_6444_
             (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_5638_
+Endpoint: mprj/u_wb_host/_6287_
           (rising edge-triggered flip-flop clocked by uart_clk)
 Path Group: uart_clk
 Path Type: max
@@ -148608,51 +173343,90 @@
 -----------------------------------------------------------------------------
                           0.00    0.00   clock uart_clk (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.15    0.15 ^ mprj/u_wb_host/_6371_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.09    0.85    1.00 v mprj/u_wb_host/_6371_/Q (sky130_fd_sc_hd__dfrtp_4)
-     9    0.03                           mprj/u_wb_host/u_uart2wb.u_msg.State[3] (net)
-                  0.09    0.00    1.00 v mprj/u_wb_host/_3298_/C_N (sky130_fd_sc_hd__or3b_4)
-                  0.18    0.37    1.37 ^ mprj/u_wb_host/_3298_/X (sky130_fd_sc_hd__or3b_4)
-     6    0.05                           mprj/u_wb_host/_1517_ (net)
-                  0.18    0.00    1.37 ^ mprj/u_wb_host/_3299_/B (sky130_fd_sc_hd__nor2_8)
-                  0.28    0.22    1.60 v mprj/u_wb_host/_3299_/Y (sky130_fd_sc_hd__nor2_8)
-   122    0.35                           mprj/u_wb_host/_1518_ (net)
-                  0.29    0.04    1.64 v mprj/u_wb_host/_3364_/A1 (sky130_fd_sc_hd__a211o_4)
-                  0.12    0.52    2.16 v mprj/u_wb_host/_3364_/X (sky130_fd_sc_hd__a211o_4)
-     8    0.06                           mprj/u_wb_host/_1543_ (net)
-                  0.12    0.00    2.16 v mprj/u_wb_host/_3365_/B (sky130_fd_sc_hd__nor2_8)
-                  1.45    1.11    3.26 ^ mprj/u_wb_host/_3365_/Y (sky130_fd_sc_hd__nor2_8)
-   136    0.42                           mprj/u_wb_host/_1544_ (net)
-                  1.46    0.07    3.33 ^ mprj/u_wb_host/_3547_/C1 (sky130_fd_sc_hd__o221a_1)
-                  0.07    0.37    3.71 ^ mprj/u_wb_host/_3547_/X (sky130_fd_sc_hd__o221a_1)
-     1    0.00                           mprj/u_wb_host/_1675_ (net)
-                  0.07    0.00    3.71 ^ mprj/u_wb_host/_3548_/B1 (sky130_fd_sc_hd__a21o_1)
-                  0.04    0.08    3.79 ^ mprj/u_wb_host/_3548_/X (sky130_fd_sc_hd__a21o_1)
-     1    0.00                           mprj/u_wb_host/_0170_ (net)
-                  0.04    0.00    3.79 ^ mprj/u_wb_host/_5638_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  3.79   data arrival time
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.00    0.62 ^ mprj/u_wb_host/clkbuf_leaf_15_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    0.88 ^ mprj/u_wb_host/clkbuf_leaf_15_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_15_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.88 ^ mprj/u_wb_host/_6444_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.11    0.47    1.35 v mprj/u_wb_host/_6444_/Q (sky130_fd_sc_hd__dfrtp_1)
+     5    0.02                           mprj/u_wb_host/u_uart2wb.rx_data[7] (net)
+                  0.11    0.00    1.35 v mprj/u_wb_host/_4284_/B (sky130_fd_sc_hd__or3_1)
+                  0.11    0.43    1.79 v mprj/u_wb_host/_4284_/X (sky130_fd_sc_hd__or3_1)
+     4    0.01                           mprj/u_wb_host/_2174_ (net)
+                  0.11    0.00    1.79 v mprj/u_wb_host/_4287_/B (sky130_fd_sc_hd__or4_2)
+                  0.20    0.85    2.63 v mprj/u_wb_host/_4287_/X (sky130_fd_sc_hd__or4_2)
+    10    0.04                           mprj/u_wb_host/_2177_ (net)
+                  0.20    0.00    2.63 v mprj/u_wb_host/fanout453/A (sky130_fd_sc_hd__buf_2)
+                  0.14    0.30    2.93 v mprj/u_wb_host/fanout453/X (sky130_fd_sc_hd__buf_2)
+    20    0.06                           mprj/u_wb_host/net453 (net)
+                  0.14    0.00    2.94 v mprj/u_wb_host/_4875_/A1 (sky130_fd_sc_hd__a2111o_1)
+                  0.10    0.46    3.40 v mprj/u_wb_host/_4875_/X (sky130_fd_sc_hd__a2111o_1)
+     2    0.01                           mprj/u_wb_host/_2373_ (net)
+                  0.10    0.00    3.40 v mprj/u_wb_host/_4895_/B (sky130_fd_sc_hd__nand3_1)
+                  0.08    0.11    3.51 ^ mprj/u_wb_host/_4895_/Y (sky130_fd_sc_hd__nand3_1)
+     1    0.00                           mprj/u_wb_host/_2392_ (net)
+                  0.08    0.00    3.51 ^ mprj/u_wb_host/_4896_/B (sky130_fd_sc_hd__or3b_4)
+                  0.17    0.23    3.74 ^ mprj/u_wb_host/_4896_/X (sky130_fd_sc_hd__or3b_4)
+     2    0.05                           mprj/u_wb_host/_2393_ (net)
+                  0.17    0.01    3.75 ^ mprj/u_wb_host/fanout335/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.29    4.04 ^ mprj/u_wb_host/fanout335/X (sky130_fd_sc_hd__buf_2)
+    12    0.05                           mprj/u_wb_host/net335 (net)
+                  0.22    0.00    4.04 ^ mprj/u_wb_host/_4897_/B (sky130_fd_sc_hd__nor2_1)
+                  0.13    0.18    4.22 v mprj/u_wb_host/_4897_/Y (sky130_fd_sc_hd__nor2_1)
+     4    0.03                           mprj/u_wb_host/_2394_ (net)
+                  0.13    0.00    4.22 v mprj/u_wb_host/fanout332/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.13    0.28    4.49 v mprj/u_wb_host/fanout332/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.07                           mprj/u_wb_host/net332 (net)
+                  0.13    0.00    4.50 v mprj/u_wb_host/fanout331/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.10    0.25    4.74 v mprj/u_wb_host/fanout331/X (sky130_fd_sc_hd__clkbuf_4)
+    10    0.04                           mprj/u_wb_host/net331 (net)
+                  0.10    0.00    4.74 v mprj/u_wb_host/_4903_/B1 (sky130_fd_sc_hd__a22o_1)
+                  0.04    0.20    4.94 v mprj/u_wb_host/_4903_/X (sky130_fd_sc_hd__a22o_1)
+     1    0.00                           mprj/u_wb_host/_0807_ (net)
+                  0.04    0.00    4.94 v mprj/u_wb_host/_6287_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  4.94   data arrival time
 
                         100.00  100.00   clock uart_clk (rise edge)
                           0.00  100.00   clock source latency
-                  2.27    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.27    0.03  100.03 ^ mprj/u_wb_host/_5638_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                         -0.25   99.78   clock uncertainty
-                          0.00   99.78   clock reconvergence pessimism
-                          0.06   99.84   library setup time
-                                 99.84   data required time
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14  100.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00  100.14 ^ mprj/u_wb_host/clkbuf_1_0_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12  100.26 ^ mprj/u_wb_host/clkbuf_1_0_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00  100.26 ^ mprj/u_wb_host/clkbuf_1_0_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.25    0.26  100.52 ^ mprj/u_wb_host/clkbuf_1_0_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.14                           mprj/u_wb_host/clknet_1_0_1_u_uart2wb.baud_clk_16x (net)
+                  0.25    0.01  100.53 ^ mprj/u_wb_host/clkbuf_leaf_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.23  100.76 ^ mprj/u_wb_host/clkbuf_leaf_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    28    0.09                           mprj/u_wb_host/clknet_leaf_1_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00  100.76 ^ mprj/u_wb_host/_6287_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25  100.51   clock uncertainty
+                          0.01  100.52   clock reconvergence pessimism
+                         -0.10  100.42   library setup time
+                                100.42   data required time
 -----------------------------------------------------------------------------
-                                 99.84   data required time
-                                 -3.79   data arrival time
+                                100.42   data required time
+                                 -4.94   data arrival time
 -----------------------------------------------------------------------------
-                                 96.05   slack (MET)
+                                 95.48   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_6369_
+Startpoint: mprj/u_wb_host/_6444_
             (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6402_
+Endpoint: mprj/u_wb_host/_6288_
           (rising edge-triggered flip-flop clocked by uart_clk)
 Path Group: uart_clk
 Path Type: max
@@ -148661,51 +173435,90 @@
 -----------------------------------------------------------------------------
                           0.00    0.00   clock uart_clk (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.15    0.15 ^ mprj/u_wb_host/_6369_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.12    0.88    1.04 v mprj/u_wb_host/_6369_/Q (sky130_fd_sc_hd__dfrtp_4)
-    10    0.05                           mprj/u_wb_host/u_uart2wb.u_msg.State[1] (net)
-                  0.12    0.00    1.04 v mprj/u_wb_host/_3298_/A (sky130_fd_sc_hd__or3b_4)
-                  0.13    0.53    1.57 v mprj/u_wb_host/_3298_/X (sky130_fd_sc_hd__or3b_4)
-     6    0.05                           mprj/u_wb_host/_1517_ (net)
-                  0.13    0.00    1.57 v mprj/u_wb_host/_3299_/B (sky130_fd_sc_hd__nor2_8)
-                  1.26    0.99    2.57 ^ mprj/u_wb_host/_3299_/Y (sky130_fd_sc_hd__nor2_8)
-   122    0.37                           mprj/u_wb_host/_1518_ (net)
-                  1.26    0.04    2.61 ^ mprj/u_wb_host/_3357_/A (sky130_fd_sc_hd__nor2_2)
-                  0.23    0.21    2.81 v mprj/u_wb_host/_3357_/Y (sky130_fd_sc_hd__nor2_2)
-     4    0.02                           mprj/u_wb_host/_1536_ (net)
-                  0.23    0.00    2.81 v mprj/u_wb_host/_5054_/A2 (sky130_fd_sc_hd__a21oi_4)
-                  0.54    0.56    3.37 ^ mprj/u_wb_host/_5054_/Y (sky130_fd_sc_hd__a21oi_4)
-    28    0.08                           mprj/u_wb_host/_2466_ (net)
-                  0.54    0.00    3.37 ^ mprj/u_wb_host/_5099_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.38    3.76 v mprj/u_wb_host/_5099_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_2481_ (net)
-                  0.05    0.00    3.76 v mprj/u_wb_host/_5100_/B1 (sky130_fd_sc_hd__a211o_1)
-                  0.04    0.27    4.02 v mprj/u_wb_host/_5100_/X (sky130_fd_sc_hd__a211o_1)
-     1    0.00                           mprj/u_wb_host/_0916_ (net)
-                  0.04    0.00    4.02 v mprj/u_wb_host/_6402_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  4.02   data arrival time
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.00    0.62 ^ mprj/u_wb_host/clkbuf_leaf_15_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    0.88 ^ mprj/u_wb_host/clkbuf_leaf_15_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_15_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.88 ^ mprj/u_wb_host/_6444_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.11    0.47    1.35 v mprj/u_wb_host/_6444_/Q (sky130_fd_sc_hd__dfrtp_1)
+     5    0.02                           mprj/u_wb_host/u_uart2wb.rx_data[7] (net)
+                  0.11    0.00    1.35 v mprj/u_wb_host/_4284_/B (sky130_fd_sc_hd__or3_1)
+                  0.11    0.43    1.79 v mprj/u_wb_host/_4284_/X (sky130_fd_sc_hd__or3_1)
+     4    0.01                           mprj/u_wb_host/_2174_ (net)
+                  0.11    0.00    1.79 v mprj/u_wb_host/_4287_/B (sky130_fd_sc_hd__or4_2)
+                  0.20    0.85    2.63 v mprj/u_wb_host/_4287_/X (sky130_fd_sc_hd__or4_2)
+    10    0.04                           mprj/u_wb_host/_2177_ (net)
+                  0.20    0.00    2.63 v mprj/u_wb_host/fanout453/A (sky130_fd_sc_hd__buf_2)
+                  0.14    0.30    2.93 v mprj/u_wb_host/fanout453/X (sky130_fd_sc_hd__buf_2)
+    20    0.06                           mprj/u_wb_host/net453 (net)
+                  0.14    0.00    2.94 v mprj/u_wb_host/_4875_/A1 (sky130_fd_sc_hd__a2111o_1)
+                  0.10    0.46    3.40 v mprj/u_wb_host/_4875_/X (sky130_fd_sc_hd__a2111o_1)
+     2    0.01                           mprj/u_wb_host/_2373_ (net)
+                  0.10    0.00    3.40 v mprj/u_wb_host/_4895_/B (sky130_fd_sc_hd__nand3_1)
+                  0.08    0.11    3.51 ^ mprj/u_wb_host/_4895_/Y (sky130_fd_sc_hd__nand3_1)
+     1    0.00                           mprj/u_wb_host/_2392_ (net)
+                  0.08    0.00    3.51 ^ mprj/u_wb_host/_4896_/B (sky130_fd_sc_hd__or3b_4)
+                  0.17    0.23    3.74 ^ mprj/u_wb_host/_4896_/X (sky130_fd_sc_hd__or3b_4)
+     2    0.05                           mprj/u_wb_host/_2393_ (net)
+                  0.17    0.01    3.75 ^ mprj/u_wb_host/fanout335/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.29    4.04 ^ mprj/u_wb_host/fanout335/X (sky130_fd_sc_hd__buf_2)
+    12    0.05                           mprj/u_wb_host/net335 (net)
+                  0.22    0.00    4.04 ^ mprj/u_wb_host/_4897_/B (sky130_fd_sc_hd__nor2_1)
+                  0.13    0.18    4.22 v mprj/u_wb_host/_4897_/Y (sky130_fd_sc_hd__nor2_1)
+     4    0.03                           mprj/u_wb_host/_2394_ (net)
+                  0.13    0.00    4.22 v mprj/u_wb_host/fanout332/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.13    0.28    4.49 v mprj/u_wb_host/fanout332/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.07                           mprj/u_wb_host/net332 (net)
+                  0.13    0.00    4.50 v mprj/u_wb_host/fanout331/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.10    0.25    4.74 v mprj/u_wb_host/fanout331/X (sky130_fd_sc_hd__clkbuf_4)
+    10    0.04                           mprj/u_wb_host/net331 (net)
+                  0.10    0.00    4.74 v mprj/u_wb_host/_4904_/B1 (sky130_fd_sc_hd__a22o_1)
+                  0.04    0.20    4.94 v mprj/u_wb_host/_4904_/X (sky130_fd_sc_hd__a22o_1)
+     1    0.00                           mprj/u_wb_host/_0808_ (net)
+                  0.04    0.00    4.94 v mprj/u_wb_host/_6288_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  4.94   data arrival time
 
                         100.00  100.00   clock uart_clk (rise edge)
                           0.00  100.00   clock source latency
-                  2.27    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.17  100.17 ^ mprj/u_wb_host/_6402_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                         -0.25   99.92   clock uncertainty
-                          0.00   99.92   clock reconvergence pessimism
-                          0.15  100.07   library setup time
-                                100.07   data required time
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14  100.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00  100.14 ^ mprj/u_wb_host/clkbuf_1_0_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12  100.26 ^ mprj/u_wb_host/clkbuf_1_0_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00  100.26 ^ mprj/u_wb_host/clkbuf_1_0_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.25    0.26  100.52 ^ mprj/u_wb_host/clkbuf_1_0_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.14                           mprj/u_wb_host/clknet_1_0_1_u_uart2wb.baud_clk_16x (net)
+                  0.25    0.01  100.53 ^ mprj/u_wb_host/clkbuf_leaf_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.23  100.76 ^ mprj/u_wb_host/clkbuf_leaf_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    28    0.09                           mprj/u_wb_host/clknet_leaf_1_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00  100.76 ^ mprj/u_wb_host/_6288_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25  100.51   clock uncertainty
+                          0.01  100.52   clock reconvergence pessimism
+                         -0.10  100.42   library setup time
+                                100.42   data required time
 -----------------------------------------------------------------------------
-                                100.07   data required time
-                                 -4.02   data arrival time
+                                100.42   data required time
+                                 -4.94   data arrival time
 -----------------------------------------------------------------------------
-                                 96.05   slack (MET)
+                                 95.48   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_6371_
+Startpoint: mprj/u_wb_host/_6444_
             (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_5641_
+Endpoint: mprj/u_wb_host/_6294_
           (rising edge-triggered flip-flop clocked by uart_clk)
 Path Group: uart_clk
 Path Type: max
@@ -148714,51 +173527,90 @@
 -----------------------------------------------------------------------------
                           0.00    0.00   clock uart_clk (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.15    0.15 ^ mprj/u_wb_host/_6371_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.09    0.85    1.00 v mprj/u_wb_host/_6371_/Q (sky130_fd_sc_hd__dfrtp_4)
-     9    0.03                           mprj/u_wb_host/u_uart2wb.u_msg.State[3] (net)
-                  0.09    0.00    1.00 v mprj/u_wb_host/_3298_/C_N (sky130_fd_sc_hd__or3b_4)
-                  0.18    0.37    1.37 ^ mprj/u_wb_host/_3298_/X (sky130_fd_sc_hd__or3b_4)
-     6    0.05                           mprj/u_wb_host/_1517_ (net)
-                  0.18    0.00    1.37 ^ mprj/u_wb_host/_3299_/B (sky130_fd_sc_hd__nor2_8)
-                  0.28    0.22    1.60 v mprj/u_wb_host/_3299_/Y (sky130_fd_sc_hd__nor2_8)
-   122    0.35                           mprj/u_wb_host/_1518_ (net)
-                  0.29    0.04    1.64 v mprj/u_wb_host/_3364_/A1 (sky130_fd_sc_hd__a211o_4)
-                  0.12    0.52    2.16 v mprj/u_wb_host/_3364_/X (sky130_fd_sc_hd__a211o_4)
-     8    0.06                           mprj/u_wb_host/_1543_ (net)
-                  0.12    0.00    2.16 v mprj/u_wb_host/_3365_/B (sky130_fd_sc_hd__nor2_8)
-                  1.45    1.11    3.26 ^ mprj/u_wb_host/_3365_/Y (sky130_fd_sc_hd__nor2_8)
-   136    0.42                           mprj/u_wb_host/_1544_ (net)
-                  1.45    0.06    3.32 ^ mprj/u_wb_host/_3554_/C1 (sky130_fd_sc_hd__o221a_1)
-                  0.17    0.47    3.79 ^ mprj/u_wb_host/_3554_/X (sky130_fd_sc_hd__o221a_1)
-     1    0.02                           mprj/u_wb_host/_1679_ (net)
-                  0.17    0.00    3.79 ^ mprj/u_wb_host/_3555_/B1 (sky130_fd_sc_hd__a21o_1)
-                  0.04    0.11    3.90 ^ mprj/u_wb_host/_3555_/X (sky130_fd_sc_hd__a21o_1)
-     1    0.00                           mprj/u_wb_host/_0173_ (net)
-                  0.04    0.00    3.90 ^ mprj/u_wb_host/_5641_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  3.90   data arrival time
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.00    0.62 ^ mprj/u_wb_host/clkbuf_leaf_15_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    0.88 ^ mprj/u_wb_host/clkbuf_leaf_15_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_15_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.88 ^ mprj/u_wb_host/_6444_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.11    0.47    1.35 v mprj/u_wb_host/_6444_/Q (sky130_fd_sc_hd__dfrtp_1)
+     5    0.02                           mprj/u_wb_host/u_uart2wb.rx_data[7] (net)
+                  0.11    0.00    1.35 v mprj/u_wb_host/_4284_/B (sky130_fd_sc_hd__or3_1)
+                  0.11    0.43    1.79 v mprj/u_wb_host/_4284_/X (sky130_fd_sc_hd__or3_1)
+     4    0.01                           mprj/u_wb_host/_2174_ (net)
+                  0.11    0.00    1.79 v mprj/u_wb_host/_4287_/B (sky130_fd_sc_hd__or4_2)
+                  0.20    0.85    2.63 v mprj/u_wb_host/_4287_/X (sky130_fd_sc_hd__or4_2)
+    10    0.04                           mprj/u_wb_host/_2177_ (net)
+                  0.20    0.00    2.63 v mprj/u_wb_host/fanout453/A (sky130_fd_sc_hd__buf_2)
+                  0.14    0.30    2.93 v mprj/u_wb_host/fanout453/X (sky130_fd_sc_hd__buf_2)
+    20    0.06                           mprj/u_wb_host/net453 (net)
+                  0.14    0.00    2.94 v mprj/u_wb_host/_4875_/A1 (sky130_fd_sc_hd__a2111o_1)
+                  0.10    0.46    3.40 v mprj/u_wb_host/_4875_/X (sky130_fd_sc_hd__a2111o_1)
+     2    0.01                           mprj/u_wb_host/_2373_ (net)
+                  0.10    0.00    3.40 v mprj/u_wb_host/_4895_/B (sky130_fd_sc_hd__nand3_1)
+                  0.08    0.11    3.51 ^ mprj/u_wb_host/_4895_/Y (sky130_fd_sc_hd__nand3_1)
+     1    0.00                           mprj/u_wb_host/_2392_ (net)
+                  0.08    0.00    3.51 ^ mprj/u_wb_host/_4896_/B (sky130_fd_sc_hd__or3b_4)
+                  0.17    0.23    3.74 ^ mprj/u_wb_host/_4896_/X (sky130_fd_sc_hd__or3b_4)
+     2    0.05                           mprj/u_wb_host/_2393_ (net)
+                  0.17    0.01    3.75 ^ mprj/u_wb_host/fanout335/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.29    4.04 ^ mprj/u_wb_host/fanout335/X (sky130_fd_sc_hd__buf_2)
+    12    0.05                           mprj/u_wb_host/net335 (net)
+                  0.22    0.00    4.04 ^ mprj/u_wb_host/_4897_/B (sky130_fd_sc_hd__nor2_1)
+                  0.13    0.18    4.22 v mprj/u_wb_host/_4897_/Y (sky130_fd_sc_hd__nor2_1)
+     4    0.03                           mprj/u_wb_host/_2394_ (net)
+                  0.13    0.00    4.22 v mprj/u_wb_host/fanout332/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.13    0.28    4.49 v mprj/u_wb_host/fanout332/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.07                           mprj/u_wb_host/net332 (net)
+                  0.13    0.00    4.50 v mprj/u_wb_host/fanout331/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.10    0.25    4.74 v mprj/u_wb_host/fanout331/X (sky130_fd_sc_hd__clkbuf_4)
+    10    0.04                           mprj/u_wb_host/net331 (net)
+                  0.10    0.00    4.74 v mprj/u_wb_host/_4910_/B1 (sky130_fd_sc_hd__a22o_1)
+                  0.04    0.20    4.94 v mprj/u_wb_host/_4910_/X (sky130_fd_sc_hd__a22o_1)
+     1    0.00                           mprj/u_wb_host/_0814_ (net)
+                  0.04    0.00    4.94 v mprj/u_wb_host/_6294_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  4.94   data arrival time
 
                         100.00  100.00   clock uart_clk (rise edge)
                           0.00  100.00   clock source latency
-                  2.27    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.14  100.14 ^ mprj/u_wb_host/_5641_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                         -0.25   99.89   clock uncertainty
-                          0.00   99.89   clock reconvergence pessimism
-                          0.06   99.95   library setup time
-                                 99.95   data required time
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14  100.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00  100.14 ^ mprj/u_wb_host/clkbuf_1_0_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12  100.26 ^ mprj/u_wb_host/clkbuf_1_0_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00  100.26 ^ mprj/u_wb_host/clkbuf_1_0_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.25    0.26  100.52 ^ mprj/u_wb_host/clkbuf_1_0_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.14                           mprj/u_wb_host/clknet_1_0_1_u_uart2wb.baud_clk_16x (net)
+                  0.25    0.01  100.53 ^ mprj/u_wb_host/clkbuf_leaf_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.23  100.76 ^ mprj/u_wb_host/clkbuf_leaf_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    28    0.09                           mprj/u_wb_host/clknet_leaf_1_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00  100.76 ^ mprj/u_wb_host/_6294_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25  100.51   clock uncertainty
+                          0.01  100.52   clock reconvergence pessimism
+                         -0.10  100.42   library setup time
+                                100.42   data required time
 -----------------------------------------------------------------------------
-                                 99.95   data required time
-                                 -3.90   data arrival time
+                                100.42   data required time
+                                 -4.94   data arrival time
 -----------------------------------------------------------------------------
-                                 96.05   slack (MET)
+                                 95.49   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_6371_
+Startpoint: mprj/u_wb_host/_6362_
             (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_5617_
+Endpoint: mprj/u_wb_host/_5623_
           (rising edge-triggered flip-flop clocked by uart_clk)
 Path Group: uart_clk
 Path Type: max
@@ -148767,51 +173619,96 @@
 -----------------------------------------------------------------------------
                           0.00    0.00   clock uart_clk (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.15    0.15 ^ mprj/u_wb_host/_6371_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.09    0.85    1.00 v mprj/u_wb_host/_6371_/Q (sky130_fd_sc_hd__dfrtp_4)
-     9    0.03                           mprj/u_wb_host/u_uart2wb.u_msg.State[3] (net)
-                  0.09    0.00    1.00 v mprj/u_wb_host/_3298_/C_N (sky130_fd_sc_hd__or3b_4)
-                  0.18    0.37    1.37 ^ mprj/u_wb_host/_3298_/X (sky130_fd_sc_hd__or3b_4)
-     6    0.05                           mprj/u_wb_host/_1517_ (net)
-                  0.18    0.00    1.37 ^ mprj/u_wb_host/_3299_/B (sky130_fd_sc_hd__nor2_8)
-                  0.28    0.22    1.60 v mprj/u_wb_host/_3299_/Y (sky130_fd_sc_hd__nor2_8)
-   122    0.35                           mprj/u_wb_host/_1518_ (net)
-                  0.29    0.04    1.64 v mprj/u_wb_host/_3364_/A1 (sky130_fd_sc_hd__a211o_4)
-                  0.12    0.52    2.16 v mprj/u_wb_host/_3364_/X (sky130_fd_sc_hd__a211o_4)
-     8    0.06                           mprj/u_wb_host/_1543_ (net)
-                  0.12    0.00    2.16 v mprj/u_wb_host/_3365_/B (sky130_fd_sc_hd__nor2_8)
-                  1.45    1.11    3.26 ^ mprj/u_wb_host/_3365_/Y (sky130_fd_sc_hd__nor2_8)
-   136    0.42                           mprj/u_wb_host/_1544_ (net)
-                  1.46    0.07    3.34 ^ mprj/u_wb_host/_3477_/C1 (sky130_fd_sc_hd__o221a_1)
-                  0.07    0.37    3.71 ^ mprj/u_wb_host/_3477_/X (sky130_fd_sc_hd__o221a_1)
-     1    0.00                           mprj/u_wb_host/_1626_ (net)
-                  0.07    0.00    3.71 ^ mprj/u_wb_host/_3478_/B1 (sky130_fd_sc_hd__a21o_1)
-                  0.04    0.08    3.79 ^ mprj/u_wb_host/_3478_/X (sky130_fd_sc_hd__a21o_1)
-     1    0.00                           mprj/u_wb_host/_0149_ (net)
-                  0.04    0.00    3.79 ^ mprj/u_wb_host/_5617_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  3.79   data arrival time
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.62 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    0.89 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_12_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.89 ^ mprj/u_wb_host/_6362_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.10    0.45    1.34 v mprj/u_wb_host/_6362_/Q (sky130_fd_sc_hd__dfrtp_1)
+     3    0.02                           mprj/u_wb_host/u_uart2wb.u_msg.State[0] (net)
+                  0.10    0.00    1.34 v mprj/u_wb_host/fanout557/A (sky130_fd_sc_hd__buf_4)
+                  0.10    0.23    1.58 v mprj/u_wb_host/fanout557/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_wb_host/net557 (net)
+                  0.10    0.00    1.58 v mprj/u_wb_host/_2678_/A (sky130_fd_sc_hd__inv_2)
+                  0.12    0.14    1.72 ^ mprj/u_wb_host/_2678_/Y (sky130_fd_sc_hd__inv_2)
+     4    0.03                           mprj/u_wb_host/_1099_ (net)
+                  0.12    0.00    1.72 ^ mprj/u_wb_host/fanout534/A (sky130_fd_sc_hd__buf_4)
+                  0.23    0.28    2.00 ^ mprj/u_wb_host/fanout534/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net534 (net)
+                  0.23    0.00    2.00 ^ mprj/u_wb_host/_3290_/A (sky130_fd_sc_hd__nor2_1)
+                  0.06    0.08    2.08 v mprj/u_wb_host/_3290_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.00                           mprj/u_wb_host/_1512_ (net)
+                  0.06    0.00    2.08 v mprj/u_wb_host/fanout483/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.21    2.29 v mprj/u_wb_host/fanout483/X (sky130_fd_sc_hd__buf_2)
+    12    0.05                           mprj/u_wb_host/net483 (net)
+                  0.11    0.00    2.29 v mprj/u_wb_host/fanout482/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.13    0.26    2.56 v mprj/u_wb_host/fanout482/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.06                           mprj/u_wb_host/net482 (net)
+                  0.13    0.00    2.56 v mprj/u_wb_host/fanout481/A (sky130_fd_sc_hd__buf_2)
+                  0.10    0.23    2.79 v mprj/u_wb_host/fanout481/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_wb_host/net481 (net)
+                  0.10    0.00    2.79 v mprj/u_wb_host/_3356_/A1 (sky130_fd_sc_hd__a211o_2)
+                  0.09    0.38    3.17 v mprj/u_wb_host/_3356_/X (sky130_fd_sc_hd__a211o_2)
+     6    0.02                           mprj/u_wb_host/_1538_ (net)
+                  0.09    0.00    3.17 v mprj/u_wb_host/_3357_/B (sky130_fd_sc_hd__nor2_1)
+                  0.43    0.38    3.55 ^ mprj/u_wb_host/_3357_/Y (sky130_fd_sc_hd__nor2_1)
+     4    0.02                           mprj/u_wb_host/_1539_ (net)
+                  0.43    0.00    3.55 ^ mprj/u_wb_host/fanout428/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.25    0.36    3.91 ^ mprj/u_wb_host/fanout428/X (sky130_fd_sc_hd__clkbuf_2)
+    14    0.05                           mprj/u_wb_host/net428 (net)
+                  0.25    0.00    3.91 ^ mprj/u_wb_host/fanout427/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.20    0.33    4.24 ^ mprj/u_wb_host/fanout427/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.07                           mprj/u_wb_host/net427 (net)
+                  0.20    0.00    4.25 ^ mprj/u_wb_host/fanout426/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.15    0.28    4.53 ^ mprj/u_wb_host/fanout426/X (sky130_fd_sc_hd__clkbuf_4)
+    10    0.05                           mprj/u_wb_host/net426 (net)
+                  0.15    0.00    4.53 ^ mprj/u_wb_host/_3505_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.34    4.87 v mprj/u_wb_host/_3505_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0161_ (net)
+                  0.05    0.00    4.87 v mprj/u_wb_host/_5623_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  4.87   data arrival time
 
                         100.00  100.00   clock uart_clk (rise edge)
                           0.00  100.00   clock source latency
-                  2.27    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.27    0.04  100.04 ^ mprj/u_wb_host/_5617_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                         -0.25   99.79   clock uncertainty
-                          0.00   99.79   clock reconvergence pessimism
-                          0.06   99.85   library setup time
-                                 99.85   data required time
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14  100.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00  100.14 ^ mprj/u_wb_host/clkbuf_1_0_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12  100.26 ^ mprj/u_wb_host/clkbuf_1_0_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00  100.26 ^ mprj/u_wb_host/clkbuf_1_0_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.25    0.26  100.52 ^ mprj/u_wb_host/clkbuf_1_0_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.14                           mprj/u_wb_host/clknet_1_0_1_u_uart2wb.baud_clk_16x (net)
+                  0.25    0.00  100.52 ^ mprj/u_wb_host/clkbuf_leaf_4_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.23  100.75 ^ mprj/u_wb_host/clkbuf_leaf_4_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_4_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00  100.76 ^ mprj/u_wb_host/_5623_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                         -0.25  100.51   clock uncertainty
+                          0.01  100.52   clock reconvergence pessimism
+                         -0.10  100.42   library setup time
+                                100.42   data required time
 -----------------------------------------------------------------------------
-                                 99.85   data required time
-                                 -3.79   data arrival time
+                                100.42   data required time
+                                 -4.87   data arrival time
 -----------------------------------------------------------------------------
-                                 96.06   slack (MET)
+                                 95.55   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_6447_
+Startpoint: mprj/u_wb_host/_6363_
             (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6342_
+Endpoint: mprj/u_wb_host/_6393_
           (rising edge-triggered flip-flop clocked by uart_clk)
 Path Group: uart_clk
 Path Type: max
@@ -148820,579 +173717,94 @@
 -----------------------------------------------------------------------------
                           0.00    0.00   clock uart_clk (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.17    0.17 ^ mprj/u_wb_host/_6447_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.14    0.79    0.96 v mprj/u_wb_host/_6447_/Q (sky130_fd_sc_hd__dfrtp_1)
-    10    0.03                           mprj/u_wb_host/u_uart2wb.rx_data[4] (net)
-                  0.14    0.00    0.96 v mprj/u_wb_host/_4296_/A (sky130_fd_sc_hd__or3_2)
-                  0.13    0.61    1.57 v mprj/u_wb_host/_4296_/X (sky130_fd_sc_hd__or3_2)
-     6    0.02                           mprj/u_wb_host/_2183_ (net)
-                  0.14    0.00    1.57 v mprj/u_wb_host/_4299_/B (sky130_fd_sc_hd__or3_2)
-                  0.13    0.58    2.15 v mprj/u_wb_host/_4299_/X (sky130_fd_sc_hd__or3_2)
-     6    0.02                           mprj/u_wb_host/_2186_ (net)
-                  0.13    0.00    2.15 v mprj/u_wb_host/_4860_/A1 (sky130_fd_sc_hd__o311a_1)
-                  0.10    0.45    2.60 v mprj/u_wb_host/_4860_/X (sky130_fd_sc_hd__o311a_1)
-     1    0.01                           mprj/u_wb_host/_2355_ (net)
-                  0.10    0.00    2.60 v mprj/u_wb_host/_4867_/B1 (sky130_fd_sc_hd__o211ai_4)
-                  0.20    0.13    2.73 ^ mprj/u_wb_host/_4867_/Y (sky130_fd_sc_hd__o211ai_4)
-     2    0.02                           mprj/u_wb_host/_2362_ (net)
-                  0.20    0.00    2.73 ^ mprj/u_wb_host/_4868_/B (sky130_fd_sc_hd__nor2_2)
-                  0.08    0.11    2.84 v mprj/u_wb_host/_4868_/Y (sky130_fd_sc_hd__nor2_2)
-     3    0.02                           mprj/u_wb_host/_2363_ (net)
-                  0.08    0.00    2.84 v mprj/u_wb_host/_4882_/B (sky130_fd_sc_hd__or4b_1)
-                  0.11    0.56    3.40 v mprj/u_wb_host/_4882_/X (sky130_fd_sc_hd__or4b_1)
-     2    0.01                           mprj/u_wb_host/_2377_ (net)
-                  0.11    0.00    3.40 v mprj/u_wb_host/_4883_/B (sky130_fd_sc_hd__or2_1)
-                  0.06    0.25    3.65 v mprj/u_wb_host/_4883_/X (sky130_fd_sc_hd__or2_1)
-     2    0.01                           mprj/u_wb_host/_2378_ (net)
-                  0.06    0.00    3.65 v mprj/u_wb_host/_4962_/B1_N (sky130_fd_sc_hd__a21bo_1)
-                  0.04    0.19    3.84 ^ mprj/u_wb_host/_4962_/X (sky130_fd_sc_hd__a21bo_1)
-     1    0.00                           mprj/u_wb_host/_0856_ (net)
-                  0.04    0.00    3.84 ^ mprj/u_wb_host/_6342_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  3.84   data arrival time
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.00    0.62 ^ mprj/u_wb_host/clkbuf_leaf_11_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.27    0.88 ^ mprj/u_wb_host/clkbuf_leaf_11_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_11_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.88 ^ mprj/u_wb_host/_6363_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.12    0.47    1.35 v mprj/u_wb_host/_6363_/Q (sky130_fd_sc_hd__dfrtp_1)
+     3    0.02                           mprj/u_wb_host/u_uart2wb.u_msg.State[1] (net)
+                  0.12    0.00    1.35 v mprj/u_wb_host/fanout556/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.13    0.27    1.62 v mprj/u_wb_host/fanout556/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.07                           mprj/u_wb_host/net556 (net)
+                  0.13    0.00    1.63 v mprj/u_wb_host/_3289_/A (sky130_fd_sc_hd__or3b_2)
+                  0.12    0.58    2.21 v mprj/u_wb_host/_3289_/X (sky130_fd_sc_hd__or3b_2)
+     4    0.02                           mprj/u_wb_host/_1511_ (net)
+                  0.12    0.00    2.21 v mprj/u_wb_host/_3290_/B (sky130_fd_sc_hd__nor2_1)
+                  0.08    0.12    2.33 ^ mprj/u_wb_host/_3290_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.00                           mprj/u_wb_host/_1512_ (net)
+                  0.08    0.00    2.33 ^ mprj/u_wb_host/fanout483/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    2.60 ^ mprj/u_wb_host/fanout483/X (sky130_fd_sc_hd__buf_2)
+    12    0.05                           mprj/u_wb_host/net483 (net)
+                  0.24    0.00    2.60 ^ mprj/u_wb_host/fanout482/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.20    0.33    2.92 ^ mprj/u_wb_host/fanout482/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.07                           mprj/u_wb_host/net482 (net)
+                  0.20    0.00    2.92 ^ mprj/u_wb_host/fanout481/A (sky130_fd_sc_hd__buf_2)
+                  0.20    0.28    3.20 ^ mprj/u_wb_host/fanout481/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_wb_host/net481 (net)
+                  0.20    0.00    3.20 ^ mprj/u_wb_host/_3356_/A1 (sky130_fd_sc_hd__a211o_2)
+                  0.12    0.24    3.44 ^ mprj/u_wb_host/_3356_/X (sky130_fd_sc_hd__a211o_2)
+     6    0.02                           mprj/u_wb_host/_1538_ (net)
+                  0.12    0.00    3.44 ^ mprj/u_wb_host/_5002_/B (sky130_fd_sc_hd__nor2_2)
+                  0.08    0.10    3.54 v mprj/u_wb_host/_5002_/Y (sky130_fd_sc_hd__nor2_2)
+     6    0.03                           mprj/u_wb_host/_2427_ (net)
+                  0.08    0.00    3.54 v mprj/u_wb_host/_5047_/A (sky130_fd_sc_hd__or2_1)
+                  0.10    0.31    3.85 v mprj/u_wb_host/_5047_/X (sky130_fd_sc_hd__or2_1)
+     4    0.02                           mprj/u_wb_host/_2462_ (net)
+                  0.10    0.00    3.85 v mprj/u_wb_host/fanout370/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.12    0.25    4.10 v mprj/u_wb_host/fanout370/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.06                           mprj/u_wb_host/net370 (net)
+                  0.12    0.00    4.10 v mprj/u_wb_host/_5063_/B (sky130_fd_sc_hd__and2_1)
+                  0.10    0.26    4.36 v mprj/u_wb_host/_5063_/X (sky130_fd_sc_hd__and2_1)
+     5    0.02                           mprj/u_wb_host/_2470_ (net)
+                  0.10    0.00    4.36 v mprj/u_wb_host/_5072_/B (sky130_fd_sc_hd__or2_1)
+                  0.10    0.29    4.66 v mprj/u_wb_host/_5072_/X (sky130_fd_sc_hd__or2_1)
+     4    0.01                           mprj/u_wb_host/_2471_ (net)
+                  0.10    0.00    4.66 v mprj/u_wb_host/_5088_/C1 (sky130_fd_sc_hd__a221o_1)
+                  0.05    0.28    4.94 v mprj/u_wb_host/_5088_/X (sky130_fd_sc_hd__a221o_1)
+     1    0.00                           mprj/u_wb_host/_0913_ (net)
+                  0.05    0.00    4.94 v mprj/u_wb_host/_6393_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  4.94   data arrival time
 
                         100.00  100.00   clock uart_clk (rise edge)
                           0.00  100.00   clock source latency
-                  2.27    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.28    0.08  100.08 ^ mprj/u_wb_host/_6342_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   99.83   clock uncertainty
-                          0.00   99.83   clock reconvergence pessimism
-                          0.07   99.90   library setup time
-                                 99.90   data required time
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14  100.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00  100.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12  100.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00  100.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31  100.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01  100.58 ^ mprj/u_wb_host/clkbuf_leaf_7_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.09    0.24  100.82 ^ mprj/u_wb_host/clkbuf_leaf_7_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.07                           mprj/u_wb_host/clknet_leaf_7_u_uart2wb.baud_clk_16x (net)
+                  0.09    0.00  100.82 ^ mprj/u_wb_host/_6393_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                         -0.25  100.57   clock uncertainty
+                          0.04  100.61   clock reconvergence pessimism
+                         -0.10  100.51   library setup time
+                                100.51   data required time
 -----------------------------------------------------------------------------
-                                 99.90   data required time
-                                 -3.84   data arrival time
+                                100.51   data required time
+                                 -4.94   data arrival time
 -----------------------------------------------------------------------------
-                                 96.06   slack (MET)
+                                 95.57   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_6369_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_5597_
-          (rising edge-triggered flip-flop clocked by uart_clk)
-Path Group: uart_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.15    0.15 ^ mprj/u_wb_host/_6369_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.12    0.88    1.04 v mprj/u_wb_host/_6369_/Q (sky130_fd_sc_hd__dfrtp_4)
-    10    0.05                           mprj/u_wb_host/u_uart2wb.u_msg.State[1] (net)
-                  0.12    0.00    1.04 v mprj/u_wb_host/_3298_/A (sky130_fd_sc_hd__or3b_4)
-                  0.13    0.53    1.57 v mprj/u_wb_host/_3298_/X (sky130_fd_sc_hd__or3b_4)
-     6    0.05                           mprj/u_wb_host/_1517_ (net)
-                  0.13    0.00    1.57 v mprj/u_wb_host/_3299_/B (sky130_fd_sc_hd__nor2_8)
-                  1.26    0.99    2.57 ^ mprj/u_wb_host/_3299_/Y (sky130_fd_sc_hd__nor2_8)
-   122    0.37                           mprj/u_wb_host/_1518_ (net)
-                  1.26    0.04    2.61 ^ mprj/u_wb_host/_3364_/A1 (sky130_fd_sc_hd__a211o_4)
-                  0.20    0.41    3.02 ^ mprj/u_wb_host/_3364_/X (sky130_fd_sc_hd__a211o_4)
-     8    0.07                           mprj/u_wb_host/_1543_ (net)
-                  0.20    0.00    3.02 ^ mprj/u_wb_host/_3366_/B (sky130_fd_sc_hd__or2_4)
-                  0.54    0.52    3.54 ^ mprj/u_wb_host/_3366_/X (sky130_fd_sc_hd__or2_4)
-    60    0.18                           mprj/u_wb_host/_1545_ (net)
-                  0.54    0.02    3.56 ^ mprj/u_wb_host/_3405_/A (sky130_fd_sc_hd__or3_1)
-                  0.05    0.21    3.76 ^ mprj/u_wb_host/_3405_/X (sky130_fd_sc_hd__or3_1)
-     1    0.00                           mprj/u_wb_host/_1574_ (net)
-                  0.05    0.00    3.76 ^ mprj/u_wb_host/_3406_/B1 (sky130_fd_sc_hd__o21a_1)
-                  0.06    0.11    3.87 ^ mprj/u_wb_host/_3406_/X (sky130_fd_sc_hd__o21a_1)
-     1    0.00                           mprj/u_wb_host/_0129_ (net)
-                  0.06    0.00    3.87 ^ mprj/u_wb_host/_5597_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  3.87   data arrival time
-
-                        100.00  100.00   clock uart_clk (rise edge)
-                          0.00  100.00   clock source latency
-                  2.27    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.28    0.13  100.13 ^ mprj/u_wb_host/_5597_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                         -0.25   99.88   clock uncertainty
-                          0.00   99.88   clock reconvergence pessimism
-                          0.06   99.93   library setup time
-                                 99.93   data required time
------------------------------------------------------------------------------
-                                 99.93   data required time
-                                 -3.87   data arrival time
------------------------------------------------------------------------------
-                                 96.07   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6369_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_5640_
-          (rising edge-triggered flip-flop clocked by uart_clk)
-Path Group: uart_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.15    0.15 ^ mprj/u_wb_host/_6369_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.12    0.88    1.04 v mprj/u_wb_host/_6369_/Q (sky130_fd_sc_hd__dfrtp_4)
-    10    0.05                           mprj/u_wb_host/u_uart2wb.u_msg.State[1] (net)
-                  0.12    0.00    1.04 v mprj/u_wb_host/_3298_/A (sky130_fd_sc_hd__or3b_4)
-                  0.13    0.53    1.57 v mprj/u_wb_host/_3298_/X (sky130_fd_sc_hd__or3b_4)
-     6    0.05                           mprj/u_wb_host/_1517_ (net)
-                  0.13    0.00    1.57 v mprj/u_wb_host/_3299_/B (sky130_fd_sc_hd__nor2_8)
-                  1.26    0.99    2.57 ^ mprj/u_wb_host/_3299_/Y (sky130_fd_sc_hd__nor2_8)
-   122    0.37                           mprj/u_wb_host/_1518_ (net)
-                  1.26    0.04    2.61 ^ mprj/u_wb_host/_3364_/A1 (sky130_fd_sc_hd__a211o_4)
-                  0.20    0.41    3.02 ^ mprj/u_wb_host/_3364_/X (sky130_fd_sc_hd__a211o_4)
-     8    0.07                           mprj/u_wb_host/_1543_ (net)
-                  0.20    0.00    3.02 ^ mprj/u_wb_host/_3366_/B (sky130_fd_sc_hd__or2_4)
-                  0.54    0.52    3.54 ^ mprj/u_wb_host/_3366_/X (sky130_fd_sc_hd__or2_4)
-    60    0.18                           mprj/u_wb_host/_1545_ (net)
-                  0.54    0.02    3.56 ^ mprj/u_wb_host/_3552_/C1 (sky130_fd_sc_hd__a221o_1)
-                  0.07    0.21    3.76 ^ mprj/u_wb_host/_3552_/X (sky130_fd_sc_hd__a221o_1)
-     1    0.00                           mprj/u_wb_host/_1678_ (net)
-                  0.07    0.00    3.76 ^ mprj/u_wb_host/_3553_/B1 (sky130_fd_sc_hd__o21a_1)
-                  0.06    0.11    3.88 ^ mprj/u_wb_host/_3553_/X (sky130_fd_sc_hd__o21a_1)
-     1    0.00                           mprj/u_wb_host/_0172_ (net)
-                  0.06    0.00    3.88 ^ mprj/u_wb_host/_5640_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  3.88   data arrival time
-
-                        100.00  100.00   clock uart_clk (rise edge)
-                          0.00  100.00   clock source latency
-                  2.27    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.14  100.14 ^ mprj/u_wb_host/_5640_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                         -0.25   99.89   clock uncertainty
-                          0.00   99.89   clock reconvergence pessimism
-                          0.06   99.95   library setup time
-                                 99.95   data required time
------------------------------------------------------------------------------
-                                 99.95   data required time
-                                 -3.88   data arrival time
------------------------------------------------------------------------------
-                                 96.08   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6369_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_5587_
-          (rising edge-triggered flip-flop clocked by uart_clk)
-Path Group: uart_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.15    0.15 ^ mprj/u_wb_host/_6369_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.12    0.88    1.04 v mprj/u_wb_host/_6369_/Q (sky130_fd_sc_hd__dfrtp_4)
-    10    0.05                           mprj/u_wb_host/u_uart2wb.u_msg.State[1] (net)
-                  0.12    0.00    1.04 v mprj/u_wb_host/_3298_/A (sky130_fd_sc_hd__or3b_4)
-                  0.13    0.53    1.57 v mprj/u_wb_host/_3298_/X (sky130_fd_sc_hd__or3b_4)
-     6    0.05                           mprj/u_wb_host/_1517_ (net)
-                  0.13    0.00    1.57 v mprj/u_wb_host/_3299_/B (sky130_fd_sc_hd__nor2_8)
-                  1.26    0.99    2.57 ^ mprj/u_wb_host/_3299_/Y (sky130_fd_sc_hd__nor2_8)
-   122    0.37                           mprj/u_wb_host/_1518_ (net)
-                  1.26    0.04    2.61 ^ mprj/u_wb_host/_3364_/A1 (sky130_fd_sc_hd__a211o_4)
-                  0.20    0.41    3.02 ^ mprj/u_wb_host/_3364_/X (sky130_fd_sc_hd__a211o_4)
-     8    0.07                           mprj/u_wb_host/_1543_ (net)
-                  0.20    0.00    3.02 ^ mprj/u_wb_host/_3366_/B (sky130_fd_sc_hd__or2_4)
-                  0.54    0.52    3.54 ^ mprj/u_wb_host/_3366_/X (sky130_fd_sc_hd__or2_4)
-    60    0.18                           mprj/u_wb_host/_1545_ (net)
-                  0.54    0.03    3.56 ^ mprj/u_wb_host/_3368_/A2 (sky130_fd_sc_hd__a21o_1)
-                  0.04    0.19    3.76 ^ mprj/u_wb_host/_3368_/X (sky130_fd_sc_hd__a21o_1)
-     1    0.00                           mprj/u_wb_host/_0119_ (net)
-                  0.04    0.00    3.76 ^ mprj/u_wb_host/_5587_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  3.76   data arrival time
-
-                        100.00  100.00   clock uart_clk (rise edge)
-                          0.00  100.00   clock source latency
-                  2.27    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.27    0.03  100.03 ^ mprj/u_wb_host/_5587_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                         -0.25   99.78   clock uncertainty
-                          0.00   99.78   clock reconvergence pessimism
-                          0.06   99.84   library setup time
-                                 99.84   data required time
------------------------------------------------------------------------------
-                                 99.84   data required time
-                                 -3.76   data arrival time
------------------------------------------------------------------------------
-                                 96.08   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6369_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6400_
-          (rising edge-triggered flip-flop clocked by uart_clk)
-Path Group: uart_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.15    0.15 ^ mprj/u_wb_host/_6369_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.12    0.88    1.04 v mprj/u_wb_host/_6369_/Q (sky130_fd_sc_hd__dfrtp_4)
-    10    0.05                           mprj/u_wb_host/u_uart2wb.u_msg.State[1] (net)
-                  0.12    0.00    1.04 v mprj/u_wb_host/_3298_/A (sky130_fd_sc_hd__or3b_4)
-                  0.13    0.53    1.57 v mprj/u_wb_host/_3298_/X (sky130_fd_sc_hd__or3b_4)
-     6    0.05                           mprj/u_wb_host/_1517_ (net)
-                  0.13    0.00    1.57 v mprj/u_wb_host/_3299_/B (sky130_fd_sc_hd__nor2_8)
-                  1.26    0.99    2.57 ^ mprj/u_wb_host/_3299_/Y (sky130_fd_sc_hd__nor2_8)
-   122    0.37                           mprj/u_wb_host/_1518_ (net)
-                  1.26    0.04    2.61 ^ mprj/u_wb_host/_3364_/A1 (sky130_fd_sc_hd__a211o_4)
-                  0.20    0.41    3.02 ^ mprj/u_wb_host/_3364_/X (sky130_fd_sc_hd__a211o_4)
-     8    0.07                           mprj/u_wb_host/_1543_ (net)
-                  0.20    0.00    3.02 ^ mprj/u_wb_host/_5053_/B1 (sky130_fd_sc_hd__a21o_4)
-                  0.36    0.36    3.38 ^ mprj/u_wb_host/_5053_/X (sky130_fd_sc_hd__a21o_4)
-    50    0.13                           mprj/u_wb_host/_2465_ (net)
-                  0.36    0.00    3.38 ^ mprj/u_wb_host/_5094_/B (sky130_fd_sc_hd__nor2_1)
-                  0.08    0.10    3.49 v mprj/u_wb_host/_5094_/Y (sky130_fd_sc_hd__nor2_1)
-     2    0.01                           mprj/u_wb_host/_2478_ (net)
-                  0.08    0.00    3.49 v mprj/u_wb_host/_5095_/B (sky130_fd_sc_hd__or2_1)
-                  0.05    0.22    3.71 v mprj/u_wb_host/_5095_/X (sky130_fd_sc_hd__or2_1)
-     1    0.00                           mprj/u_wb_host/_2479_ (net)
-                  0.05    0.00    3.71 v mprj/u_wb_host/_5096_/C1 (sky130_fd_sc_hd__a221o_1)
-                  0.05    0.26    3.97 v mprj/u_wb_host/_5096_/X (sky130_fd_sc_hd__a221o_1)
-     1    0.00                           mprj/u_wb_host/_0914_ (net)
-                  0.05    0.00    3.97 v mprj/u_wb_host/_6400_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  3.97   data arrival time
-
-                        100.00  100.00   clock uart_clk (rise edge)
-                          0.00  100.00   clock source latency
-                  2.27    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.15  100.15 ^ mprj/u_wb_host/_6400_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                         -0.25   99.90   clock uncertainty
-                          0.00   99.90   clock reconvergence pessimism
-                          0.15  100.05   library setup time
-                                100.05   data required time
------------------------------------------------------------------------------
-                                100.05   data required time
-                                 -3.97   data arrival time
------------------------------------------------------------------------------
-                                 96.09   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6369_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_5592_
-          (rising edge-triggered flip-flop clocked by uart_clk)
-Path Group: uart_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.15    0.15 ^ mprj/u_wb_host/_6369_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.12    0.88    1.04 v mprj/u_wb_host/_6369_/Q (sky130_fd_sc_hd__dfrtp_4)
-    10    0.05                           mprj/u_wb_host/u_uart2wb.u_msg.State[1] (net)
-                  0.12    0.00    1.04 v mprj/u_wb_host/_3298_/A (sky130_fd_sc_hd__or3b_4)
-                  0.13    0.53    1.57 v mprj/u_wb_host/_3298_/X (sky130_fd_sc_hd__or3b_4)
-     6    0.05                           mprj/u_wb_host/_1517_ (net)
-                  0.13    0.00    1.57 v mprj/u_wb_host/_3299_/B (sky130_fd_sc_hd__nor2_8)
-                  1.26    0.99    2.57 ^ mprj/u_wb_host/_3299_/Y (sky130_fd_sc_hd__nor2_8)
-   122    0.37                           mprj/u_wb_host/_1518_ (net)
-                  1.26    0.04    2.61 ^ mprj/u_wb_host/_3364_/A1 (sky130_fd_sc_hd__a211o_4)
-                  0.20    0.41    3.02 ^ mprj/u_wb_host/_3364_/X (sky130_fd_sc_hd__a211o_4)
-     8    0.07                           mprj/u_wb_host/_1543_ (net)
-                  0.20    0.00    3.02 ^ mprj/u_wb_host/_3366_/B (sky130_fd_sc_hd__or2_4)
-                  0.54    0.52    3.54 ^ mprj/u_wb_host/_3366_/X (sky130_fd_sc_hd__or2_4)
-    60    0.18                           mprj/u_wb_host/_1545_ (net)
-                  0.54    0.02    3.56 ^ mprj/u_wb_host/_3384_/A2 (sky130_fd_sc_hd__a21o_1)
-                  0.04    0.19    3.75 ^ mprj/u_wb_host/_3384_/X (sky130_fd_sc_hd__a21o_1)
-     1    0.00                           mprj/u_wb_host/_0124_ (net)
-                  0.04    0.00    3.75 ^ mprj/u_wb_host/_5592_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  3.75   data arrival time
-
-                        100.00  100.00   clock uart_clk (rise edge)
-                          0.00  100.00   clock source latency
-                  2.27    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.27    0.03  100.03 ^ mprj/u_wb_host/_5592_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                         -0.25   99.78   clock uncertainty
-                          0.00   99.78   clock reconvergence pessimism
-                          0.06   99.84   library setup time
-                                 99.84   data required time
------------------------------------------------------------------------------
-                                 99.84   data required time
-                                 -3.75   data arrival time
------------------------------------------------------------------------------
-                                 96.09   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6369_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_5593_
-          (rising edge-triggered flip-flop clocked by uart_clk)
-Path Group: uart_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.15    0.15 ^ mprj/u_wb_host/_6369_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.12    0.88    1.04 v mprj/u_wb_host/_6369_/Q (sky130_fd_sc_hd__dfrtp_4)
-    10    0.05                           mprj/u_wb_host/u_uart2wb.u_msg.State[1] (net)
-                  0.12    0.00    1.04 v mprj/u_wb_host/_3298_/A (sky130_fd_sc_hd__or3b_4)
-                  0.13    0.53    1.57 v mprj/u_wb_host/_3298_/X (sky130_fd_sc_hd__or3b_4)
-     6    0.05                           mprj/u_wb_host/_1517_ (net)
-                  0.13    0.00    1.57 v mprj/u_wb_host/_3299_/B (sky130_fd_sc_hd__nor2_8)
-                  1.26    0.99    2.57 ^ mprj/u_wb_host/_3299_/Y (sky130_fd_sc_hd__nor2_8)
-   122    0.37                           mprj/u_wb_host/_1518_ (net)
-                  1.26    0.04    2.61 ^ mprj/u_wb_host/_3364_/A1 (sky130_fd_sc_hd__a211o_4)
-                  0.20    0.41    3.02 ^ mprj/u_wb_host/_3364_/X (sky130_fd_sc_hd__a211o_4)
-     8    0.07                           mprj/u_wb_host/_1543_ (net)
-                  0.20    0.00    3.02 ^ mprj/u_wb_host/_3366_/B (sky130_fd_sc_hd__or2_4)
-                  0.54    0.52    3.54 ^ mprj/u_wb_host/_3366_/X (sky130_fd_sc_hd__or2_4)
-    60    0.18                           mprj/u_wb_host/_1545_ (net)
-                  0.54    0.02    3.56 ^ mprj/u_wb_host/_3386_/A2 (sky130_fd_sc_hd__a21o_1)
-                  0.04    0.19    3.75 ^ mprj/u_wb_host/_3386_/X (sky130_fd_sc_hd__a21o_1)
-     1    0.00                           mprj/u_wb_host/_0125_ (net)
-                  0.04    0.00    3.75 ^ mprj/u_wb_host/_5593_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  3.75   data arrival time
-
-                        100.00  100.00   clock uart_clk (rise edge)
-                          0.00  100.00   clock source latency
-                  2.27    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.27    0.03  100.03 ^ mprj/u_wb_host/_5593_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                         -0.25   99.78   clock uncertainty
-                          0.00   99.78   clock reconvergence pessimism
-                          0.06   99.84   library setup time
-                                 99.84   data required time
------------------------------------------------------------------------------
-                                 99.84   data required time
-                                 -3.75   data arrival time
------------------------------------------------------------------------------
-                                 96.09   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6369_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_5600_
-          (rising edge-triggered flip-flop clocked by uart_clk)
-Path Group: uart_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.15    0.15 ^ mprj/u_wb_host/_6369_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.12    0.88    1.04 v mprj/u_wb_host/_6369_/Q (sky130_fd_sc_hd__dfrtp_4)
-    10    0.05                           mprj/u_wb_host/u_uart2wb.u_msg.State[1] (net)
-                  0.12    0.00    1.04 v mprj/u_wb_host/_3298_/A (sky130_fd_sc_hd__or3b_4)
-                  0.13    0.53    1.57 v mprj/u_wb_host/_3298_/X (sky130_fd_sc_hd__or3b_4)
-     6    0.05                           mprj/u_wb_host/_1517_ (net)
-                  0.13    0.00    1.57 v mprj/u_wb_host/_3299_/B (sky130_fd_sc_hd__nor2_8)
-                  1.26    0.99    2.57 ^ mprj/u_wb_host/_3299_/Y (sky130_fd_sc_hd__nor2_8)
-   122    0.37                           mprj/u_wb_host/_1518_ (net)
-                  1.26    0.04    2.61 ^ mprj/u_wb_host/_3364_/A1 (sky130_fd_sc_hd__a211o_4)
-                  0.20    0.41    3.02 ^ mprj/u_wb_host/_3364_/X (sky130_fd_sc_hd__a211o_4)
-     8    0.07                           mprj/u_wb_host/_1543_ (net)
-                  0.20    0.00    3.02 ^ mprj/u_wb_host/_3366_/B (sky130_fd_sc_hd__or2_4)
-                  0.54    0.52    3.54 ^ mprj/u_wb_host/_3366_/X (sky130_fd_sc_hd__or2_4)
-    60    0.18                           mprj/u_wb_host/_1545_ (net)
-                  0.54    0.01    3.54 ^ mprj/u_wb_host/_3412_/B1 (sky130_fd_sc_hd__a21o_1)
-                  0.10    0.18    3.73 ^ mprj/u_wb_host/_3412_/X (sky130_fd_sc_hd__a21o_1)
-     1    0.01                           mprj/u_wb_host/_1578_ (net)
-                  0.10    0.00    3.73 ^ mprj/u_wb_host/_3413_/B2 (sky130_fd_sc_hd__o22a_1)
-                  0.06    0.12    3.85 ^ mprj/u_wb_host/_3413_/X (sky130_fd_sc_hd__o22a_1)
-     1    0.00                           mprj/u_wb_host/_0132_ (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/_5600_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  3.85   data arrival time
-
-                        100.00  100.00   clock uart_clk (rise edge)
-                          0.00  100.00   clock source latency
-                  2.27    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.13  100.13 ^ mprj/u_wb_host/_5600_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                         -0.25   99.88   clock uncertainty
-                          0.00   99.88   clock reconvergence pessimism
-                          0.06   99.94   library setup time
-                                 99.94   data required time
------------------------------------------------------------------------------
-                                 99.94   data required time
-                                 -3.85   data arrival time
------------------------------------------------------------------------------
-                                 96.09   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6369_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6386_
-          (rising edge-triggered flip-flop clocked by uart_clk)
-Path Group: uart_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.15    0.15 ^ mprj/u_wb_host/_6369_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.12    0.88    1.04 v mprj/u_wb_host/_6369_/Q (sky130_fd_sc_hd__dfrtp_4)
-    10    0.05                           mprj/u_wb_host/u_uart2wb.u_msg.State[1] (net)
-                  0.12    0.00    1.04 v mprj/u_wb_host/_3298_/A (sky130_fd_sc_hd__or3b_4)
-                  0.13    0.53    1.57 v mprj/u_wb_host/_3298_/X (sky130_fd_sc_hd__or3b_4)
-     6    0.05                           mprj/u_wb_host/_1517_ (net)
-                  0.13    0.00    1.57 v mprj/u_wb_host/_3299_/B (sky130_fd_sc_hd__nor2_8)
-                  1.26    0.99    2.57 ^ mprj/u_wb_host/_3299_/Y (sky130_fd_sc_hd__nor2_8)
-   122    0.37                           mprj/u_wb_host/_1518_ (net)
-                  1.26    0.04    2.61 ^ mprj/u_wb_host/_3357_/A (sky130_fd_sc_hd__nor2_2)
-                  0.23    0.21    2.81 v mprj/u_wb_host/_3357_/Y (sky130_fd_sc_hd__nor2_2)
-     4    0.02                           mprj/u_wb_host/_1536_ (net)
-                  0.23    0.00    2.81 v mprj/u_wb_host/_5054_/A2 (sky130_fd_sc_hd__a21oi_4)
-                  0.54    0.56    3.37 ^ mprj/u_wb_host/_5054_/Y (sky130_fd_sc_hd__a21oi_4)
-    28    0.08                           mprj/u_wb_host/_2466_ (net)
-                  0.54    0.00    3.37 ^ mprj/u_wb_host/_5068_/B (sky130_fd_sc_hd__and2_1)
-                  0.23    0.35    3.72 ^ mprj/u_wb_host/_5068_/X (sky130_fd_sc_hd__and2_1)
-     5    0.02                           mprj/u_wb_host/_2472_ (net)
-                  0.23    0.00    3.72 ^ mprj/u_wb_host/_5075_/C1 (sky130_fd_sc_hd__a221o_1)
-                  0.05    0.15    3.87 ^ mprj/u_wb_host/_5075_/X (sky130_fd_sc_hd__a221o_1)
-     1    0.00                           mprj/u_wb_host/_0900_ (net)
-                  0.05    0.00    3.87 ^ mprj/u_wb_host/_6386_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  3.87   data arrival time
-
-                        100.00  100.00   clock uart_clk (rise edge)
-                          0.00  100.00   clock source latency
-                  2.27    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.15  100.15 ^ mprj/u_wb_host/_6386_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                         -0.25   99.90   clock uncertainty
-                          0.00   99.90   clock reconvergence pessimism
-                          0.06   99.96   library setup time
-                                 99.96   data required time
------------------------------------------------------------------------------
-                                 99.96   data required time
-                                 -3.87   data arrival time
------------------------------------------------------------------------------
-                                 96.09   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6369_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_5594_
-          (rising edge-triggered flip-flop clocked by uart_clk)
-Path Group: uart_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.15    0.15 ^ mprj/u_wb_host/_6369_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.12    0.88    1.04 v mprj/u_wb_host/_6369_/Q (sky130_fd_sc_hd__dfrtp_4)
-    10    0.05                           mprj/u_wb_host/u_uart2wb.u_msg.State[1] (net)
-                  0.12    0.00    1.04 v mprj/u_wb_host/_3298_/A (sky130_fd_sc_hd__or3b_4)
-                  0.13    0.53    1.57 v mprj/u_wb_host/_3298_/X (sky130_fd_sc_hd__or3b_4)
-     6    0.05                           mprj/u_wb_host/_1517_ (net)
-                  0.13    0.00    1.57 v mprj/u_wb_host/_3299_/B (sky130_fd_sc_hd__nor2_8)
-                  1.26    0.99    2.57 ^ mprj/u_wb_host/_3299_/Y (sky130_fd_sc_hd__nor2_8)
-   122    0.37                           mprj/u_wb_host/_1518_ (net)
-                  1.26    0.04    2.61 ^ mprj/u_wb_host/_3364_/A1 (sky130_fd_sc_hd__a211o_4)
-                  0.20    0.41    3.02 ^ mprj/u_wb_host/_3364_/X (sky130_fd_sc_hd__a211o_4)
-     8    0.07                           mprj/u_wb_host/_1543_ (net)
-                  0.20    0.00    3.02 ^ mprj/u_wb_host/_3366_/B (sky130_fd_sc_hd__or2_4)
-                  0.54    0.52    3.54 ^ mprj/u_wb_host/_3366_/X (sky130_fd_sc_hd__or2_4)
-    60    0.18                           mprj/u_wb_host/_1545_ (net)
-                  0.54    0.01    3.55 ^ mprj/u_wb_host/_3387_/B1 (sky130_fd_sc_hd__a211o_1)
-                  0.07    0.19    3.74 ^ mprj/u_wb_host/_3387_/X (sky130_fd_sc_hd__a211o_1)
-     1    0.00                           mprj/u_wb_host/_1559_ (net)
-                  0.07    0.00    3.74 ^ mprj/u_wb_host/_3388_/B1 (sky130_fd_sc_hd__o21a_1)
-                  0.06    0.11    3.85 ^ mprj/u_wb_host/_3388_/X (sky130_fd_sc_hd__o21a_1)
-     1    0.00                           mprj/u_wb_host/_0126_ (net)
-                  0.06    0.00    3.85 ^ mprj/u_wb_host/_5594_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  3.85   data arrival time
-
-                        100.00  100.00   clock uart_clk (rise edge)
-                          0.00  100.00   clock source latency
-                  2.27    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.14  100.14 ^ mprj/u_wb_host/_5594_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                         -0.25   99.89   clock uncertainty
-                          0.00   99.89   clock reconvergence pessimism
-                          0.06   99.95   library setup time
-                                 99.95   data required time
------------------------------------------------------------------------------
-                                 99.95   data required time
-                                 -3.85   data arrival time
------------------------------------------------------------------------------
-                                 96.09   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6369_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_5595_
-          (rising edge-triggered flip-flop clocked by uart_clk)
-Path Group: uart_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.15    0.15 ^ mprj/u_wb_host/_6369_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.12    0.88    1.04 v mprj/u_wb_host/_6369_/Q (sky130_fd_sc_hd__dfrtp_4)
-    10    0.05                           mprj/u_wb_host/u_uart2wb.u_msg.State[1] (net)
-                  0.12    0.00    1.04 v mprj/u_wb_host/_3298_/A (sky130_fd_sc_hd__or3b_4)
-                  0.13    0.53    1.57 v mprj/u_wb_host/_3298_/X (sky130_fd_sc_hd__or3b_4)
-     6    0.05                           mprj/u_wb_host/_1517_ (net)
-                  0.13    0.00    1.57 v mprj/u_wb_host/_3299_/B (sky130_fd_sc_hd__nor2_8)
-                  1.26    0.99    2.57 ^ mprj/u_wb_host/_3299_/Y (sky130_fd_sc_hd__nor2_8)
-   122    0.37                           mprj/u_wb_host/_1518_ (net)
-                  1.26    0.04    2.61 ^ mprj/u_wb_host/_3364_/A1 (sky130_fd_sc_hd__a211o_4)
-                  0.20    0.41    3.02 ^ mprj/u_wb_host/_3364_/X (sky130_fd_sc_hd__a211o_4)
-     8    0.07                           mprj/u_wb_host/_1543_ (net)
-                  0.20    0.00    3.02 ^ mprj/u_wb_host/_3366_/B (sky130_fd_sc_hd__or2_4)
-                  0.54    0.52    3.54 ^ mprj/u_wb_host/_3366_/X (sky130_fd_sc_hd__or2_4)
-    60    0.18                           mprj/u_wb_host/_1545_ (net)
-                  0.54    0.01    3.55 ^ mprj/u_wb_host/_3399_/A (sky130_fd_sc_hd__or4_1)
-                  0.06    0.22    3.77 ^ mprj/u_wb_host/_3399_/X (sky130_fd_sc_hd__or4_1)
-     1    0.00                           mprj/u_wb_host/_1570_ (net)
-                  0.06    0.00    3.77 ^ mprj/u_wb_host/_3400_/B1 (sky130_fd_sc_hd__o21a_1)
-                  0.06    0.11    3.88 ^ mprj/u_wb_host/_3400_/X (sky130_fd_sc_hd__o21a_1)
-     1    0.00                           mprj/u_wb_host/_0127_ (net)
-                  0.06    0.00    3.88 ^ mprj/u_wb_host/_5595_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  3.88   data arrival time
-
-                        100.00  100.00   clock uart_clk (rise edge)
-                          0.00  100.00   clock source latency
-                  2.27    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.17  100.17 ^ mprj/u_wb_host/_5595_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                         -0.25   99.92   clock uncertainty
-                          0.00   99.92   clock reconvergence pessimism
-                          0.06   99.98   library setup time
-                                 99.98   data required time
------------------------------------------------------------------------------
-                                 99.98   data required time
-                                 -3.88   data arrival time
------------------------------------------------------------------------------
-                                 96.10   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6369_
+Startpoint: mprj/u_wb_host/_6363_
             (rising edge-triggered flip-flop clocked by uart_clk)
 Endpoint: mprj/u_wb_host/_6382_
           (rising edge-triggered flip-flop clocked by uart_clk)
@@ -149403,49 +173815,2558 @@
 -----------------------------------------------------------------------------
                           0.00    0.00   clock uart_clk (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.15    0.15 ^ mprj/u_wb_host/_6369_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.12    0.88    1.04 v mprj/u_wb_host/_6369_/Q (sky130_fd_sc_hd__dfrtp_4)
-    10    0.05                           mprj/u_wb_host/u_uart2wb.u_msg.State[1] (net)
-                  0.12    0.00    1.04 v mprj/u_wb_host/_3298_/A (sky130_fd_sc_hd__or3b_4)
-                  0.13    0.53    1.57 v mprj/u_wb_host/_3298_/X (sky130_fd_sc_hd__or3b_4)
-     6    0.05                           mprj/u_wb_host/_1517_ (net)
-                  0.13    0.00    1.57 v mprj/u_wb_host/_3299_/B (sky130_fd_sc_hd__nor2_8)
-                  1.26    0.99    2.57 ^ mprj/u_wb_host/_3299_/Y (sky130_fd_sc_hd__nor2_8)
-   122    0.37                           mprj/u_wb_host/_1518_ (net)
-                  1.26    0.04    2.61 ^ mprj/u_wb_host/_3357_/A (sky130_fd_sc_hd__nor2_2)
-                  0.23    0.21    2.81 v mprj/u_wb_host/_3357_/Y (sky130_fd_sc_hd__nor2_2)
-     4    0.02                           mprj/u_wb_host/_1536_ (net)
-                  0.23    0.00    2.81 v mprj/u_wb_host/_5054_/A2 (sky130_fd_sc_hd__a21oi_4)
-                  0.54    0.56    3.37 ^ mprj/u_wb_host/_5054_/Y (sky130_fd_sc_hd__a21oi_4)
-    28    0.08                           mprj/u_wb_host/_2466_ (net)
-                  0.54    0.00    3.37 ^ mprj/u_wb_host/_5068_/B (sky130_fd_sc_hd__and2_1)
-                  0.23    0.35    3.72 ^ mprj/u_wb_host/_5068_/X (sky130_fd_sc_hd__and2_1)
-     5    0.02                           mprj/u_wb_host/_2472_ (net)
-                  0.23    0.00    3.72 ^ mprj/u_wb_host/_5071_/C1 (sky130_fd_sc_hd__a221o_1)
-                  0.05    0.15    3.87 ^ mprj/u_wb_host/_5071_/X (sky130_fd_sc_hd__a221o_1)
-     1    0.00                           mprj/u_wb_host/_0896_ (net)
-                  0.05    0.00    3.87 ^ mprj/u_wb_host/_6382_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  3.87   data arrival time
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.00    0.62 ^ mprj/u_wb_host/clkbuf_leaf_11_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.27    0.88 ^ mprj/u_wb_host/clkbuf_leaf_11_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_11_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.88 ^ mprj/u_wb_host/_6363_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.12    0.47    1.35 v mprj/u_wb_host/_6363_/Q (sky130_fd_sc_hd__dfrtp_1)
+     3    0.02                           mprj/u_wb_host/u_uart2wb.u_msg.State[1] (net)
+                  0.12    0.00    1.35 v mprj/u_wb_host/fanout556/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.13    0.27    1.62 v mprj/u_wb_host/fanout556/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.07                           mprj/u_wb_host/net556 (net)
+                  0.13    0.00    1.63 v mprj/u_wb_host/_3289_/A (sky130_fd_sc_hd__or3b_2)
+                  0.12    0.58    2.21 v mprj/u_wb_host/_3289_/X (sky130_fd_sc_hd__or3b_2)
+     4    0.02                           mprj/u_wb_host/_1511_ (net)
+                  0.12    0.00    2.21 v mprj/u_wb_host/_3290_/B (sky130_fd_sc_hd__nor2_1)
+                  0.08    0.12    2.33 ^ mprj/u_wb_host/_3290_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.00                           mprj/u_wb_host/_1512_ (net)
+                  0.08    0.00    2.33 ^ mprj/u_wb_host/fanout483/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    2.60 ^ mprj/u_wb_host/fanout483/X (sky130_fd_sc_hd__buf_2)
+    12    0.05                           mprj/u_wb_host/net483 (net)
+                  0.24    0.00    2.60 ^ mprj/u_wb_host/fanout482/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.20    0.33    2.92 ^ mprj/u_wb_host/fanout482/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.07                           mprj/u_wb_host/net482 (net)
+                  0.20    0.00    2.92 ^ mprj/u_wb_host/fanout481/A (sky130_fd_sc_hd__buf_2)
+                  0.20    0.28    3.20 ^ mprj/u_wb_host/fanout481/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_wb_host/net481 (net)
+                  0.20    0.00    3.20 ^ mprj/u_wb_host/_3356_/A1 (sky130_fd_sc_hd__a211o_2)
+                  0.12    0.24    3.44 ^ mprj/u_wb_host/_3356_/X (sky130_fd_sc_hd__a211o_2)
+     6    0.02                           mprj/u_wb_host/_1538_ (net)
+                  0.12    0.00    3.44 ^ mprj/u_wb_host/_5002_/B (sky130_fd_sc_hd__nor2_2)
+                  0.08    0.10    3.54 v mprj/u_wb_host/_5002_/Y (sky130_fd_sc_hd__nor2_2)
+     6    0.03                           mprj/u_wb_host/_2427_ (net)
+                  0.08    0.00    3.54 v mprj/u_wb_host/_5047_/A (sky130_fd_sc_hd__or2_1)
+                  0.10    0.31    3.85 v mprj/u_wb_host/_5047_/X (sky130_fd_sc_hd__or2_1)
+     4    0.02                           mprj/u_wb_host/_2462_ (net)
+                  0.10    0.00    3.85 v mprj/u_wb_host/fanout370/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.12    0.25    4.10 v mprj/u_wb_host/fanout370/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.06                           mprj/u_wb_host/net370 (net)
+                  0.12    0.00    4.10 v mprj/u_wb_host/_5063_/B (sky130_fd_sc_hd__and2_1)
+                  0.10    0.26    4.36 v mprj/u_wb_host/_5063_/X (sky130_fd_sc_hd__and2_1)
+     5    0.02                           mprj/u_wb_host/_2470_ (net)
+                  0.10    0.00    4.36 v mprj/u_wb_host/_5072_/B (sky130_fd_sc_hd__or2_1)
+                  0.10    0.29    4.66 v mprj/u_wb_host/_5072_/X (sky130_fd_sc_hd__or2_1)
+     4    0.01                           mprj/u_wb_host/_2471_ (net)
+                  0.10    0.00    4.66 v mprj/u_wb_host/_5073_/C1 (sky130_fd_sc_hd__a221o_1)
+                  0.05    0.28    4.94 v mprj/u_wb_host/_5073_/X (sky130_fd_sc_hd__a221o_1)
+     1    0.00                           mprj/u_wb_host/_0902_ (net)
+                  0.05    0.00    4.94 v mprj/u_wb_host/_6382_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  4.94   data arrival time
 
                         100.00  100.00   clock uart_clk (rise edge)
                           0.00  100.00   clock source latency
-                  2.27    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.16  100.16 ^ mprj/u_wb_host/_6382_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                         -0.25   99.91   clock uncertainty
-                          0.00   99.91   clock reconvergence pessimism
-                          0.06   99.97   library setup time
-                                 99.97   data required time
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14  100.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00  100.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12  100.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00  100.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31  100.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01  100.58 ^ mprj/u_wb_host/clkbuf_leaf_7_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.09    0.24  100.82 ^ mprj/u_wb_host/clkbuf_leaf_7_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.07                           mprj/u_wb_host/clknet_leaf_7_u_uart2wb.baud_clk_16x (net)
+                  0.09    0.00  100.82 ^ mprj/u_wb_host/_6382_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                         -0.25  100.57   clock uncertainty
+                          0.04  100.61   clock reconvergence pessimism
+                         -0.10  100.51   library setup time
+                                100.51   data required time
 -----------------------------------------------------------------------------
-                                 99.97   data required time
-                                 -3.87   data arrival time
+                                100.51   data required time
+                                 -4.94   data arrival time
 -----------------------------------------------------------------------------
-                                 96.10   slack (MET)
+                                 95.58   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_6369_
+Startpoint: mprj/u_wb_host/_6363_
+            (rising edge-triggered flip-flop clocked by uart_clk)
+Endpoint: mprj/u_wb_host/_6387_
+          (rising edge-triggered flip-flop clocked by uart_clk)
+Path Group: uart_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.00    0.62 ^ mprj/u_wb_host/clkbuf_leaf_11_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.27    0.88 ^ mprj/u_wb_host/clkbuf_leaf_11_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_11_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.88 ^ mprj/u_wb_host/_6363_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.12    0.47    1.35 v mprj/u_wb_host/_6363_/Q (sky130_fd_sc_hd__dfrtp_1)
+     3    0.02                           mprj/u_wb_host/u_uart2wb.u_msg.State[1] (net)
+                  0.12    0.00    1.35 v mprj/u_wb_host/fanout556/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.13    0.27    1.62 v mprj/u_wb_host/fanout556/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.07                           mprj/u_wb_host/net556 (net)
+                  0.13    0.00    1.63 v mprj/u_wb_host/_3289_/A (sky130_fd_sc_hd__or3b_2)
+                  0.12    0.58    2.21 v mprj/u_wb_host/_3289_/X (sky130_fd_sc_hd__or3b_2)
+     4    0.02                           mprj/u_wb_host/_1511_ (net)
+                  0.12    0.00    2.21 v mprj/u_wb_host/_3290_/B (sky130_fd_sc_hd__nor2_1)
+                  0.08    0.12    2.33 ^ mprj/u_wb_host/_3290_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.00                           mprj/u_wb_host/_1512_ (net)
+                  0.08    0.00    2.33 ^ mprj/u_wb_host/fanout483/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    2.60 ^ mprj/u_wb_host/fanout483/X (sky130_fd_sc_hd__buf_2)
+    12    0.05                           mprj/u_wb_host/net483 (net)
+                  0.24    0.00    2.60 ^ mprj/u_wb_host/fanout482/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.20    0.33    2.92 ^ mprj/u_wb_host/fanout482/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.07                           mprj/u_wb_host/net482 (net)
+                  0.20    0.00    2.92 ^ mprj/u_wb_host/fanout481/A (sky130_fd_sc_hd__buf_2)
+                  0.20    0.28    3.20 ^ mprj/u_wb_host/fanout481/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_wb_host/net481 (net)
+                  0.20    0.00    3.20 ^ mprj/u_wb_host/_3356_/A1 (sky130_fd_sc_hd__a211o_2)
+                  0.12    0.24    3.44 ^ mprj/u_wb_host/_3356_/X (sky130_fd_sc_hd__a211o_2)
+     6    0.02                           mprj/u_wb_host/_1538_ (net)
+                  0.12    0.00    3.44 ^ mprj/u_wb_host/_5002_/B (sky130_fd_sc_hd__nor2_2)
+                  0.08    0.10    3.54 v mprj/u_wb_host/_5002_/Y (sky130_fd_sc_hd__nor2_2)
+     6    0.03                           mprj/u_wb_host/_2427_ (net)
+                  0.08    0.00    3.54 v mprj/u_wb_host/_5047_/A (sky130_fd_sc_hd__or2_1)
+                  0.10    0.31    3.85 v mprj/u_wb_host/_5047_/X (sky130_fd_sc_hd__or2_1)
+     4    0.02                           mprj/u_wb_host/_2462_ (net)
+                  0.10    0.00    3.85 v mprj/u_wb_host/fanout370/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.12    0.25    4.10 v mprj/u_wb_host/fanout370/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.06                           mprj/u_wb_host/net370 (net)
+                  0.12    0.00    4.10 v mprj/u_wb_host/_5063_/B (sky130_fd_sc_hd__and2_1)
+                  0.10    0.26    4.36 v mprj/u_wb_host/_5063_/X (sky130_fd_sc_hd__and2_1)
+     5    0.02                           mprj/u_wb_host/_2470_ (net)
+                  0.10    0.00    4.36 v mprj/u_wb_host/_5072_/B (sky130_fd_sc_hd__or2_1)
+                  0.10    0.29    4.66 v mprj/u_wb_host/_5072_/X (sky130_fd_sc_hd__or2_1)
+     4    0.01                           mprj/u_wb_host/_2471_ (net)
+                  0.10    0.00    4.66 v mprj/u_wb_host/_5082_/C1 (sky130_fd_sc_hd__a221o_1)
+                  0.05    0.27    4.93 v mprj/u_wb_host/_5082_/X (sky130_fd_sc_hd__a221o_1)
+     1    0.00                           mprj/u_wb_host/_0907_ (net)
+                  0.05    0.00    4.93 v mprj/u_wb_host/_6387_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  4.93   data arrival time
+
+                        100.00  100.00   clock uart_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14  100.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00  100.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12  100.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00  100.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31  100.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01  100.58 ^ mprj/u_wb_host/clkbuf_leaf_7_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.09    0.24  100.82 ^ mprj/u_wb_host/clkbuf_leaf_7_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.07                           mprj/u_wb_host/clknet_leaf_7_u_uart2wb.baud_clk_16x (net)
+                  0.09    0.00  100.82 ^ mprj/u_wb_host/_6387_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                         -0.25  100.57   clock uncertainty
+                          0.04  100.61   clock reconvergence pessimism
+                         -0.10  100.51   library setup time
+                                100.51   data required time
+-----------------------------------------------------------------------------
+                                100.51   data required time
+                                 -4.93   data arrival time
+-----------------------------------------------------------------------------
+                                 95.58   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6363_
+            (rising edge-triggered flip-flop clocked by uart_clk)
+Endpoint: mprj/u_wb_host/_6390_
+          (rising edge-triggered flip-flop clocked by uart_clk)
+Path Group: uart_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.00    0.62 ^ mprj/u_wb_host/clkbuf_leaf_11_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.27    0.88 ^ mprj/u_wb_host/clkbuf_leaf_11_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_11_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.88 ^ mprj/u_wb_host/_6363_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.12    0.47    1.35 v mprj/u_wb_host/_6363_/Q (sky130_fd_sc_hd__dfrtp_1)
+     3    0.02                           mprj/u_wb_host/u_uart2wb.u_msg.State[1] (net)
+                  0.12    0.00    1.35 v mprj/u_wb_host/fanout556/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.13    0.27    1.62 v mprj/u_wb_host/fanout556/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.07                           mprj/u_wb_host/net556 (net)
+                  0.13    0.00    1.63 v mprj/u_wb_host/_3289_/A (sky130_fd_sc_hd__or3b_2)
+                  0.12    0.58    2.21 v mprj/u_wb_host/_3289_/X (sky130_fd_sc_hd__or3b_2)
+     4    0.02                           mprj/u_wb_host/_1511_ (net)
+                  0.12    0.00    2.21 v mprj/u_wb_host/_3290_/B (sky130_fd_sc_hd__nor2_1)
+                  0.08    0.12    2.33 ^ mprj/u_wb_host/_3290_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.00                           mprj/u_wb_host/_1512_ (net)
+                  0.08    0.00    2.33 ^ mprj/u_wb_host/fanout483/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    2.60 ^ mprj/u_wb_host/fanout483/X (sky130_fd_sc_hd__buf_2)
+    12    0.05                           mprj/u_wb_host/net483 (net)
+                  0.24    0.00    2.60 ^ mprj/u_wb_host/fanout482/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.20    0.33    2.92 ^ mprj/u_wb_host/fanout482/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.07                           mprj/u_wb_host/net482 (net)
+                  0.20    0.00    2.92 ^ mprj/u_wb_host/fanout481/A (sky130_fd_sc_hd__buf_2)
+                  0.20    0.28    3.20 ^ mprj/u_wb_host/fanout481/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_wb_host/net481 (net)
+                  0.20    0.00    3.20 ^ mprj/u_wb_host/_3356_/A1 (sky130_fd_sc_hd__a211o_2)
+                  0.12    0.24    3.44 ^ mprj/u_wb_host/_3356_/X (sky130_fd_sc_hd__a211o_2)
+     6    0.02                           mprj/u_wb_host/_1538_ (net)
+                  0.12    0.00    3.44 ^ mprj/u_wb_host/_5002_/B (sky130_fd_sc_hd__nor2_2)
+                  0.08    0.10    3.54 v mprj/u_wb_host/_5002_/Y (sky130_fd_sc_hd__nor2_2)
+     6    0.03                           mprj/u_wb_host/_2427_ (net)
+                  0.08    0.00    3.54 v mprj/u_wb_host/_5047_/A (sky130_fd_sc_hd__or2_1)
+                  0.10    0.31    3.85 v mprj/u_wb_host/_5047_/X (sky130_fd_sc_hd__or2_1)
+     4    0.02                           mprj/u_wb_host/_2462_ (net)
+                  0.10    0.00    3.85 v mprj/u_wb_host/fanout370/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.12    0.25    4.10 v mprj/u_wb_host/fanout370/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.06                           mprj/u_wb_host/net370 (net)
+                  0.12    0.00    4.10 v mprj/u_wb_host/_5063_/B (sky130_fd_sc_hd__and2_1)
+                  0.10    0.26    4.36 v mprj/u_wb_host/_5063_/X (sky130_fd_sc_hd__and2_1)
+     5    0.02                           mprj/u_wb_host/_2470_ (net)
+                  0.10    0.00    4.36 v mprj/u_wb_host/_5072_/B (sky130_fd_sc_hd__or2_1)
+                  0.10    0.29    4.66 v mprj/u_wb_host/_5072_/X (sky130_fd_sc_hd__or2_1)
+     4    0.01                           mprj/u_wb_host/_2471_ (net)
+                  0.10    0.00    4.66 v mprj/u_wb_host/_5085_/C1 (sky130_fd_sc_hd__a221o_1)
+                  0.05    0.27    4.93 v mprj/u_wb_host/_5085_/X (sky130_fd_sc_hd__a221o_1)
+     1    0.00                           mprj/u_wb_host/_0910_ (net)
+                  0.05    0.00    4.93 v mprj/u_wb_host/_6390_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  4.93   data arrival time
+
+                        100.00  100.00   clock uart_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14  100.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00  100.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12  100.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00  100.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31  100.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01  100.58 ^ mprj/u_wb_host/clkbuf_leaf_7_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.09    0.24  100.82 ^ mprj/u_wb_host/clkbuf_leaf_7_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.07                           mprj/u_wb_host/clknet_leaf_7_u_uart2wb.baud_clk_16x (net)
+                  0.09    0.00  100.82 ^ mprj/u_wb_host/_6390_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                         -0.25  100.57   clock uncertainty
+                          0.04  100.61   clock reconvergence pessimism
+                         -0.10  100.51   library setup time
+                                100.51   data required time
+-----------------------------------------------------------------------------
+                                100.51   data required time
+                                 -4.93   data arrival time
+-----------------------------------------------------------------------------
+                                 95.58   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6362_
+            (rising edge-triggered flip-flop clocked by uart_clk)
+Endpoint: mprj/u_wb_host/_5609_
+          (rising edge-triggered flip-flop clocked by uart_clk)
+Path Group: uart_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.62 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    0.89 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_12_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.89 ^ mprj/u_wb_host/_6362_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.10    0.45    1.34 v mprj/u_wb_host/_6362_/Q (sky130_fd_sc_hd__dfrtp_1)
+     3    0.02                           mprj/u_wb_host/u_uart2wb.u_msg.State[0] (net)
+                  0.10    0.00    1.34 v mprj/u_wb_host/fanout557/A (sky130_fd_sc_hd__buf_4)
+                  0.10    0.23    1.58 v mprj/u_wb_host/fanout557/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_wb_host/net557 (net)
+                  0.10    0.00    1.58 v mprj/u_wb_host/_2678_/A (sky130_fd_sc_hd__inv_2)
+                  0.12    0.14    1.72 ^ mprj/u_wb_host/_2678_/Y (sky130_fd_sc_hd__inv_2)
+     4    0.03                           mprj/u_wb_host/_1099_ (net)
+                  0.12    0.00    1.72 ^ mprj/u_wb_host/fanout534/A (sky130_fd_sc_hd__buf_4)
+                  0.23    0.28    2.00 ^ mprj/u_wb_host/fanout534/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net534 (net)
+                  0.23    0.00    2.00 ^ mprj/u_wb_host/_3290_/A (sky130_fd_sc_hd__nor2_1)
+                  0.06    0.08    2.08 v mprj/u_wb_host/_3290_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.00                           mprj/u_wb_host/_1512_ (net)
+                  0.06    0.00    2.08 v mprj/u_wb_host/fanout483/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.21    2.29 v mprj/u_wb_host/fanout483/X (sky130_fd_sc_hd__buf_2)
+    12    0.05                           mprj/u_wb_host/net483 (net)
+                  0.11    0.00    2.29 v mprj/u_wb_host/fanout482/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.13    0.26    2.56 v mprj/u_wb_host/fanout482/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.06                           mprj/u_wb_host/net482 (net)
+                  0.13    0.00    2.56 v mprj/u_wb_host/fanout481/A (sky130_fd_sc_hd__buf_2)
+                  0.10    0.23    2.79 v mprj/u_wb_host/fanout481/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_wb_host/net481 (net)
+                  0.10    0.00    2.79 v mprj/u_wb_host/_3356_/A1 (sky130_fd_sc_hd__a211o_2)
+                  0.09    0.38    3.17 v mprj/u_wb_host/_3356_/X (sky130_fd_sc_hd__a211o_2)
+     6    0.02                           mprj/u_wb_host/_1538_ (net)
+                  0.09    0.00    3.17 v mprj/u_wb_host/_3357_/B (sky130_fd_sc_hd__nor2_1)
+                  0.43    0.38    3.55 ^ mprj/u_wb_host/_3357_/Y (sky130_fd_sc_hd__nor2_1)
+     4    0.02                           mprj/u_wb_host/_1539_ (net)
+                  0.43    0.00    3.55 ^ mprj/u_wb_host/fanout428/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.25    0.36    3.91 ^ mprj/u_wb_host/fanout428/X (sky130_fd_sc_hd__clkbuf_2)
+    14    0.05                           mprj/u_wb_host/net428 (net)
+                  0.25    0.00    3.91 ^ mprj/u_wb_host/fanout427/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.20    0.33    4.24 ^ mprj/u_wb_host/fanout427/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.07                           mprj/u_wb_host/net427 (net)
+                  0.20    0.00    4.25 ^ mprj/u_wb_host/fanout426/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.15    0.28    4.53 ^ mprj/u_wb_host/fanout426/X (sky130_fd_sc_hd__clkbuf_4)
+    10    0.05                           mprj/u_wb_host/net426 (net)
+                  0.15    0.00    4.53 ^ mprj/u_wb_host/_3458_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.35    4.87 v mprj/u_wb_host/_3458_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0147_ (net)
+                  0.05    0.00    4.87 v mprj/u_wb_host/_5609_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  4.87   data arrival time
+
+                        100.00  100.00   clock uart_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14  100.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00  100.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12  100.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00  100.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31  100.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01  100.58 ^ mprj/u_wb_host/clkbuf_leaf_6_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.25  100.82 ^ mprj/u_wb_host/clkbuf_leaf_6_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_6_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00  100.83 ^ mprj/u_wb_host/_5609_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                         -0.25  100.58   clock uncertainty
+                          0.04  100.62   clock reconvergence pessimism
+                         -0.10  100.52   library setup time
+                                100.52   data required time
+-----------------------------------------------------------------------------
+                                100.52   data required time
+                                 -4.87   data arrival time
+-----------------------------------------------------------------------------
+                                 95.64   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6362_
+            (rising edge-triggered flip-flop clocked by uart_clk)
+Endpoint: mprj/u_wb_host/_5602_
+          (rising edge-triggered flip-flop clocked by uart_clk)
+Path Group: uart_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.62 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    0.89 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_12_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.89 ^ mprj/u_wb_host/_6362_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.10    0.45    1.34 v mprj/u_wb_host/_6362_/Q (sky130_fd_sc_hd__dfrtp_1)
+     3    0.02                           mprj/u_wb_host/u_uart2wb.u_msg.State[0] (net)
+                  0.10    0.00    1.34 v mprj/u_wb_host/fanout557/A (sky130_fd_sc_hd__buf_4)
+                  0.10    0.23    1.58 v mprj/u_wb_host/fanout557/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_wb_host/net557 (net)
+                  0.10    0.00    1.58 v mprj/u_wb_host/_2678_/A (sky130_fd_sc_hd__inv_2)
+                  0.12    0.14    1.72 ^ mprj/u_wb_host/_2678_/Y (sky130_fd_sc_hd__inv_2)
+     4    0.03                           mprj/u_wb_host/_1099_ (net)
+                  0.12    0.00    1.72 ^ mprj/u_wb_host/fanout534/A (sky130_fd_sc_hd__buf_4)
+                  0.23    0.28    2.00 ^ mprj/u_wb_host/fanout534/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net534 (net)
+                  0.23    0.00    2.00 ^ mprj/u_wb_host/_3290_/A (sky130_fd_sc_hd__nor2_1)
+                  0.06    0.08    2.08 v mprj/u_wb_host/_3290_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.00                           mprj/u_wb_host/_1512_ (net)
+                  0.06    0.00    2.08 v mprj/u_wb_host/fanout483/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.21    2.29 v mprj/u_wb_host/fanout483/X (sky130_fd_sc_hd__buf_2)
+    12    0.05                           mprj/u_wb_host/net483 (net)
+                  0.11    0.00    2.29 v mprj/u_wb_host/fanout482/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.13    0.26    2.56 v mprj/u_wb_host/fanout482/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.06                           mprj/u_wb_host/net482 (net)
+                  0.13    0.00    2.56 v mprj/u_wb_host/fanout481/A (sky130_fd_sc_hd__buf_2)
+                  0.10    0.23    2.79 v mprj/u_wb_host/fanout481/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_wb_host/net481 (net)
+                  0.10    0.00    2.79 v mprj/u_wb_host/_3356_/A1 (sky130_fd_sc_hd__a211o_2)
+                  0.09    0.38    3.17 v mprj/u_wb_host/_3356_/X (sky130_fd_sc_hd__a211o_2)
+     6    0.02                           mprj/u_wb_host/_1538_ (net)
+                  0.09    0.00    3.17 v mprj/u_wb_host/_3357_/B (sky130_fd_sc_hd__nor2_1)
+                  0.43    0.38    3.55 ^ mprj/u_wb_host/_3357_/Y (sky130_fd_sc_hd__nor2_1)
+     4    0.02                           mprj/u_wb_host/_1539_ (net)
+                  0.43    0.00    3.55 ^ mprj/u_wb_host/fanout428/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.25    0.36    3.91 ^ mprj/u_wb_host/fanout428/X (sky130_fd_sc_hd__clkbuf_2)
+    14    0.05                           mprj/u_wb_host/net428 (net)
+                  0.25    0.00    3.91 ^ mprj/u_wb_host/fanout427/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.20    0.33    4.24 ^ mprj/u_wb_host/fanout427/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.07                           mprj/u_wb_host/net427 (net)
+                  0.20    0.00    4.25 ^ mprj/u_wb_host/fanout426/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.15    0.28    4.53 ^ mprj/u_wb_host/fanout426/X (sky130_fd_sc_hd__clkbuf_4)
+    10    0.05                           mprj/u_wb_host/net426 (net)
+                  0.15    0.00    4.53 ^ mprj/u_wb_host/_3434_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.34    4.87 v mprj/u_wb_host/_3434_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0140_ (net)
+                  0.05    0.00    4.87 v mprj/u_wb_host/_5602_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  4.87   data arrival time
+
+                        100.00  100.00   clock uart_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14  100.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00  100.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12  100.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00  100.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31  100.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01  100.58 ^ mprj/u_wb_host/clkbuf_leaf_6_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.25  100.82 ^ mprj/u_wb_host/clkbuf_leaf_6_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_6_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00  100.83 ^ mprj/u_wb_host/_5602_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                         -0.25  100.58   clock uncertainty
+                          0.04  100.62   clock reconvergence pessimism
+                         -0.10  100.52   library setup time
+                                100.52   data required time
+-----------------------------------------------------------------------------
+                                100.52   data required time
+                                 -4.87   data arrival time
+-----------------------------------------------------------------------------
+                                 95.65   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6362_
+            (rising edge-triggered flip-flop clocked by uart_clk)
+Endpoint: mprj/u_wb_host/_5616_
+          (rising edge-triggered flip-flop clocked by uart_clk)
+Path Group: uart_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.62 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    0.89 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_12_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.89 ^ mprj/u_wb_host/_6362_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.10    0.45    1.34 v mprj/u_wb_host/_6362_/Q (sky130_fd_sc_hd__dfrtp_1)
+     3    0.02                           mprj/u_wb_host/u_uart2wb.u_msg.State[0] (net)
+                  0.10    0.00    1.34 v mprj/u_wb_host/fanout557/A (sky130_fd_sc_hd__buf_4)
+                  0.10    0.23    1.58 v mprj/u_wb_host/fanout557/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_wb_host/net557 (net)
+                  0.10    0.00    1.58 v mprj/u_wb_host/_2678_/A (sky130_fd_sc_hd__inv_2)
+                  0.12    0.14    1.72 ^ mprj/u_wb_host/_2678_/Y (sky130_fd_sc_hd__inv_2)
+     4    0.03                           mprj/u_wb_host/_1099_ (net)
+                  0.12    0.00    1.72 ^ mprj/u_wb_host/fanout534/A (sky130_fd_sc_hd__buf_4)
+                  0.23    0.28    2.00 ^ mprj/u_wb_host/fanout534/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net534 (net)
+                  0.23    0.00    2.00 ^ mprj/u_wb_host/_3290_/A (sky130_fd_sc_hd__nor2_1)
+                  0.06    0.08    2.08 v mprj/u_wb_host/_3290_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.00                           mprj/u_wb_host/_1512_ (net)
+                  0.06    0.00    2.08 v mprj/u_wb_host/fanout483/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.21    2.29 v mprj/u_wb_host/fanout483/X (sky130_fd_sc_hd__buf_2)
+    12    0.05                           mprj/u_wb_host/net483 (net)
+                  0.11    0.00    2.29 v mprj/u_wb_host/fanout482/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.13    0.26    2.56 v mprj/u_wb_host/fanout482/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.06                           mprj/u_wb_host/net482 (net)
+                  0.13    0.00    2.56 v mprj/u_wb_host/fanout481/A (sky130_fd_sc_hd__buf_2)
+                  0.10    0.23    2.79 v mprj/u_wb_host/fanout481/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_wb_host/net481 (net)
+                  0.10    0.00    2.79 v mprj/u_wb_host/_3356_/A1 (sky130_fd_sc_hd__a211o_2)
+                  0.09    0.38    3.17 v mprj/u_wb_host/_3356_/X (sky130_fd_sc_hd__a211o_2)
+     6    0.02                           mprj/u_wb_host/_1538_ (net)
+                  0.09    0.00    3.17 v mprj/u_wb_host/_3357_/B (sky130_fd_sc_hd__nor2_1)
+                  0.43    0.38    3.55 ^ mprj/u_wb_host/_3357_/Y (sky130_fd_sc_hd__nor2_1)
+     4    0.02                           mprj/u_wb_host/_1539_ (net)
+                  0.43    0.00    3.55 ^ mprj/u_wb_host/fanout428/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.25    0.36    3.91 ^ mprj/u_wb_host/fanout428/X (sky130_fd_sc_hd__clkbuf_2)
+    14    0.05                           mprj/u_wb_host/net428 (net)
+                  0.25    0.00    3.91 ^ mprj/u_wb_host/fanout427/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.20    0.33    4.24 ^ mprj/u_wb_host/fanout427/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.07                           mprj/u_wb_host/net427 (net)
+                  0.20    0.00    4.25 ^ mprj/u_wb_host/fanout426/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.15    0.28    4.53 ^ mprj/u_wb_host/fanout426/X (sky130_fd_sc_hd__clkbuf_4)
+    10    0.05                           mprj/u_wb_host/net426 (net)
+                  0.15    0.00    4.53 ^ mprj/u_wb_host/_3482_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.34    4.87 v mprj/u_wb_host/_3482_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0154_ (net)
+                  0.05    0.00    4.87 v mprj/u_wb_host/_5616_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  4.87   data arrival time
+
+                        100.00  100.00   clock uart_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14  100.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00  100.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12  100.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00  100.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31  100.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.00  100.57 ^ mprj/u_wb_host/clkbuf_leaf_5_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.25  100.82 ^ mprj/u_wb_host/clkbuf_leaf_5_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_5_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00  100.82 ^ mprj/u_wb_host/_5616_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                         -0.25  100.57   clock uncertainty
+                          0.04  100.62   clock reconvergence pessimism
+                         -0.10  100.52   library setup time
+                                100.52   data required time
+-----------------------------------------------------------------------------
+                                100.52   data required time
+                                 -4.87   data arrival time
+-----------------------------------------------------------------------------
+                                 95.65   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6444_
+            (rising edge-triggered flip-flop clocked by uart_clk)
+Endpoint: mprj/u_wb_host/_6301_
+          (rising edge-triggered flip-flop clocked by uart_clk)
+Path Group: uart_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.00    0.62 ^ mprj/u_wb_host/clkbuf_leaf_15_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    0.88 ^ mprj/u_wb_host/clkbuf_leaf_15_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_15_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.88 ^ mprj/u_wb_host/_6444_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.11    0.47    1.35 v mprj/u_wb_host/_6444_/Q (sky130_fd_sc_hd__dfrtp_1)
+     5    0.02                           mprj/u_wb_host/u_uart2wb.rx_data[7] (net)
+                  0.11    0.00    1.35 v mprj/u_wb_host/_4284_/B (sky130_fd_sc_hd__or3_1)
+                  0.11    0.43    1.79 v mprj/u_wb_host/_4284_/X (sky130_fd_sc_hd__or3_1)
+     4    0.01                           mprj/u_wb_host/_2174_ (net)
+                  0.11    0.00    1.79 v mprj/u_wb_host/_4287_/B (sky130_fd_sc_hd__or4_2)
+                  0.20    0.85    2.63 v mprj/u_wb_host/_4287_/X (sky130_fd_sc_hd__or4_2)
+    10    0.04                           mprj/u_wb_host/_2177_ (net)
+                  0.20    0.00    2.63 v mprj/u_wb_host/fanout453/A (sky130_fd_sc_hd__buf_2)
+                  0.14    0.30    2.93 v mprj/u_wb_host/fanout453/X (sky130_fd_sc_hd__buf_2)
+    20    0.06                           mprj/u_wb_host/net453 (net)
+                  0.14    0.00    2.94 v mprj/u_wb_host/_4875_/A1 (sky130_fd_sc_hd__a2111o_1)
+                  0.10    0.46    3.40 v mprj/u_wb_host/_4875_/X (sky130_fd_sc_hd__a2111o_1)
+     2    0.01                           mprj/u_wb_host/_2373_ (net)
+                  0.10    0.00    3.40 v mprj/u_wb_host/_4895_/B (sky130_fd_sc_hd__nand3_1)
+                  0.08    0.11    3.51 ^ mprj/u_wb_host/_4895_/Y (sky130_fd_sc_hd__nand3_1)
+     1    0.00                           mprj/u_wb_host/_2392_ (net)
+                  0.08    0.00    3.51 ^ mprj/u_wb_host/_4896_/B (sky130_fd_sc_hd__or3b_4)
+                  0.17    0.23    3.74 ^ mprj/u_wb_host/_4896_/X (sky130_fd_sc_hd__or3b_4)
+     2    0.05                           mprj/u_wb_host/_2393_ (net)
+                  0.17    0.01    3.75 ^ mprj/u_wb_host/fanout335/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.29    4.04 ^ mprj/u_wb_host/fanout335/X (sky130_fd_sc_hd__buf_2)
+    12    0.05                           mprj/u_wb_host/net335 (net)
+                  0.22    0.00    4.04 ^ mprj/u_wb_host/_4897_/B (sky130_fd_sc_hd__nor2_1)
+                  0.13    0.18    4.22 v mprj/u_wb_host/_4897_/Y (sky130_fd_sc_hd__nor2_1)
+     4    0.03                           mprj/u_wb_host/_2394_ (net)
+                  0.13    0.00    4.22 v mprj/u_wb_host/fanout332/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.13    0.28    4.49 v mprj/u_wb_host/fanout332/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.07                           mprj/u_wb_host/net332 (net)
+                  0.13    0.00    4.50 v mprj/u_wb_host/_4917_/B1 (sky130_fd_sc_hd__a22o_1)
+                  0.04    0.21    4.71 v mprj/u_wb_host/_4917_/X (sky130_fd_sc_hd__a22o_1)
+     1    0.00                           mprj/u_wb_host/_0821_ (net)
+                  0.04    0.00    4.71 v mprj/u_wb_host/_6301_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  4.71   data arrival time
+
+                        100.00  100.00   clock uart_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14  100.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00  100.14 ^ mprj/u_wb_host/clkbuf_1_0_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12  100.26 ^ mprj/u_wb_host/clkbuf_1_0_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00  100.26 ^ mprj/u_wb_host/clkbuf_1_0_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.25    0.26  100.52 ^ mprj/u_wb_host/clkbuf_1_0_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.14                           mprj/u_wb_host/clknet_1_0_1_u_uart2wb.baud_clk_16x (net)
+                  0.25    0.01  100.53 ^ mprj/u_wb_host/clkbuf_leaf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.23  100.76 ^ mprj/u_wb_host/clkbuf_leaf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_0_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00  100.76 ^ mprj/u_wb_host/_6301_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25  100.51   clock uncertainty
+                          0.01  100.52   clock reconvergence pessimism
+                         -0.10  100.42   library setup time
+                                100.42   data required time
+-----------------------------------------------------------------------------
+                                100.42   data required time
+                                 -4.71   data arrival time
+-----------------------------------------------------------------------------
+                                 95.71   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6444_
+            (rising edge-triggered flip-flop clocked by uart_clk)
+Endpoint: mprj/u_wb_host/_6297_
+          (rising edge-triggered flip-flop clocked by uart_clk)
+Path Group: uart_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.00    0.62 ^ mprj/u_wb_host/clkbuf_leaf_15_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    0.88 ^ mprj/u_wb_host/clkbuf_leaf_15_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_15_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.88 ^ mprj/u_wb_host/_6444_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.11    0.47    1.35 v mprj/u_wb_host/_6444_/Q (sky130_fd_sc_hd__dfrtp_1)
+     5    0.02                           mprj/u_wb_host/u_uart2wb.rx_data[7] (net)
+                  0.11    0.00    1.35 v mprj/u_wb_host/_4284_/B (sky130_fd_sc_hd__or3_1)
+                  0.11    0.43    1.79 v mprj/u_wb_host/_4284_/X (sky130_fd_sc_hd__or3_1)
+     4    0.01                           mprj/u_wb_host/_2174_ (net)
+                  0.11    0.00    1.79 v mprj/u_wb_host/_4287_/B (sky130_fd_sc_hd__or4_2)
+                  0.20    0.85    2.63 v mprj/u_wb_host/_4287_/X (sky130_fd_sc_hd__or4_2)
+    10    0.04                           mprj/u_wb_host/_2177_ (net)
+                  0.20    0.00    2.63 v mprj/u_wb_host/fanout453/A (sky130_fd_sc_hd__buf_2)
+                  0.14    0.30    2.93 v mprj/u_wb_host/fanout453/X (sky130_fd_sc_hd__buf_2)
+    20    0.06                           mprj/u_wb_host/net453 (net)
+                  0.14    0.00    2.94 v mprj/u_wb_host/_4875_/A1 (sky130_fd_sc_hd__a2111o_1)
+                  0.10    0.46    3.40 v mprj/u_wb_host/_4875_/X (sky130_fd_sc_hd__a2111o_1)
+     2    0.01                           mprj/u_wb_host/_2373_ (net)
+                  0.10    0.00    3.40 v mprj/u_wb_host/_4895_/B (sky130_fd_sc_hd__nand3_1)
+                  0.08    0.11    3.51 ^ mprj/u_wb_host/_4895_/Y (sky130_fd_sc_hd__nand3_1)
+     1    0.00                           mprj/u_wb_host/_2392_ (net)
+                  0.08    0.00    3.51 ^ mprj/u_wb_host/_4896_/B (sky130_fd_sc_hd__or3b_4)
+                  0.17    0.23    3.74 ^ mprj/u_wb_host/_4896_/X (sky130_fd_sc_hd__or3b_4)
+     2    0.05                           mprj/u_wb_host/_2393_ (net)
+                  0.17    0.01    3.75 ^ mprj/u_wb_host/fanout335/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.29    4.04 ^ mprj/u_wb_host/fanout335/X (sky130_fd_sc_hd__buf_2)
+    12    0.05                           mprj/u_wb_host/net335 (net)
+                  0.22    0.00    4.04 ^ mprj/u_wb_host/_4897_/B (sky130_fd_sc_hd__nor2_1)
+                  0.13    0.18    4.22 v mprj/u_wb_host/_4897_/Y (sky130_fd_sc_hd__nor2_1)
+     4    0.03                           mprj/u_wb_host/_2394_ (net)
+                  0.13    0.00    4.22 v mprj/u_wb_host/fanout332/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.13    0.28    4.49 v mprj/u_wb_host/fanout332/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.07                           mprj/u_wb_host/net332 (net)
+                  0.13    0.00    4.50 v mprj/u_wb_host/_4913_/B1 (sky130_fd_sc_hd__a22o_1)
+                  0.04    0.21    4.71 v mprj/u_wb_host/_4913_/X (sky130_fd_sc_hd__a22o_1)
+     1    0.00                           mprj/u_wb_host/_0817_ (net)
+                  0.04    0.00    4.71 v mprj/u_wb_host/_6297_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  4.71   data arrival time
+
+                        100.00  100.00   clock uart_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14  100.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00  100.14 ^ mprj/u_wb_host/clkbuf_1_0_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12  100.26 ^ mprj/u_wb_host/clkbuf_1_0_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00  100.26 ^ mprj/u_wb_host/clkbuf_1_0_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.25    0.26  100.52 ^ mprj/u_wb_host/clkbuf_1_0_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.14                           mprj/u_wb_host/clknet_1_0_1_u_uart2wb.baud_clk_16x (net)
+                  0.25    0.01  100.53 ^ mprj/u_wb_host/clkbuf_leaf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.23  100.76 ^ mprj/u_wb_host/clkbuf_leaf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_0_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00  100.76 ^ mprj/u_wb_host/_6297_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25  100.51   clock uncertainty
+                          0.01  100.52   clock reconvergence pessimism
+                         -0.10  100.42   library setup time
+                                100.42   data required time
+-----------------------------------------------------------------------------
+                                100.42   data required time
+                                 -4.71   data arrival time
+-----------------------------------------------------------------------------
+                                 95.71   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6444_
+            (rising edge-triggered flip-flop clocked by uart_clk)
+Endpoint: mprj/u_wb_host/_6296_
+          (rising edge-triggered flip-flop clocked by uart_clk)
+Path Group: uart_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.00    0.62 ^ mprj/u_wb_host/clkbuf_leaf_15_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    0.88 ^ mprj/u_wb_host/clkbuf_leaf_15_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_15_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.88 ^ mprj/u_wb_host/_6444_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.11    0.47    1.35 v mprj/u_wb_host/_6444_/Q (sky130_fd_sc_hd__dfrtp_1)
+     5    0.02                           mprj/u_wb_host/u_uart2wb.rx_data[7] (net)
+                  0.11    0.00    1.35 v mprj/u_wb_host/_4284_/B (sky130_fd_sc_hd__or3_1)
+                  0.11    0.43    1.79 v mprj/u_wb_host/_4284_/X (sky130_fd_sc_hd__or3_1)
+     4    0.01                           mprj/u_wb_host/_2174_ (net)
+                  0.11    0.00    1.79 v mprj/u_wb_host/_4287_/B (sky130_fd_sc_hd__or4_2)
+                  0.20    0.85    2.63 v mprj/u_wb_host/_4287_/X (sky130_fd_sc_hd__or4_2)
+    10    0.04                           mprj/u_wb_host/_2177_ (net)
+                  0.20    0.00    2.63 v mprj/u_wb_host/fanout453/A (sky130_fd_sc_hd__buf_2)
+                  0.14    0.30    2.93 v mprj/u_wb_host/fanout453/X (sky130_fd_sc_hd__buf_2)
+    20    0.06                           mprj/u_wb_host/net453 (net)
+                  0.14    0.00    2.94 v mprj/u_wb_host/_4875_/A1 (sky130_fd_sc_hd__a2111o_1)
+                  0.10    0.46    3.40 v mprj/u_wb_host/_4875_/X (sky130_fd_sc_hd__a2111o_1)
+     2    0.01                           mprj/u_wb_host/_2373_ (net)
+                  0.10    0.00    3.40 v mprj/u_wb_host/_4895_/B (sky130_fd_sc_hd__nand3_1)
+                  0.08    0.11    3.51 ^ mprj/u_wb_host/_4895_/Y (sky130_fd_sc_hd__nand3_1)
+     1    0.00                           mprj/u_wb_host/_2392_ (net)
+                  0.08    0.00    3.51 ^ mprj/u_wb_host/_4896_/B (sky130_fd_sc_hd__or3b_4)
+                  0.17    0.23    3.74 ^ mprj/u_wb_host/_4896_/X (sky130_fd_sc_hd__or3b_4)
+     2    0.05                           mprj/u_wb_host/_2393_ (net)
+                  0.17    0.01    3.75 ^ mprj/u_wb_host/fanout335/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.29    4.04 ^ mprj/u_wb_host/fanout335/X (sky130_fd_sc_hd__buf_2)
+    12    0.05                           mprj/u_wb_host/net335 (net)
+                  0.22    0.00    4.04 ^ mprj/u_wb_host/_4897_/B (sky130_fd_sc_hd__nor2_1)
+                  0.13    0.18    4.22 v mprj/u_wb_host/_4897_/Y (sky130_fd_sc_hd__nor2_1)
+     4    0.03                           mprj/u_wb_host/_2394_ (net)
+                  0.13    0.00    4.22 v mprj/u_wb_host/fanout332/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.13    0.28    4.49 v mprj/u_wb_host/fanout332/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.07                           mprj/u_wb_host/net332 (net)
+                  0.13    0.00    4.50 v mprj/u_wb_host/_4912_/B1 (sky130_fd_sc_hd__a22o_1)
+                  0.04    0.21    4.71 v mprj/u_wb_host/_4912_/X (sky130_fd_sc_hd__a22o_1)
+     1    0.00                           mprj/u_wb_host/_0816_ (net)
+                  0.04    0.00    4.71 v mprj/u_wb_host/_6296_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  4.71   data arrival time
+
+                        100.00  100.00   clock uart_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14  100.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00  100.14 ^ mprj/u_wb_host/clkbuf_1_0_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12  100.26 ^ mprj/u_wb_host/clkbuf_1_0_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00  100.26 ^ mprj/u_wb_host/clkbuf_1_0_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.25    0.26  100.52 ^ mprj/u_wb_host/clkbuf_1_0_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.14                           mprj/u_wb_host/clknet_1_0_1_u_uart2wb.baud_clk_16x (net)
+                  0.25    0.01  100.53 ^ mprj/u_wb_host/clkbuf_leaf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.23  100.76 ^ mprj/u_wb_host/clkbuf_leaf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_0_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00  100.76 ^ mprj/u_wb_host/_6296_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25  100.51   clock uncertainty
+                          0.01  100.52   clock reconvergence pessimism
+                         -0.10  100.42   library setup time
+                                100.42   data required time
+-----------------------------------------------------------------------------
+                                100.42   data required time
+                                 -4.71   data arrival time
+-----------------------------------------------------------------------------
+                                 95.71   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6444_
+            (rising edge-triggered flip-flop clocked by uart_clk)
+Endpoint: mprj/u_wb_host/_6300_
+          (rising edge-triggered flip-flop clocked by uart_clk)
+Path Group: uart_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.00    0.62 ^ mprj/u_wb_host/clkbuf_leaf_15_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    0.88 ^ mprj/u_wb_host/clkbuf_leaf_15_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_15_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.88 ^ mprj/u_wb_host/_6444_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.11    0.47    1.35 v mprj/u_wb_host/_6444_/Q (sky130_fd_sc_hd__dfrtp_1)
+     5    0.02                           mprj/u_wb_host/u_uart2wb.rx_data[7] (net)
+                  0.11    0.00    1.35 v mprj/u_wb_host/_4284_/B (sky130_fd_sc_hd__or3_1)
+                  0.11    0.43    1.79 v mprj/u_wb_host/_4284_/X (sky130_fd_sc_hd__or3_1)
+     4    0.01                           mprj/u_wb_host/_2174_ (net)
+                  0.11    0.00    1.79 v mprj/u_wb_host/_4287_/B (sky130_fd_sc_hd__or4_2)
+                  0.20    0.85    2.63 v mprj/u_wb_host/_4287_/X (sky130_fd_sc_hd__or4_2)
+    10    0.04                           mprj/u_wb_host/_2177_ (net)
+                  0.20    0.00    2.63 v mprj/u_wb_host/fanout453/A (sky130_fd_sc_hd__buf_2)
+                  0.14    0.30    2.93 v mprj/u_wb_host/fanout453/X (sky130_fd_sc_hd__buf_2)
+    20    0.06                           mprj/u_wb_host/net453 (net)
+                  0.14    0.00    2.94 v mprj/u_wb_host/_4875_/A1 (sky130_fd_sc_hd__a2111o_1)
+                  0.10    0.46    3.40 v mprj/u_wb_host/_4875_/X (sky130_fd_sc_hd__a2111o_1)
+     2    0.01                           mprj/u_wb_host/_2373_ (net)
+                  0.10    0.00    3.40 v mprj/u_wb_host/_4895_/B (sky130_fd_sc_hd__nand3_1)
+                  0.08    0.11    3.51 ^ mprj/u_wb_host/_4895_/Y (sky130_fd_sc_hd__nand3_1)
+     1    0.00                           mprj/u_wb_host/_2392_ (net)
+                  0.08    0.00    3.51 ^ mprj/u_wb_host/_4896_/B (sky130_fd_sc_hd__or3b_4)
+                  0.17    0.23    3.74 ^ mprj/u_wb_host/_4896_/X (sky130_fd_sc_hd__or3b_4)
+     2    0.05                           mprj/u_wb_host/_2393_ (net)
+                  0.17    0.01    3.75 ^ mprj/u_wb_host/fanout335/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.29    4.04 ^ mprj/u_wb_host/fanout335/X (sky130_fd_sc_hd__buf_2)
+    12    0.05                           mprj/u_wb_host/net335 (net)
+                  0.22    0.00    4.04 ^ mprj/u_wb_host/_4897_/B (sky130_fd_sc_hd__nor2_1)
+                  0.13    0.18    4.22 v mprj/u_wb_host/_4897_/Y (sky130_fd_sc_hd__nor2_1)
+     4    0.03                           mprj/u_wb_host/_2394_ (net)
+                  0.13    0.00    4.22 v mprj/u_wb_host/fanout332/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.13    0.28    4.49 v mprj/u_wb_host/fanout332/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.07                           mprj/u_wb_host/net332 (net)
+                  0.13    0.00    4.50 v mprj/u_wb_host/_4916_/B1 (sky130_fd_sc_hd__a22o_1)
+                  0.04    0.21    4.71 v mprj/u_wb_host/_4916_/X (sky130_fd_sc_hd__a22o_1)
+     1    0.00                           mprj/u_wb_host/_0820_ (net)
+                  0.04    0.00    4.71 v mprj/u_wb_host/_6300_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  4.71   data arrival time
+
+                        100.00  100.00   clock uart_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14  100.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00  100.14 ^ mprj/u_wb_host/clkbuf_1_0_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12  100.26 ^ mprj/u_wb_host/clkbuf_1_0_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00  100.26 ^ mprj/u_wb_host/clkbuf_1_0_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.25    0.26  100.52 ^ mprj/u_wb_host/clkbuf_1_0_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.14                           mprj/u_wb_host/clknet_1_0_1_u_uart2wb.baud_clk_16x (net)
+                  0.25    0.01  100.53 ^ mprj/u_wb_host/clkbuf_leaf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.23  100.76 ^ mprj/u_wb_host/clkbuf_leaf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_0_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00  100.76 ^ mprj/u_wb_host/_6300_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25  100.51   clock uncertainty
+                          0.01  100.52   clock reconvergence pessimism
+                         -0.10  100.42   library setup time
+                                100.42   data required time
+-----------------------------------------------------------------------------
+                                100.42   data required time
+                                 -4.71   data arrival time
+-----------------------------------------------------------------------------
+                                 95.71   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6444_
+            (rising edge-triggered flip-flop clocked by uart_clk)
+Endpoint: mprj/u_wb_host/_6298_
+          (rising edge-triggered flip-flop clocked by uart_clk)
+Path Group: uart_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.00    0.62 ^ mprj/u_wb_host/clkbuf_leaf_15_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    0.88 ^ mprj/u_wb_host/clkbuf_leaf_15_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_15_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.88 ^ mprj/u_wb_host/_6444_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.11    0.47    1.35 v mprj/u_wb_host/_6444_/Q (sky130_fd_sc_hd__dfrtp_1)
+     5    0.02                           mprj/u_wb_host/u_uart2wb.rx_data[7] (net)
+                  0.11    0.00    1.35 v mprj/u_wb_host/_4284_/B (sky130_fd_sc_hd__or3_1)
+                  0.11    0.43    1.79 v mprj/u_wb_host/_4284_/X (sky130_fd_sc_hd__or3_1)
+     4    0.01                           mprj/u_wb_host/_2174_ (net)
+                  0.11    0.00    1.79 v mprj/u_wb_host/_4287_/B (sky130_fd_sc_hd__or4_2)
+                  0.20    0.85    2.63 v mprj/u_wb_host/_4287_/X (sky130_fd_sc_hd__or4_2)
+    10    0.04                           mprj/u_wb_host/_2177_ (net)
+                  0.20    0.00    2.63 v mprj/u_wb_host/fanout453/A (sky130_fd_sc_hd__buf_2)
+                  0.14    0.30    2.93 v mprj/u_wb_host/fanout453/X (sky130_fd_sc_hd__buf_2)
+    20    0.06                           mprj/u_wb_host/net453 (net)
+                  0.14    0.00    2.94 v mprj/u_wb_host/_4875_/A1 (sky130_fd_sc_hd__a2111o_1)
+                  0.10    0.46    3.40 v mprj/u_wb_host/_4875_/X (sky130_fd_sc_hd__a2111o_1)
+     2    0.01                           mprj/u_wb_host/_2373_ (net)
+                  0.10    0.00    3.40 v mprj/u_wb_host/_4895_/B (sky130_fd_sc_hd__nand3_1)
+                  0.08    0.11    3.51 ^ mprj/u_wb_host/_4895_/Y (sky130_fd_sc_hd__nand3_1)
+     1    0.00                           mprj/u_wb_host/_2392_ (net)
+                  0.08    0.00    3.51 ^ mprj/u_wb_host/_4896_/B (sky130_fd_sc_hd__or3b_4)
+                  0.17    0.23    3.74 ^ mprj/u_wb_host/_4896_/X (sky130_fd_sc_hd__or3b_4)
+     2    0.05                           mprj/u_wb_host/_2393_ (net)
+                  0.17    0.01    3.75 ^ mprj/u_wb_host/fanout335/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.29    4.04 ^ mprj/u_wb_host/fanout335/X (sky130_fd_sc_hd__buf_2)
+    12    0.05                           mprj/u_wb_host/net335 (net)
+                  0.22    0.00    4.04 ^ mprj/u_wb_host/_4897_/B (sky130_fd_sc_hd__nor2_1)
+                  0.13    0.18    4.22 v mprj/u_wb_host/_4897_/Y (sky130_fd_sc_hd__nor2_1)
+     4    0.03                           mprj/u_wb_host/_2394_ (net)
+                  0.13    0.00    4.22 v mprj/u_wb_host/fanout332/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.13    0.28    4.49 v mprj/u_wb_host/fanout332/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.07                           mprj/u_wb_host/net332 (net)
+                  0.13    0.00    4.50 v mprj/u_wb_host/_4914_/B1 (sky130_fd_sc_hd__a22o_1)
+                  0.04    0.21    4.71 v mprj/u_wb_host/_4914_/X (sky130_fd_sc_hd__a22o_1)
+     1    0.00                           mprj/u_wb_host/_0818_ (net)
+                  0.04    0.00    4.71 v mprj/u_wb_host/_6298_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  4.71   data arrival time
+
+                        100.00  100.00   clock uart_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14  100.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00  100.14 ^ mprj/u_wb_host/clkbuf_1_0_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12  100.26 ^ mprj/u_wb_host/clkbuf_1_0_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00  100.26 ^ mprj/u_wb_host/clkbuf_1_0_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.25    0.26  100.52 ^ mprj/u_wb_host/clkbuf_1_0_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.14                           mprj/u_wb_host/clknet_1_0_1_u_uart2wb.baud_clk_16x (net)
+                  0.25    0.01  100.53 ^ mprj/u_wb_host/clkbuf_leaf_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.23  100.76 ^ mprj/u_wb_host/clkbuf_leaf_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    28    0.09                           mprj/u_wb_host/clknet_leaf_1_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00  100.76 ^ mprj/u_wb_host/_6298_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25  100.51   clock uncertainty
+                          0.01  100.52   clock reconvergence pessimism
+                         -0.10  100.42   library setup time
+                                100.42   data required time
+-----------------------------------------------------------------------------
+                                100.42   data required time
+                                 -4.71   data arrival time
+-----------------------------------------------------------------------------
+                                 95.71   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6444_
+            (rising edge-triggered flip-flop clocked by uart_clk)
+Endpoint: mprj/u_wb_host/_6293_
+          (rising edge-triggered flip-flop clocked by uart_clk)
+Path Group: uart_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.00    0.62 ^ mprj/u_wb_host/clkbuf_leaf_15_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    0.88 ^ mprj/u_wb_host/clkbuf_leaf_15_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_15_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.88 ^ mprj/u_wb_host/_6444_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.11    0.47    1.35 v mprj/u_wb_host/_6444_/Q (sky130_fd_sc_hd__dfrtp_1)
+     5    0.02                           mprj/u_wb_host/u_uart2wb.rx_data[7] (net)
+                  0.11    0.00    1.35 v mprj/u_wb_host/_4284_/B (sky130_fd_sc_hd__or3_1)
+                  0.11    0.43    1.79 v mprj/u_wb_host/_4284_/X (sky130_fd_sc_hd__or3_1)
+     4    0.01                           mprj/u_wb_host/_2174_ (net)
+                  0.11    0.00    1.79 v mprj/u_wb_host/_4287_/B (sky130_fd_sc_hd__or4_2)
+                  0.20    0.85    2.63 v mprj/u_wb_host/_4287_/X (sky130_fd_sc_hd__or4_2)
+    10    0.04                           mprj/u_wb_host/_2177_ (net)
+                  0.20    0.00    2.63 v mprj/u_wb_host/fanout453/A (sky130_fd_sc_hd__buf_2)
+                  0.14    0.30    2.93 v mprj/u_wb_host/fanout453/X (sky130_fd_sc_hd__buf_2)
+    20    0.06                           mprj/u_wb_host/net453 (net)
+                  0.14    0.00    2.94 v mprj/u_wb_host/_4875_/A1 (sky130_fd_sc_hd__a2111o_1)
+                  0.10    0.46    3.40 v mprj/u_wb_host/_4875_/X (sky130_fd_sc_hd__a2111o_1)
+     2    0.01                           mprj/u_wb_host/_2373_ (net)
+                  0.10    0.00    3.40 v mprj/u_wb_host/_4895_/B (sky130_fd_sc_hd__nand3_1)
+                  0.08    0.11    3.51 ^ mprj/u_wb_host/_4895_/Y (sky130_fd_sc_hd__nand3_1)
+     1    0.00                           mprj/u_wb_host/_2392_ (net)
+                  0.08    0.00    3.51 ^ mprj/u_wb_host/_4896_/B (sky130_fd_sc_hd__or3b_4)
+                  0.17    0.23    3.74 ^ mprj/u_wb_host/_4896_/X (sky130_fd_sc_hd__or3b_4)
+     2    0.05                           mprj/u_wb_host/_2393_ (net)
+                  0.17    0.01    3.75 ^ mprj/u_wb_host/fanout335/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.29    4.04 ^ mprj/u_wb_host/fanout335/X (sky130_fd_sc_hd__buf_2)
+    12    0.05                           mprj/u_wb_host/net335 (net)
+                  0.22    0.00    4.04 ^ mprj/u_wb_host/_4897_/B (sky130_fd_sc_hd__nor2_1)
+                  0.13    0.18    4.22 v mprj/u_wb_host/_4897_/Y (sky130_fd_sc_hd__nor2_1)
+     4    0.03                           mprj/u_wb_host/_2394_ (net)
+                  0.13    0.00    4.22 v mprj/u_wb_host/fanout332/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.13    0.28    4.49 v mprj/u_wb_host/fanout332/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.07                           mprj/u_wb_host/net332 (net)
+                  0.13    0.00    4.50 v mprj/u_wb_host/_4909_/B1 (sky130_fd_sc_hd__a22o_1)
+                  0.04    0.21    4.71 v mprj/u_wb_host/_4909_/X (sky130_fd_sc_hd__a22o_1)
+     1    0.00                           mprj/u_wb_host/_0813_ (net)
+                  0.04    0.00    4.71 v mprj/u_wb_host/_6293_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  4.71   data arrival time
+
+                        100.00  100.00   clock uart_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14  100.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00  100.14 ^ mprj/u_wb_host/clkbuf_1_0_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12  100.26 ^ mprj/u_wb_host/clkbuf_1_0_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00  100.26 ^ mprj/u_wb_host/clkbuf_1_0_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.25    0.26  100.52 ^ mprj/u_wb_host/clkbuf_1_0_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.14                           mprj/u_wb_host/clknet_1_0_1_u_uart2wb.baud_clk_16x (net)
+                  0.25    0.01  100.53 ^ mprj/u_wb_host/clkbuf_leaf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.23  100.76 ^ mprj/u_wb_host/clkbuf_leaf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_0_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00  100.76 ^ mprj/u_wb_host/_6293_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25  100.51   clock uncertainty
+                          0.01  100.52   clock reconvergence pessimism
+                         -0.10  100.42   library setup time
+                                100.42   data required time
+-----------------------------------------------------------------------------
+                                100.42   data required time
+                                 -4.71   data arrival time
+-----------------------------------------------------------------------------
+                                 95.71   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6444_
+            (rising edge-triggered flip-flop clocked by uart_clk)
+Endpoint: mprj/u_wb_host/_6302_
+          (rising edge-triggered flip-flop clocked by uart_clk)
+Path Group: uart_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.00    0.62 ^ mprj/u_wb_host/clkbuf_leaf_15_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    0.88 ^ mprj/u_wb_host/clkbuf_leaf_15_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_15_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.88 ^ mprj/u_wb_host/_6444_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.11    0.47    1.35 v mprj/u_wb_host/_6444_/Q (sky130_fd_sc_hd__dfrtp_1)
+     5    0.02                           mprj/u_wb_host/u_uart2wb.rx_data[7] (net)
+                  0.11    0.00    1.35 v mprj/u_wb_host/_4284_/B (sky130_fd_sc_hd__or3_1)
+                  0.11    0.43    1.79 v mprj/u_wb_host/_4284_/X (sky130_fd_sc_hd__or3_1)
+     4    0.01                           mprj/u_wb_host/_2174_ (net)
+                  0.11    0.00    1.79 v mprj/u_wb_host/_4287_/B (sky130_fd_sc_hd__or4_2)
+                  0.20    0.85    2.63 v mprj/u_wb_host/_4287_/X (sky130_fd_sc_hd__or4_2)
+    10    0.04                           mprj/u_wb_host/_2177_ (net)
+                  0.20    0.00    2.63 v mprj/u_wb_host/fanout453/A (sky130_fd_sc_hd__buf_2)
+                  0.14    0.30    2.93 v mprj/u_wb_host/fanout453/X (sky130_fd_sc_hd__buf_2)
+    20    0.06                           mprj/u_wb_host/net453 (net)
+                  0.14    0.00    2.94 v mprj/u_wb_host/_4875_/A1 (sky130_fd_sc_hd__a2111o_1)
+                  0.10    0.46    3.40 v mprj/u_wb_host/_4875_/X (sky130_fd_sc_hd__a2111o_1)
+     2    0.01                           mprj/u_wb_host/_2373_ (net)
+                  0.10    0.00    3.40 v mprj/u_wb_host/_4895_/B (sky130_fd_sc_hd__nand3_1)
+                  0.08    0.11    3.51 ^ mprj/u_wb_host/_4895_/Y (sky130_fd_sc_hd__nand3_1)
+     1    0.00                           mprj/u_wb_host/_2392_ (net)
+                  0.08    0.00    3.51 ^ mprj/u_wb_host/_4896_/B (sky130_fd_sc_hd__or3b_4)
+                  0.17    0.23    3.74 ^ mprj/u_wb_host/_4896_/X (sky130_fd_sc_hd__or3b_4)
+     2    0.05                           mprj/u_wb_host/_2393_ (net)
+                  0.17    0.01    3.75 ^ mprj/u_wb_host/fanout335/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.29    4.04 ^ mprj/u_wb_host/fanout335/X (sky130_fd_sc_hd__buf_2)
+    12    0.05                           mprj/u_wb_host/net335 (net)
+                  0.22    0.00    4.04 ^ mprj/u_wb_host/_4897_/B (sky130_fd_sc_hd__nor2_1)
+                  0.13    0.18    4.22 v mprj/u_wb_host/_4897_/Y (sky130_fd_sc_hd__nor2_1)
+     4    0.03                           mprj/u_wb_host/_2394_ (net)
+                  0.13    0.00    4.22 v mprj/u_wb_host/fanout332/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.13    0.28    4.49 v mprj/u_wb_host/fanout332/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.07                           mprj/u_wb_host/net332 (net)
+                  0.13    0.00    4.50 v mprj/u_wb_host/_4918_/B1 (sky130_fd_sc_hd__a22o_1)
+                  0.04    0.21    4.71 v mprj/u_wb_host/_4918_/X (sky130_fd_sc_hd__a22o_1)
+     1    0.00                           mprj/u_wb_host/_0822_ (net)
+                  0.04    0.00    4.71 v mprj/u_wb_host/_6302_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  4.71   data arrival time
+
+                        100.00  100.00   clock uart_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14  100.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00  100.14 ^ mprj/u_wb_host/clkbuf_1_0_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12  100.26 ^ mprj/u_wb_host/clkbuf_1_0_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00  100.26 ^ mprj/u_wb_host/clkbuf_1_0_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.25    0.26  100.52 ^ mprj/u_wb_host/clkbuf_1_0_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.14                           mprj/u_wb_host/clknet_1_0_1_u_uart2wb.baud_clk_16x (net)
+                  0.25    0.01  100.53 ^ mprj/u_wb_host/clkbuf_leaf_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.23  100.76 ^ mprj/u_wb_host/clkbuf_leaf_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    28    0.09                           mprj/u_wb_host/clknet_leaf_1_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00  100.76 ^ mprj/u_wb_host/_6302_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25  100.51   clock uncertainty
+                          0.01  100.52   clock reconvergence pessimism
+                         -0.10  100.42   library setup time
+                                100.42   data required time
+-----------------------------------------------------------------------------
+                                100.42   data required time
+                                 -4.71   data arrival time
+-----------------------------------------------------------------------------
+                                 95.72   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6444_
+            (rising edge-triggered flip-flop clocked by uart_clk)
+Endpoint: mprj/u_wb_host/_6303_
+          (rising edge-triggered flip-flop clocked by uart_clk)
+Path Group: uart_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.00    0.62 ^ mprj/u_wb_host/clkbuf_leaf_15_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    0.88 ^ mprj/u_wb_host/clkbuf_leaf_15_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_15_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.88 ^ mprj/u_wb_host/_6444_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.11    0.47    1.35 v mprj/u_wb_host/_6444_/Q (sky130_fd_sc_hd__dfrtp_1)
+     5    0.02                           mprj/u_wb_host/u_uart2wb.rx_data[7] (net)
+                  0.11    0.00    1.35 v mprj/u_wb_host/_4284_/B (sky130_fd_sc_hd__or3_1)
+                  0.11    0.43    1.79 v mprj/u_wb_host/_4284_/X (sky130_fd_sc_hd__or3_1)
+     4    0.01                           mprj/u_wb_host/_2174_ (net)
+                  0.11    0.00    1.79 v mprj/u_wb_host/_4287_/B (sky130_fd_sc_hd__or4_2)
+                  0.20    0.85    2.63 v mprj/u_wb_host/_4287_/X (sky130_fd_sc_hd__or4_2)
+    10    0.04                           mprj/u_wb_host/_2177_ (net)
+                  0.20    0.00    2.63 v mprj/u_wb_host/fanout453/A (sky130_fd_sc_hd__buf_2)
+                  0.14    0.30    2.93 v mprj/u_wb_host/fanout453/X (sky130_fd_sc_hd__buf_2)
+    20    0.06                           mprj/u_wb_host/net453 (net)
+                  0.14    0.00    2.94 v mprj/u_wb_host/_4875_/A1 (sky130_fd_sc_hd__a2111o_1)
+                  0.10    0.46    3.40 v mprj/u_wb_host/_4875_/X (sky130_fd_sc_hd__a2111o_1)
+     2    0.01                           mprj/u_wb_host/_2373_ (net)
+                  0.10    0.00    3.40 v mprj/u_wb_host/_4895_/B (sky130_fd_sc_hd__nand3_1)
+                  0.08    0.11    3.51 ^ mprj/u_wb_host/_4895_/Y (sky130_fd_sc_hd__nand3_1)
+     1    0.00                           mprj/u_wb_host/_2392_ (net)
+                  0.08    0.00    3.51 ^ mprj/u_wb_host/_4896_/B (sky130_fd_sc_hd__or3b_4)
+                  0.17    0.23    3.74 ^ mprj/u_wb_host/_4896_/X (sky130_fd_sc_hd__or3b_4)
+     2    0.05                           mprj/u_wb_host/_2393_ (net)
+                  0.17    0.01    3.75 ^ mprj/u_wb_host/fanout335/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.29    4.04 ^ mprj/u_wb_host/fanout335/X (sky130_fd_sc_hd__buf_2)
+    12    0.05                           mprj/u_wb_host/net335 (net)
+                  0.22    0.00    4.04 ^ mprj/u_wb_host/_4897_/B (sky130_fd_sc_hd__nor2_1)
+                  0.13    0.18    4.22 v mprj/u_wb_host/_4897_/Y (sky130_fd_sc_hd__nor2_1)
+     4    0.03                           mprj/u_wb_host/_2394_ (net)
+                  0.13    0.00    4.22 v mprj/u_wb_host/fanout332/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.13    0.28    4.49 v mprj/u_wb_host/fanout332/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.07                           mprj/u_wb_host/net332 (net)
+                  0.13    0.00    4.50 v mprj/u_wb_host/_4919_/B1 (sky130_fd_sc_hd__a22o_1)
+                  0.04    0.21    4.71 v mprj/u_wb_host/_4919_/X (sky130_fd_sc_hd__a22o_1)
+     1    0.00                           mprj/u_wb_host/_0823_ (net)
+                  0.04    0.00    4.71 v mprj/u_wb_host/_6303_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  4.71   data arrival time
+
+                        100.00  100.00   clock uart_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14  100.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00  100.14 ^ mprj/u_wb_host/clkbuf_1_0_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12  100.26 ^ mprj/u_wb_host/clkbuf_1_0_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00  100.26 ^ mprj/u_wb_host/clkbuf_1_0_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.25    0.26  100.52 ^ mprj/u_wb_host/clkbuf_1_0_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.14                           mprj/u_wb_host/clknet_1_0_1_u_uart2wb.baud_clk_16x (net)
+                  0.25    0.01  100.53 ^ mprj/u_wb_host/clkbuf_leaf_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.23  100.76 ^ mprj/u_wb_host/clkbuf_leaf_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    28    0.09                           mprj/u_wb_host/clknet_leaf_1_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00  100.76 ^ mprj/u_wb_host/_6303_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25  100.51   clock uncertainty
+                          0.01  100.52   clock reconvergence pessimism
+                         -0.10  100.42   library setup time
+                                100.42   data required time
+-----------------------------------------------------------------------------
+                                100.42   data required time
+                                 -4.71   data arrival time
+-----------------------------------------------------------------------------
+                                 95.72   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6444_
+            (rising edge-triggered flip-flop clocked by uart_clk)
+Endpoint: mprj/u_wb_host/_6299_
+          (rising edge-triggered flip-flop clocked by uart_clk)
+Path Group: uart_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.00    0.62 ^ mprj/u_wb_host/clkbuf_leaf_15_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    0.88 ^ mprj/u_wb_host/clkbuf_leaf_15_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_15_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.88 ^ mprj/u_wb_host/_6444_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.11    0.47    1.35 v mprj/u_wb_host/_6444_/Q (sky130_fd_sc_hd__dfrtp_1)
+     5    0.02                           mprj/u_wb_host/u_uart2wb.rx_data[7] (net)
+                  0.11    0.00    1.35 v mprj/u_wb_host/_4284_/B (sky130_fd_sc_hd__or3_1)
+                  0.11    0.43    1.79 v mprj/u_wb_host/_4284_/X (sky130_fd_sc_hd__or3_1)
+     4    0.01                           mprj/u_wb_host/_2174_ (net)
+                  0.11    0.00    1.79 v mprj/u_wb_host/_4287_/B (sky130_fd_sc_hd__or4_2)
+                  0.20    0.85    2.63 v mprj/u_wb_host/_4287_/X (sky130_fd_sc_hd__or4_2)
+    10    0.04                           mprj/u_wb_host/_2177_ (net)
+                  0.20    0.00    2.63 v mprj/u_wb_host/fanout453/A (sky130_fd_sc_hd__buf_2)
+                  0.14    0.30    2.93 v mprj/u_wb_host/fanout453/X (sky130_fd_sc_hd__buf_2)
+    20    0.06                           mprj/u_wb_host/net453 (net)
+                  0.14    0.00    2.94 v mprj/u_wb_host/_4875_/A1 (sky130_fd_sc_hd__a2111o_1)
+                  0.10    0.46    3.40 v mprj/u_wb_host/_4875_/X (sky130_fd_sc_hd__a2111o_1)
+     2    0.01                           mprj/u_wb_host/_2373_ (net)
+                  0.10    0.00    3.40 v mprj/u_wb_host/_4895_/B (sky130_fd_sc_hd__nand3_1)
+                  0.08    0.11    3.51 ^ mprj/u_wb_host/_4895_/Y (sky130_fd_sc_hd__nand3_1)
+     1    0.00                           mprj/u_wb_host/_2392_ (net)
+                  0.08    0.00    3.51 ^ mprj/u_wb_host/_4896_/B (sky130_fd_sc_hd__or3b_4)
+                  0.17    0.23    3.74 ^ mprj/u_wb_host/_4896_/X (sky130_fd_sc_hd__or3b_4)
+     2    0.05                           mprj/u_wb_host/_2393_ (net)
+                  0.17    0.01    3.75 ^ mprj/u_wb_host/fanout335/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.29    4.04 ^ mprj/u_wb_host/fanout335/X (sky130_fd_sc_hd__buf_2)
+    12    0.05                           mprj/u_wb_host/net335 (net)
+                  0.22    0.00    4.04 ^ mprj/u_wb_host/_4897_/B (sky130_fd_sc_hd__nor2_1)
+                  0.13    0.18    4.22 v mprj/u_wb_host/_4897_/Y (sky130_fd_sc_hd__nor2_1)
+     4    0.03                           mprj/u_wb_host/_2394_ (net)
+                  0.13    0.00    4.22 v mprj/u_wb_host/fanout332/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.13    0.28    4.49 v mprj/u_wb_host/fanout332/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.07                           mprj/u_wb_host/net332 (net)
+                  0.13    0.00    4.50 v mprj/u_wb_host/_4915_/B1 (sky130_fd_sc_hd__a22o_1)
+                  0.04    0.21    4.71 v mprj/u_wb_host/_4915_/X (sky130_fd_sc_hd__a22o_1)
+     1    0.00                           mprj/u_wb_host/_0819_ (net)
+                  0.04    0.00    4.71 v mprj/u_wb_host/_6299_/D (sky130_fd_sc_hd__dfrtp_1)
+                                  4.71   data arrival time
+
+                        100.00  100.00   clock uart_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14  100.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00  100.14 ^ mprj/u_wb_host/clkbuf_1_0_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12  100.26 ^ mprj/u_wb_host/clkbuf_1_0_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00  100.26 ^ mprj/u_wb_host/clkbuf_1_0_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.25    0.26  100.52 ^ mprj/u_wb_host/clkbuf_1_0_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.14                           mprj/u_wb_host/clknet_1_0_1_u_uart2wb.baud_clk_16x (net)
+                  0.25    0.01  100.53 ^ mprj/u_wb_host/clkbuf_leaf_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.23  100.76 ^ mprj/u_wb_host/clkbuf_leaf_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    28    0.09                           mprj/u_wb_host/clknet_leaf_1_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00  100.76 ^ mprj/u_wb_host/_6299_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25  100.51   clock uncertainty
+                          0.01  100.52   clock reconvergence pessimism
+                         -0.10  100.42   library setup time
+                                100.42   data required time
+-----------------------------------------------------------------------------
+                                100.42   data required time
+                                 -4.71   data arrival time
+-----------------------------------------------------------------------------
+                                 95.72   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6444_
+            (rising edge-triggered flip-flop clocked by uart_clk)
+Endpoint: mprj/u_wb_host/_6283_
+          (rising edge-triggered flip-flop clocked by uart_clk)
+Path Group: uart_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.00    0.62 ^ mprj/u_wb_host/clkbuf_leaf_15_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    0.88 ^ mprj/u_wb_host/clkbuf_leaf_15_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_15_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.88 ^ mprj/u_wb_host/_6444_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.11    0.47    1.35 v mprj/u_wb_host/_6444_/Q (sky130_fd_sc_hd__dfrtp_1)
+     5    0.02                           mprj/u_wb_host/u_uart2wb.rx_data[7] (net)
+                  0.11    0.00    1.35 v mprj/u_wb_host/_4284_/B (sky130_fd_sc_hd__or3_1)
+                  0.11    0.43    1.79 v mprj/u_wb_host/_4284_/X (sky130_fd_sc_hd__or3_1)
+     4    0.01                           mprj/u_wb_host/_2174_ (net)
+                  0.11    0.00    1.79 v mprj/u_wb_host/_4287_/B (sky130_fd_sc_hd__or4_2)
+                  0.20    0.85    2.63 v mprj/u_wb_host/_4287_/X (sky130_fd_sc_hd__or4_2)
+    10    0.04                           mprj/u_wb_host/_2177_ (net)
+                  0.20    0.00    2.63 v mprj/u_wb_host/fanout453/A (sky130_fd_sc_hd__buf_2)
+                  0.14    0.30    2.93 v mprj/u_wb_host/fanout453/X (sky130_fd_sc_hd__buf_2)
+    20    0.06                           mprj/u_wb_host/net453 (net)
+                  0.14    0.00    2.94 v mprj/u_wb_host/_4870_/A1 (sky130_fd_sc_hd__o211a_1)
+                  0.04    0.26    3.20 v mprj/u_wb_host/_4870_/X (sky130_fd_sc_hd__o211a_1)
+     1    0.00                           mprj/u_wb_host/_2368_ (net)
+                  0.04    0.00    3.20 v mprj/u_wb_host/_4872_/B (sky130_fd_sc_hd__or4b_1)
+                  0.08    0.51    3.72 v mprj/u_wb_host/_4872_/X (sky130_fd_sc_hd__or4b_1)
+     1    0.00                           mprj/u_wb_host/_2370_ (net)
+                  0.08    0.00    3.72 v mprj/u_wb_host/_4873_/B1 (sky130_fd_sc_hd__a21oi_1)
+                  0.29    0.28    3.99 ^ mprj/u_wb_host/_4873_/Y (sky130_fd_sc_hd__a21oi_1)
+     2    0.01                           mprj/u_wb_host/_2371_ (net)
+                  0.29    0.00    3.99 ^ mprj/u_wb_host/_4874_/B (sky130_fd_sc_hd__nand2_1)
+                  0.06    0.09    4.08 v mprj/u_wb_host/_4874_/Y (sky130_fd_sc_hd__nand2_1)
+     1    0.00                           mprj/u_wb_host/_2372_ (net)
+                  0.06    0.00    4.08 v mprj/u_wb_host/_4876_/C (sky130_fd_sc_hd__or3_1)
+                  0.09    0.35    4.43 v mprj/u_wb_host/_4876_/X (sky130_fd_sc_hd__or3_1)
+     2    0.01                           mprj/u_wb_host/_2374_ (net)
+                  0.09    0.00    4.43 v mprj/u_wb_host/_4877_/S (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.33    4.77 v mprj/u_wb_host/_4877_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0803_ (net)
+                  0.06    0.00    4.77 v mprj/u_wb_host/_6283_/D (sky130_fd_sc_hd__dfrtp_4)
+                                  4.77   data arrival time
+
+                        100.00  100.00   clock uart_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14  100.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00  100.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12  100.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00  100.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31  100.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.00  100.57 ^ mprj/u_wb_host/clkbuf_leaf_11_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.25  100.82 ^ mprj/u_wb_host/clkbuf_leaf_11_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_11_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00  100.82 ^ mprj/u_wb_host/_6283_/CLK (sky130_fd_sc_hd__dfrtp_4)
+                         -0.25  100.57   clock uncertainty
+                          0.04  100.61   clock reconvergence pessimism
+                         -0.11  100.51   library setup time
+                                100.51   data required time
+-----------------------------------------------------------------------------
+                                100.51   data required time
+                                 -4.77   data arrival time
+-----------------------------------------------------------------------------
+                                 95.74   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6362_
+            (rising edge-triggered flip-flop clocked by uart_clk)
+Endpoint: mprj/u_wb_host/_5606_
+          (rising edge-triggered flip-flop clocked by uart_clk)
+Path Group: uart_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.62 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    0.89 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_12_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.89 ^ mprj/u_wb_host/_6362_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.10    0.45    1.34 v mprj/u_wb_host/_6362_/Q (sky130_fd_sc_hd__dfrtp_1)
+     3    0.02                           mprj/u_wb_host/u_uart2wb.u_msg.State[0] (net)
+                  0.10    0.00    1.34 v mprj/u_wb_host/fanout557/A (sky130_fd_sc_hd__buf_4)
+                  0.10    0.23    1.58 v mprj/u_wb_host/fanout557/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_wb_host/net557 (net)
+                  0.10    0.00    1.58 v mprj/u_wb_host/_2678_/A (sky130_fd_sc_hd__inv_2)
+                  0.12    0.14    1.72 ^ mprj/u_wb_host/_2678_/Y (sky130_fd_sc_hd__inv_2)
+     4    0.03                           mprj/u_wb_host/_1099_ (net)
+                  0.12    0.00    1.72 ^ mprj/u_wb_host/fanout534/A (sky130_fd_sc_hd__buf_4)
+                  0.23    0.28    2.00 ^ mprj/u_wb_host/fanout534/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net534 (net)
+                  0.23    0.00    2.00 ^ mprj/u_wb_host/_3290_/A (sky130_fd_sc_hd__nor2_1)
+                  0.06    0.08    2.08 v mprj/u_wb_host/_3290_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.00                           mprj/u_wb_host/_1512_ (net)
+                  0.06    0.00    2.08 v mprj/u_wb_host/fanout483/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.21    2.29 v mprj/u_wb_host/fanout483/X (sky130_fd_sc_hd__buf_2)
+    12    0.05                           mprj/u_wb_host/net483 (net)
+                  0.11    0.00    2.29 v mprj/u_wb_host/fanout482/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.13    0.26    2.56 v mprj/u_wb_host/fanout482/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.06                           mprj/u_wb_host/net482 (net)
+                  0.13    0.00    2.56 v mprj/u_wb_host/fanout481/A (sky130_fd_sc_hd__buf_2)
+                  0.10    0.23    2.79 v mprj/u_wb_host/fanout481/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_wb_host/net481 (net)
+                  0.10    0.00    2.79 v mprj/u_wb_host/_3356_/A1 (sky130_fd_sc_hd__a211o_2)
+                  0.09    0.38    3.17 v mprj/u_wb_host/_3356_/X (sky130_fd_sc_hd__a211o_2)
+     6    0.02                           mprj/u_wb_host/_1538_ (net)
+                  0.09    0.00    3.17 v mprj/u_wb_host/_3357_/B (sky130_fd_sc_hd__nor2_1)
+                  0.43    0.38    3.55 ^ mprj/u_wb_host/_3357_/Y (sky130_fd_sc_hd__nor2_1)
+     4    0.02                           mprj/u_wb_host/_1539_ (net)
+                  0.43    0.00    3.55 ^ mprj/u_wb_host/fanout432/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.18    0.36    3.91 ^ mprj/u_wb_host/fanout432/X (sky130_fd_sc_hd__clkbuf_4)
+    18    0.06                           mprj/u_wb_host/net432 (net)
+                  0.18    0.00    3.91 ^ mprj/u_wb_host/fanout431/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.24    4.15 ^ mprj/u_wb_host/fanout431/X (sky130_fd_sc_hd__clkbuf_2)
+     5    0.03                           mprj/u_wb_host/net431 (net)
+                  0.16    0.00    4.15 ^ mprj/u_wb_host/fanout429/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.15    0.27    4.41 ^ mprj/u_wb_host/fanout429/X (sky130_fd_sc_hd__clkbuf_4)
+    10    0.05                           mprj/u_wb_host/net429 (net)
+                  0.15    0.00    4.42 ^ mprj/u_wb_host/_3448_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.34    4.76 v mprj/u_wb_host/_3448_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0144_ (net)
+                  0.05    0.00    4.76 v mprj/u_wb_host/_5606_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  4.76   data arrival time
+
+                        100.00  100.00   clock uart_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14  100.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00  100.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12  100.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00  100.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31  100.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01  100.58 ^ mprj/u_wb_host/clkbuf_leaf_6_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.25  100.82 ^ mprj/u_wb_host/clkbuf_leaf_6_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_6_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00  100.82 ^ mprj/u_wb_host/_5606_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                         -0.25  100.57   clock uncertainty
+                          0.04  100.62   clock reconvergence pessimism
+                         -0.10  100.52   library setup time
+                                100.52   data required time
+-----------------------------------------------------------------------------
+                                100.52   data required time
+                                 -4.76   data arrival time
+-----------------------------------------------------------------------------
+                                 95.76   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6362_
+            (rising edge-triggered flip-flop clocked by uart_clk)
+Endpoint: mprj/u_wb_host/_6348_
+          (rising edge-triggered flip-flop clocked by uart_clk)
+Path Group: uart_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.62 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    0.89 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_12_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.89 ^ mprj/u_wb_host/_6362_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.10    0.45    1.34 v mprj/u_wb_host/_6362_/Q (sky130_fd_sc_hd__dfrtp_1)
+     3    0.02                           mprj/u_wb_host/u_uart2wb.u_msg.State[0] (net)
+                  0.10    0.00    1.34 v mprj/u_wb_host/fanout557/A (sky130_fd_sc_hd__buf_4)
+                  0.10    0.23    1.58 v mprj/u_wb_host/fanout557/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_wb_host/net557 (net)
+                  0.10    0.00    1.58 v mprj/u_wb_host/_2678_/A (sky130_fd_sc_hd__inv_2)
+                  0.12    0.14    1.72 ^ mprj/u_wb_host/_2678_/Y (sky130_fd_sc_hd__inv_2)
+     4    0.03                           mprj/u_wb_host/_1099_ (net)
+                  0.12    0.00    1.72 ^ mprj/u_wb_host/fanout534/A (sky130_fd_sc_hd__buf_4)
+                  0.23    0.28    2.00 ^ mprj/u_wb_host/fanout534/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net534 (net)
+                  0.23    0.00    2.00 ^ mprj/u_wb_host/_3290_/A (sky130_fd_sc_hd__nor2_1)
+                  0.06    0.08    2.08 v mprj/u_wb_host/_3290_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.00                           mprj/u_wb_host/_1512_ (net)
+                  0.06    0.00    2.08 v mprj/u_wb_host/fanout483/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.21    2.29 v mprj/u_wb_host/fanout483/X (sky130_fd_sc_hd__buf_2)
+    12    0.05                           mprj/u_wb_host/net483 (net)
+                  0.11    0.00    2.29 v mprj/u_wb_host/fanout482/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.13    0.26    2.56 v mprj/u_wb_host/fanout482/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.06                           mprj/u_wb_host/net482 (net)
+                  0.13    0.00    2.56 v mprj/u_wb_host/fanout481/A (sky130_fd_sc_hd__buf_2)
+                  0.10    0.23    2.79 v mprj/u_wb_host/fanout481/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_wb_host/net481 (net)
+                  0.10    0.00    2.79 v mprj/u_wb_host/_3356_/A1 (sky130_fd_sc_hd__a211o_2)
+                  0.09    0.38    3.17 v mprj/u_wb_host/_3356_/X (sky130_fd_sc_hd__a211o_2)
+     6    0.02                           mprj/u_wb_host/_1538_ (net)
+                  0.09    0.00    3.17 v mprj/u_wb_host/_3357_/B (sky130_fd_sc_hd__nor2_1)
+                  0.43    0.38    3.55 ^ mprj/u_wb_host/_3357_/Y (sky130_fd_sc_hd__nor2_1)
+     4    0.02                           mprj/u_wb_host/_1539_ (net)
+                  0.43    0.00    3.55 ^ mprj/u_wb_host/fanout432/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.18    0.36    3.91 ^ mprj/u_wb_host/fanout432/X (sky130_fd_sc_hd__clkbuf_4)
+    18    0.06                           mprj/u_wb_host/net432 (net)
+                  0.18    0.00    3.91 ^ mprj/u_wb_host/fanout431/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.24    4.15 ^ mprj/u_wb_host/fanout431/X (sky130_fd_sc_hd__clkbuf_2)
+     5    0.03                           mprj/u_wb_host/net431 (net)
+                  0.16    0.00    4.15 ^ mprj/u_wb_host/fanout429/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.15    0.27    4.41 ^ mprj/u_wb_host/fanout429/X (sky130_fd_sc_hd__clkbuf_4)
+    10    0.05                           mprj/u_wb_host/net429 (net)
+                  0.15    0.00    4.42 ^ mprj/u_wb_host/_4981_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.34    4.76 v mprj/u_wb_host/_4981_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0868_ (net)
+                  0.05    0.00    4.76 v mprj/u_wb_host/_6348_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  4.76   data arrival time
+
+                        100.00  100.00   clock uart_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14  100.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00  100.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12  100.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00  100.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31  100.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01  100.58 ^ mprj/u_wb_host/clkbuf_leaf_6_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.25  100.82 ^ mprj/u_wb_host/clkbuf_leaf_6_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_6_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00  100.82 ^ mprj/u_wb_host/_6348_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                         -0.25  100.57   clock uncertainty
+                          0.04  100.62   clock reconvergence pessimism
+                         -0.10  100.52   library setup time
+                                100.52   data required time
+-----------------------------------------------------------------------------
+                                100.52   data required time
+                                 -4.76   data arrival time
+-----------------------------------------------------------------------------
+                                 95.76   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6362_
+            (rising edge-triggered flip-flop clocked by uart_clk)
+Endpoint: mprj/u_wb_host/_5627_
+          (rising edge-triggered flip-flop clocked by uart_clk)
+Path Group: uart_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.62 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    0.89 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_12_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.89 ^ mprj/u_wb_host/_6362_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.10    0.45    1.34 v mprj/u_wb_host/_6362_/Q (sky130_fd_sc_hd__dfrtp_1)
+     3    0.02                           mprj/u_wb_host/u_uart2wb.u_msg.State[0] (net)
+                  0.10    0.00    1.34 v mprj/u_wb_host/fanout557/A (sky130_fd_sc_hd__buf_4)
+                  0.10    0.23    1.58 v mprj/u_wb_host/fanout557/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_wb_host/net557 (net)
+                  0.10    0.00    1.58 v mprj/u_wb_host/_2678_/A (sky130_fd_sc_hd__inv_2)
+                  0.12    0.14    1.72 ^ mprj/u_wb_host/_2678_/Y (sky130_fd_sc_hd__inv_2)
+     4    0.03                           mprj/u_wb_host/_1099_ (net)
+                  0.12    0.00    1.72 ^ mprj/u_wb_host/fanout534/A (sky130_fd_sc_hd__buf_4)
+                  0.23    0.28    2.00 ^ mprj/u_wb_host/fanout534/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net534 (net)
+                  0.23    0.00    2.00 ^ mprj/u_wb_host/_3290_/A (sky130_fd_sc_hd__nor2_1)
+                  0.06    0.08    2.08 v mprj/u_wb_host/_3290_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.00                           mprj/u_wb_host/_1512_ (net)
+                  0.06    0.00    2.08 v mprj/u_wb_host/fanout483/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.21    2.29 v mprj/u_wb_host/fanout483/X (sky130_fd_sc_hd__buf_2)
+    12    0.05                           mprj/u_wb_host/net483 (net)
+                  0.11    0.00    2.29 v mprj/u_wb_host/fanout482/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.13    0.26    2.56 v mprj/u_wb_host/fanout482/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.06                           mprj/u_wb_host/net482 (net)
+                  0.13    0.00    2.56 v mprj/u_wb_host/fanout481/A (sky130_fd_sc_hd__buf_2)
+                  0.10    0.23    2.79 v mprj/u_wb_host/fanout481/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_wb_host/net481 (net)
+                  0.10    0.00    2.79 v mprj/u_wb_host/_3356_/A1 (sky130_fd_sc_hd__a211o_2)
+                  0.09    0.38    3.17 v mprj/u_wb_host/_3356_/X (sky130_fd_sc_hd__a211o_2)
+     6    0.02                           mprj/u_wb_host/_1538_ (net)
+                  0.09    0.00    3.17 v mprj/u_wb_host/_3357_/B (sky130_fd_sc_hd__nor2_1)
+                  0.43    0.38    3.55 ^ mprj/u_wb_host/_3357_/Y (sky130_fd_sc_hd__nor2_1)
+     4    0.02                           mprj/u_wb_host/_1539_ (net)
+                  0.43    0.00    3.55 ^ mprj/u_wb_host/fanout432/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.18    0.36    3.91 ^ mprj/u_wb_host/fanout432/X (sky130_fd_sc_hd__clkbuf_4)
+    18    0.06                           mprj/u_wb_host/net432 (net)
+                  0.18    0.00    3.91 ^ mprj/u_wb_host/fanout431/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.24    4.15 ^ mprj/u_wb_host/fanout431/X (sky130_fd_sc_hd__clkbuf_2)
+     5    0.03                           mprj/u_wb_host/net431 (net)
+                  0.16    0.00    4.15 ^ mprj/u_wb_host/fanout429/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.15    0.27    4.41 ^ mprj/u_wb_host/fanout429/X (sky130_fd_sc_hd__clkbuf_4)
+    10    0.05                           mprj/u_wb_host/net429 (net)
+                  0.15    0.00    4.42 ^ mprj/u_wb_host/_3519_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.34    4.76 v mprj/u_wb_host/_3519_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0165_ (net)
+                  0.05    0.00    4.76 v mprj/u_wb_host/_5627_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  4.76   data arrival time
+
+                        100.00  100.00   clock uart_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14  100.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00  100.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12  100.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00  100.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31  100.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01  100.58 ^ mprj/u_wb_host/clkbuf_leaf_10_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.25  100.83 ^ mprj/u_wb_host/clkbuf_leaf_10_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           mprj/u_wb_host/clknet_leaf_10_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00  100.83 ^ mprj/u_wb_host/_5627_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                         -0.25  100.58   clock uncertainty
+                          0.04  100.62   clock reconvergence pessimism
+                         -0.10  100.52   library setup time
+                                100.52   data required time
+-----------------------------------------------------------------------------
+                                100.52   data required time
+                                 -4.76   data arrival time
+-----------------------------------------------------------------------------
+                                 95.76   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6362_
+            (rising edge-triggered flip-flop clocked by uart_clk)
+Endpoint: mprj/u_wb_host/_5607_
+          (rising edge-triggered flip-flop clocked by uart_clk)
+Path Group: uart_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.62 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    0.89 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_12_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.89 ^ mprj/u_wb_host/_6362_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.10    0.45    1.34 v mprj/u_wb_host/_6362_/Q (sky130_fd_sc_hd__dfrtp_1)
+     3    0.02                           mprj/u_wb_host/u_uart2wb.u_msg.State[0] (net)
+                  0.10    0.00    1.34 v mprj/u_wb_host/fanout557/A (sky130_fd_sc_hd__buf_4)
+                  0.10    0.23    1.58 v mprj/u_wb_host/fanout557/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_wb_host/net557 (net)
+                  0.10    0.00    1.58 v mprj/u_wb_host/_2678_/A (sky130_fd_sc_hd__inv_2)
+                  0.12    0.14    1.72 ^ mprj/u_wb_host/_2678_/Y (sky130_fd_sc_hd__inv_2)
+     4    0.03                           mprj/u_wb_host/_1099_ (net)
+                  0.12    0.00    1.72 ^ mprj/u_wb_host/fanout534/A (sky130_fd_sc_hd__buf_4)
+                  0.23    0.28    2.00 ^ mprj/u_wb_host/fanout534/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net534 (net)
+                  0.23    0.00    2.00 ^ mprj/u_wb_host/_3290_/A (sky130_fd_sc_hd__nor2_1)
+                  0.06    0.08    2.08 v mprj/u_wb_host/_3290_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.00                           mprj/u_wb_host/_1512_ (net)
+                  0.06    0.00    2.08 v mprj/u_wb_host/fanout483/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.21    2.29 v mprj/u_wb_host/fanout483/X (sky130_fd_sc_hd__buf_2)
+    12    0.05                           mprj/u_wb_host/net483 (net)
+                  0.11    0.00    2.29 v mprj/u_wb_host/fanout482/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.13    0.26    2.56 v mprj/u_wb_host/fanout482/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.06                           mprj/u_wb_host/net482 (net)
+                  0.13    0.00    2.56 v mprj/u_wb_host/fanout481/A (sky130_fd_sc_hd__buf_2)
+                  0.10    0.23    2.79 v mprj/u_wb_host/fanout481/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_wb_host/net481 (net)
+                  0.10    0.00    2.79 v mprj/u_wb_host/_3356_/A1 (sky130_fd_sc_hd__a211o_2)
+                  0.09    0.38    3.17 v mprj/u_wb_host/_3356_/X (sky130_fd_sc_hd__a211o_2)
+     6    0.02                           mprj/u_wb_host/_1538_ (net)
+                  0.09    0.00    3.17 v mprj/u_wb_host/_3357_/B (sky130_fd_sc_hd__nor2_1)
+                  0.43    0.38    3.55 ^ mprj/u_wb_host/_3357_/Y (sky130_fd_sc_hd__nor2_1)
+     4    0.02                           mprj/u_wb_host/_1539_ (net)
+                  0.43    0.00    3.55 ^ mprj/u_wb_host/fanout432/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.18    0.36    3.91 ^ mprj/u_wb_host/fanout432/X (sky130_fd_sc_hd__clkbuf_4)
+    18    0.06                           mprj/u_wb_host/net432 (net)
+                  0.18    0.00    3.91 ^ mprj/u_wb_host/fanout431/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.24    4.15 ^ mprj/u_wb_host/fanout431/X (sky130_fd_sc_hd__clkbuf_2)
+     5    0.03                           mprj/u_wb_host/net431 (net)
+                  0.16    0.00    4.15 ^ mprj/u_wb_host/fanout429/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.15    0.27    4.41 ^ mprj/u_wb_host/fanout429/X (sky130_fd_sc_hd__clkbuf_4)
+    10    0.05                           mprj/u_wb_host/net429 (net)
+                  0.15    0.00    4.42 ^ mprj/u_wb_host/_3450_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.34    4.75 v mprj/u_wb_host/_3450_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0145_ (net)
+                  0.05    0.00    4.75 v mprj/u_wb_host/_5607_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  4.75   data arrival time
+
+                        100.00  100.00   clock uart_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14  100.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00  100.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12  100.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00  100.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31  100.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01  100.58 ^ mprj/u_wb_host/clkbuf_leaf_6_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.25  100.82 ^ mprj/u_wb_host/clkbuf_leaf_6_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_6_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00  100.82 ^ mprj/u_wb_host/_5607_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                         -0.25  100.57   clock uncertainty
+                          0.04  100.62   clock reconvergence pessimism
+                         -0.10  100.52   library setup time
+                                100.52   data required time
+-----------------------------------------------------------------------------
+                                100.52   data required time
+                                 -4.75   data arrival time
+-----------------------------------------------------------------------------
+                                 95.76   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6362_
+            (rising edge-triggered flip-flop clocked by uart_clk)
+Endpoint: mprj/u_wb_host/_5632_
+          (rising edge-triggered flip-flop clocked by uart_clk)
+Path Group: uart_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.62 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    0.89 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_12_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.89 ^ mprj/u_wb_host/_6362_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.10    0.45    1.34 v mprj/u_wb_host/_6362_/Q (sky130_fd_sc_hd__dfrtp_1)
+     3    0.02                           mprj/u_wb_host/u_uart2wb.u_msg.State[0] (net)
+                  0.10    0.00    1.34 v mprj/u_wb_host/fanout557/A (sky130_fd_sc_hd__buf_4)
+                  0.10    0.23    1.58 v mprj/u_wb_host/fanout557/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_wb_host/net557 (net)
+                  0.10    0.00    1.58 v mprj/u_wb_host/_2678_/A (sky130_fd_sc_hd__inv_2)
+                  0.12    0.14    1.72 ^ mprj/u_wb_host/_2678_/Y (sky130_fd_sc_hd__inv_2)
+     4    0.03                           mprj/u_wb_host/_1099_ (net)
+                  0.12    0.00    1.72 ^ mprj/u_wb_host/fanout534/A (sky130_fd_sc_hd__buf_4)
+                  0.23    0.28    2.00 ^ mprj/u_wb_host/fanout534/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net534 (net)
+                  0.23    0.00    2.00 ^ mprj/u_wb_host/_3290_/A (sky130_fd_sc_hd__nor2_1)
+                  0.06    0.08    2.08 v mprj/u_wb_host/_3290_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.00                           mprj/u_wb_host/_1512_ (net)
+                  0.06    0.00    2.08 v mprj/u_wb_host/fanout483/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.21    2.29 v mprj/u_wb_host/fanout483/X (sky130_fd_sc_hd__buf_2)
+    12    0.05                           mprj/u_wb_host/net483 (net)
+                  0.11    0.00    2.29 v mprj/u_wb_host/fanout482/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.13    0.26    2.56 v mprj/u_wb_host/fanout482/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.06                           mprj/u_wb_host/net482 (net)
+                  0.13    0.00    2.56 v mprj/u_wb_host/fanout481/A (sky130_fd_sc_hd__buf_2)
+                  0.10    0.23    2.79 v mprj/u_wb_host/fanout481/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_wb_host/net481 (net)
+                  0.10    0.00    2.79 v mprj/u_wb_host/_3356_/A1 (sky130_fd_sc_hd__a211o_2)
+                  0.09    0.38    3.17 v mprj/u_wb_host/_3356_/X (sky130_fd_sc_hd__a211o_2)
+     6    0.02                           mprj/u_wb_host/_1538_ (net)
+                  0.09    0.00    3.17 v mprj/u_wb_host/_3357_/B (sky130_fd_sc_hd__nor2_1)
+                  0.43    0.38    3.55 ^ mprj/u_wb_host/_3357_/Y (sky130_fd_sc_hd__nor2_1)
+     4    0.02                           mprj/u_wb_host/_1539_ (net)
+                  0.43    0.00    3.55 ^ mprj/u_wb_host/fanout428/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.25    0.36    3.91 ^ mprj/u_wb_host/fanout428/X (sky130_fd_sc_hd__clkbuf_2)
+    14    0.05                           mprj/u_wb_host/net428 (net)
+                  0.25    0.00    3.91 ^ mprj/u_wb_host/fanout427/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.20    0.33    4.24 ^ mprj/u_wb_host/fanout427/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.07                           mprj/u_wb_host/net427 (net)
+                  0.20    0.00    4.25 ^ mprj/u_wb_host/fanout426/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.15    0.28    4.53 ^ mprj/u_wb_host/fanout426/X (sky130_fd_sc_hd__clkbuf_4)
+    10    0.05                           mprj/u_wb_host/net426 (net)
+                  0.15    0.00    4.53 ^ mprj/u_wb_host/_3537_/C1 (sky130_fd_sc_hd__o221a_1)
+                  0.06    0.21    4.74 ^ mprj/u_wb_host/_3537_/X (sky130_fd_sc_hd__o221a_1)
+     1    0.00                           mprj/u_wb_host/_1668_ (net)
+                  0.06    0.00    4.74 ^ mprj/u_wb_host/_3538_/B1 (sky130_fd_sc_hd__a21o_1)
+                  0.03    0.08    4.82 ^ mprj/u_wb_host/_3538_/X (sky130_fd_sc_hd__a21o_1)
+     1    0.00                           mprj/u_wb_host/_0170_ (net)
+                  0.03    0.00    4.82 ^ mprj/u_wb_host/_5632_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  4.82   data arrival time
+
+                        100.00  100.00   clock uart_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14  100.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00  100.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12  100.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00  100.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31  100.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01  100.58 ^ mprj/u_wb_host/clkbuf_leaf_10_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.25  100.83 ^ mprj/u_wb_host/clkbuf_leaf_10_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           mprj/u_wb_host/clknet_leaf_10_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00  100.83 ^ mprj/u_wb_host/_5632_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                         -0.25  100.58   clock uncertainty
+                          0.04  100.62   clock reconvergence pessimism
+                         -0.04  100.58   library setup time
+                                100.58   data required time
+-----------------------------------------------------------------------------
+                                100.58   data required time
+                                 -4.82   data arrival time
+-----------------------------------------------------------------------------
+                                 95.77   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6362_
+            (rising edge-triggered flip-flop clocked by uart_clk)
+Endpoint: mprj/u_wb_host/_6347_
+          (rising edge-triggered flip-flop clocked by uart_clk)
+Path Group: uart_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.62 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    0.89 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_12_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.89 ^ mprj/u_wb_host/_6362_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.10    0.45    1.34 v mprj/u_wb_host/_6362_/Q (sky130_fd_sc_hd__dfrtp_1)
+     3    0.02                           mprj/u_wb_host/u_uart2wb.u_msg.State[0] (net)
+                  0.10    0.00    1.34 v mprj/u_wb_host/fanout557/A (sky130_fd_sc_hd__buf_4)
+                  0.10    0.23    1.58 v mprj/u_wb_host/fanout557/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_wb_host/net557 (net)
+                  0.10    0.00    1.58 v mprj/u_wb_host/_2678_/A (sky130_fd_sc_hd__inv_2)
+                  0.12    0.14    1.72 ^ mprj/u_wb_host/_2678_/Y (sky130_fd_sc_hd__inv_2)
+     4    0.03                           mprj/u_wb_host/_1099_ (net)
+                  0.12    0.00    1.72 ^ mprj/u_wb_host/fanout534/A (sky130_fd_sc_hd__buf_4)
+                  0.23    0.28    2.00 ^ mprj/u_wb_host/fanout534/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net534 (net)
+                  0.23    0.00    2.00 ^ mprj/u_wb_host/_3290_/A (sky130_fd_sc_hd__nor2_1)
+                  0.06    0.08    2.08 v mprj/u_wb_host/_3290_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.00                           mprj/u_wb_host/_1512_ (net)
+                  0.06    0.00    2.08 v mprj/u_wb_host/fanout483/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.21    2.29 v mprj/u_wb_host/fanout483/X (sky130_fd_sc_hd__buf_2)
+    12    0.05                           mprj/u_wb_host/net483 (net)
+                  0.11    0.00    2.29 v mprj/u_wb_host/fanout482/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.13    0.26    2.56 v mprj/u_wb_host/fanout482/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.06                           mprj/u_wb_host/net482 (net)
+                  0.13    0.00    2.56 v mprj/u_wb_host/fanout481/A (sky130_fd_sc_hd__buf_2)
+                  0.10    0.23    2.79 v mprj/u_wb_host/fanout481/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_wb_host/net481 (net)
+                  0.10    0.00    2.79 v mprj/u_wb_host/_3356_/A1 (sky130_fd_sc_hd__a211o_2)
+                  0.09    0.38    3.17 v mprj/u_wb_host/_3356_/X (sky130_fd_sc_hd__a211o_2)
+     6    0.02                           mprj/u_wb_host/_1538_ (net)
+                  0.09    0.00    3.17 v mprj/u_wb_host/_3357_/B (sky130_fd_sc_hd__nor2_1)
+                  0.43    0.38    3.55 ^ mprj/u_wb_host/_3357_/Y (sky130_fd_sc_hd__nor2_1)
+     4    0.02                           mprj/u_wb_host/_1539_ (net)
+                  0.43    0.00    3.55 ^ mprj/u_wb_host/fanout432/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.18    0.36    3.91 ^ mprj/u_wb_host/fanout432/X (sky130_fd_sc_hd__clkbuf_4)
+    18    0.06                           mprj/u_wb_host/net432 (net)
+                  0.18    0.00    3.91 ^ mprj/u_wb_host/fanout431/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.24    4.15 ^ mprj/u_wb_host/fanout431/X (sky130_fd_sc_hd__clkbuf_2)
+     5    0.03                           mprj/u_wb_host/net431 (net)
+                  0.16    0.00    4.15 ^ mprj/u_wb_host/fanout429/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.15    0.27    4.41 ^ mprj/u_wb_host/fanout429/X (sky130_fd_sc_hd__clkbuf_4)
+    10    0.05                           mprj/u_wb_host/net429 (net)
+                  0.15    0.00    4.42 ^ mprj/u_wb_host/_4978_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.34    4.76 v mprj/u_wb_host/_4978_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0867_ (net)
+                  0.05    0.00    4.76 v mprj/u_wb_host/_6347_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  4.76   data arrival time
+
+                        100.00  100.00   clock uart_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14  100.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00  100.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12  100.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00  100.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31  100.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01  100.58 ^ mprj/u_wb_host/clkbuf_leaf_8_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.25  100.83 ^ mprj/u_wb_host/clkbuf_leaf_8_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_8_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00  100.83 ^ mprj/u_wb_host/_6347_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                         -0.25  100.58   clock uncertainty
+                          0.04  100.62   clock reconvergence pessimism
+                         -0.10  100.52   library setup time
+                                100.52   data required time
+-----------------------------------------------------------------------------
+                                100.52   data required time
+                                 -4.76   data arrival time
+-----------------------------------------------------------------------------
+                                 95.77   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6362_
+            (rising edge-triggered flip-flop clocked by uart_clk)
+Endpoint: mprj/u_wb_host/_5620_
+          (rising edge-triggered flip-flop clocked by uart_clk)
+Path Group: uart_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.62 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    0.89 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_12_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.89 ^ mprj/u_wb_host/_6362_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.10    0.45    1.34 v mprj/u_wb_host/_6362_/Q (sky130_fd_sc_hd__dfrtp_1)
+     3    0.02                           mprj/u_wb_host/u_uart2wb.u_msg.State[0] (net)
+                  0.10    0.00    1.34 v mprj/u_wb_host/fanout557/A (sky130_fd_sc_hd__buf_4)
+                  0.10    0.23    1.58 v mprj/u_wb_host/fanout557/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_wb_host/net557 (net)
+                  0.10    0.00    1.58 v mprj/u_wb_host/_2678_/A (sky130_fd_sc_hd__inv_2)
+                  0.12    0.14    1.72 ^ mprj/u_wb_host/_2678_/Y (sky130_fd_sc_hd__inv_2)
+     4    0.03                           mprj/u_wb_host/_1099_ (net)
+                  0.12    0.00    1.72 ^ mprj/u_wb_host/fanout534/A (sky130_fd_sc_hd__buf_4)
+                  0.23    0.28    2.00 ^ mprj/u_wb_host/fanout534/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net534 (net)
+                  0.23    0.00    2.00 ^ mprj/u_wb_host/_3290_/A (sky130_fd_sc_hd__nor2_1)
+                  0.06    0.08    2.08 v mprj/u_wb_host/_3290_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.00                           mprj/u_wb_host/_1512_ (net)
+                  0.06    0.00    2.08 v mprj/u_wb_host/fanout483/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.21    2.29 v mprj/u_wb_host/fanout483/X (sky130_fd_sc_hd__buf_2)
+    12    0.05                           mprj/u_wb_host/net483 (net)
+                  0.11    0.00    2.29 v mprj/u_wb_host/fanout482/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.13    0.26    2.56 v mprj/u_wb_host/fanout482/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.06                           mprj/u_wb_host/net482 (net)
+                  0.13    0.00    2.56 v mprj/u_wb_host/fanout481/A (sky130_fd_sc_hd__buf_2)
+                  0.10    0.23    2.79 v mprj/u_wb_host/fanout481/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_wb_host/net481 (net)
+                  0.10    0.00    2.79 v mprj/u_wb_host/_3356_/A1 (sky130_fd_sc_hd__a211o_2)
+                  0.09    0.38    3.17 v mprj/u_wb_host/_3356_/X (sky130_fd_sc_hd__a211o_2)
+     6    0.02                           mprj/u_wb_host/_1538_ (net)
+                  0.09    0.00    3.17 v mprj/u_wb_host/_3357_/B (sky130_fd_sc_hd__nor2_1)
+                  0.43    0.38    3.55 ^ mprj/u_wb_host/_3357_/Y (sky130_fd_sc_hd__nor2_1)
+     4    0.02                           mprj/u_wb_host/_1539_ (net)
+                  0.43    0.00    3.55 ^ mprj/u_wb_host/fanout432/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.18    0.36    3.91 ^ mprj/u_wb_host/fanout432/X (sky130_fd_sc_hd__clkbuf_4)
+    18    0.06                           mprj/u_wb_host/net432 (net)
+                  0.18    0.00    3.91 ^ mprj/u_wb_host/fanout431/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.24    4.15 ^ mprj/u_wb_host/fanout431/X (sky130_fd_sc_hd__clkbuf_2)
+     5    0.03                           mprj/u_wb_host/net431 (net)
+                  0.16    0.00    4.15 ^ mprj/u_wb_host/fanout429/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.15    0.27    4.41 ^ mprj/u_wb_host/fanout429/X (sky130_fd_sc_hd__clkbuf_4)
+    10    0.05                           mprj/u_wb_host/net429 (net)
+                  0.15    0.00    4.42 ^ mprj/u_wb_host/_3495_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.34    4.76 v mprj/u_wb_host/_3495_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0158_ (net)
+                  0.05    0.00    4.76 v mprj/u_wb_host/_5620_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  4.76   data arrival time
+
+                        100.00  100.00   clock uart_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14  100.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00  100.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12  100.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00  100.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31  100.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01  100.58 ^ mprj/u_wb_host/clkbuf_leaf_10_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.25  100.83 ^ mprj/u_wb_host/clkbuf_leaf_10_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           mprj/u_wb_host/clknet_leaf_10_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00  100.83 ^ mprj/u_wb_host/_5620_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                         -0.25  100.58   clock uncertainty
+                          0.04  100.62   clock reconvergence pessimism
+                         -0.10  100.52   library setup time
+                                100.52   data required time
+-----------------------------------------------------------------------------
+                                100.52   data required time
+                                 -4.76   data arrival time
+-----------------------------------------------------------------------------
+                                 95.77   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6362_
+            (rising edge-triggered flip-flop clocked by uart_clk)
+Endpoint: mprj/u_wb_host/_6351_
+          (rising edge-triggered flip-flop clocked by uart_clk)
+Path Group: uart_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.62 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    0.89 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_12_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.89 ^ mprj/u_wb_host/_6362_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.10    0.45    1.34 v mprj/u_wb_host/_6362_/Q (sky130_fd_sc_hd__dfrtp_1)
+     3    0.02                           mprj/u_wb_host/u_uart2wb.u_msg.State[0] (net)
+                  0.10    0.00    1.34 v mprj/u_wb_host/fanout557/A (sky130_fd_sc_hd__buf_4)
+                  0.10    0.23    1.58 v mprj/u_wb_host/fanout557/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_wb_host/net557 (net)
+                  0.10    0.00    1.58 v mprj/u_wb_host/_2678_/A (sky130_fd_sc_hd__inv_2)
+                  0.12    0.14    1.72 ^ mprj/u_wb_host/_2678_/Y (sky130_fd_sc_hd__inv_2)
+     4    0.03                           mprj/u_wb_host/_1099_ (net)
+                  0.12    0.00    1.72 ^ mprj/u_wb_host/fanout534/A (sky130_fd_sc_hd__buf_4)
+                  0.23    0.28    2.00 ^ mprj/u_wb_host/fanout534/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net534 (net)
+                  0.23    0.00    2.00 ^ mprj/u_wb_host/_3290_/A (sky130_fd_sc_hd__nor2_1)
+                  0.06    0.08    2.08 v mprj/u_wb_host/_3290_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.00                           mprj/u_wb_host/_1512_ (net)
+                  0.06    0.00    2.08 v mprj/u_wb_host/fanout483/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.21    2.29 v mprj/u_wb_host/fanout483/X (sky130_fd_sc_hd__buf_2)
+    12    0.05                           mprj/u_wb_host/net483 (net)
+                  0.11    0.00    2.29 v mprj/u_wb_host/fanout482/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.13    0.26    2.56 v mprj/u_wb_host/fanout482/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.06                           mprj/u_wb_host/net482 (net)
+                  0.13    0.00    2.56 v mprj/u_wb_host/fanout481/A (sky130_fd_sc_hd__buf_2)
+                  0.10    0.23    2.79 v mprj/u_wb_host/fanout481/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_wb_host/net481 (net)
+                  0.10    0.00    2.79 v mprj/u_wb_host/_3356_/A1 (sky130_fd_sc_hd__a211o_2)
+                  0.09    0.38    3.17 v mprj/u_wb_host/_3356_/X (sky130_fd_sc_hd__a211o_2)
+     6    0.02                           mprj/u_wb_host/_1538_ (net)
+                  0.09    0.00    3.17 v mprj/u_wb_host/_3357_/B (sky130_fd_sc_hd__nor2_1)
+                  0.43    0.38    3.55 ^ mprj/u_wb_host/_3357_/Y (sky130_fd_sc_hd__nor2_1)
+     4    0.02                           mprj/u_wb_host/_1539_ (net)
+                  0.43    0.00    3.55 ^ mprj/u_wb_host/fanout432/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.18    0.36    3.91 ^ mprj/u_wb_host/fanout432/X (sky130_fd_sc_hd__clkbuf_4)
+    18    0.06                           mprj/u_wb_host/net432 (net)
+                  0.18    0.00    3.91 ^ mprj/u_wb_host/fanout431/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.24    4.15 ^ mprj/u_wb_host/fanout431/X (sky130_fd_sc_hd__clkbuf_2)
+     5    0.03                           mprj/u_wb_host/net431 (net)
+                  0.16    0.00    4.15 ^ mprj/u_wb_host/fanout430/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.14    0.25    4.40 ^ mprj/u_wb_host/fanout430/X (sky130_fd_sc_hd__clkbuf_4)
+    10    0.04                           mprj/u_wb_host/net430 (net)
+                  0.14    0.00    4.41 ^ mprj/u_wb_host/_4987_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.34    4.74 v mprj/u_wb_host/_4987_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0871_ (net)
+                  0.05    0.00    4.74 v mprj/u_wb_host/_6351_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  4.74   data arrival time
+
+                        100.00  100.00   clock uart_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14  100.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00  100.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12  100.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00  100.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31  100.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01  100.58 ^ mprj/u_wb_host/clkbuf_leaf_6_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.25  100.82 ^ mprj/u_wb_host/clkbuf_leaf_6_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_6_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00  100.82 ^ mprj/u_wb_host/_6351_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                         -0.25  100.57   clock uncertainty
+                          0.04  100.62   clock reconvergence pessimism
+                         -0.10  100.52   library setup time
+                                100.52   data required time
+-----------------------------------------------------------------------------
+                                100.52   data required time
+                                 -4.74   data arrival time
+-----------------------------------------------------------------------------
+                                 95.78   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6362_
+            (rising edge-triggered flip-flop clocked by uart_clk)
+Endpoint: mprj/u_wb_host/_5636_
+          (rising edge-triggered flip-flop clocked by uart_clk)
+Path Group: uart_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.62 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    0.89 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_12_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.89 ^ mprj/u_wb_host/_6362_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.10    0.45    1.34 v mprj/u_wb_host/_6362_/Q (sky130_fd_sc_hd__dfrtp_1)
+     3    0.02                           mprj/u_wb_host/u_uart2wb.u_msg.State[0] (net)
+                  0.10    0.00    1.34 v mprj/u_wb_host/fanout557/A (sky130_fd_sc_hd__buf_4)
+                  0.10    0.23    1.58 v mprj/u_wb_host/fanout557/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_wb_host/net557 (net)
+                  0.10    0.00    1.58 v mprj/u_wb_host/_2678_/A (sky130_fd_sc_hd__inv_2)
+                  0.12    0.14    1.72 ^ mprj/u_wb_host/_2678_/Y (sky130_fd_sc_hd__inv_2)
+     4    0.03                           mprj/u_wb_host/_1099_ (net)
+                  0.12    0.00    1.72 ^ mprj/u_wb_host/fanout534/A (sky130_fd_sc_hd__buf_4)
+                  0.23    0.28    2.00 ^ mprj/u_wb_host/fanout534/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net534 (net)
+                  0.23    0.00    2.00 ^ mprj/u_wb_host/_3290_/A (sky130_fd_sc_hd__nor2_1)
+                  0.06    0.08    2.08 v mprj/u_wb_host/_3290_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.00                           mprj/u_wb_host/_1512_ (net)
+                  0.06    0.00    2.08 v mprj/u_wb_host/fanout483/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.21    2.29 v mprj/u_wb_host/fanout483/X (sky130_fd_sc_hd__buf_2)
+    12    0.05                           mprj/u_wb_host/net483 (net)
+                  0.11    0.00    2.29 v mprj/u_wb_host/fanout482/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.13    0.26    2.56 v mprj/u_wb_host/fanout482/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.06                           mprj/u_wb_host/net482 (net)
+                  0.13    0.00    2.56 v mprj/u_wb_host/fanout481/A (sky130_fd_sc_hd__buf_2)
+                  0.10    0.23    2.79 v mprj/u_wb_host/fanout481/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_wb_host/net481 (net)
+                  0.10    0.00    2.79 v mprj/u_wb_host/_3356_/A1 (sky130_fd_sc_hd__a211o_2)
+                  0.09    0.38    3.17 v mprj/u_wb_host/_3356_/X (sky130_fd_sc_hd__a211o_2)
+     6    0.02                           mprj/u_wb_host/_1538_ (net)
+                  0.09    0.00    3.17 v mprj/u_wb_host/_3357_/B (sky130_fd_sc_hd__nor2_1)
+                  0.43    0.38    3.55 ^ mprj/u_wb_host/_3357_/Y (sky130_fd_sc_hd__nor2_1)
+     4    0.02                           mprj/u_wb_host/_1539_ (net)
+                  0.43    0.00    3.55 ^ mprj/u_wb_host/fanout432/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.18    0.36    3.91 ^ mprj/u_wb_host/fanout432/X (sky130_fd_sc_hd__clkbuf_4)
+    18    0.06                           mprj/u_wb_host/net432 (net)
+                  0.18    0.00    3.91 ^ mprj/u_wb_host/fanout431/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.24    4.15 ^ mprj/u_wb_host/fanout431/X (sky130_fd_sc_hd__clkbuf_2)
+     5    0.03                           mprj/u_wb_host/net431 (net)
+                  0.16    0.00    4.15 ^ mprj/u_wb_host/fanout430/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.14    0.25    4.40 ^ mprj/u_wb_host/fanout430/X (sky130_fd_sc_hd__clkbuf_4)
+    10    0.04                           mprj/u_wb_host/net430 (net)
+                  0.14    0.00    4.40 ^ mprj/u_wb_host/_3547_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.34    4.74 v mprj/u_wb_host/_3547_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0174_ (net)
+                  0.05    0.00    4.74 v mprj/u_wb_host/_5636_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  4.74   data arrival time
+
+                        100.00  100.00   clock uart_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14  100.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00  100.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12  100.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00  100.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31  100.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01  100.58 ^ mprj/u_wb_host/clkbuf_leaf_10_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.25  100.83 ^ mprj/u_wb_host/clkbuf_leaf_10_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           mprj/u_wb_host/clknet_leaf_10_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00  100.83 ^ mprj/u_wb_host/_5636_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                         -0.25  100.58   clock uncertainty
+                          0.04  100.62   clock reconvergence pessimism
+                         -0.10  100.52   library setup time
+                                100.52   data required time
+-----------------------------------------------------------------------------
+                                100.52   data required time
+                                 -4.74   data arrival time
+-----------------------------------------------------------------------------
+                                 95.78   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6362_
+            (rising edge-triggered flip-flop clocked by uart_clk)
+Endpoint: mprj/u_wb_host/_5586_
+          (rising edge-triggered flip-flop clocked by uart_clk)
+Path Group: uart_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.62 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    0.89 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_12_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.89 ^ mprj/u_wb_host/_6362_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.10    0.45    1.34 v mprj/u_wb_host/_6362_/Q (sky130_fd_sc_hd__dfrtp_1)
+     3    0.02                           mprj/u_wb_host/u_uart2wb.u_msg.State[0] (net)
+                  0.10    0.00    1.34 v mprj/u_wb_host/fanout557/A (sky130_fd_sc_hd__buf_4)
+                  0.10    0.23    1.58 v mprj/u_wb_host/fanout557/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_wb_host/net557 (net)
+                  0.10    0.00    1.58 v mprj/u_wb_host/_2678_/A (sky130_fd_sc_hd__inv_2)
+                  0.12    0.14    1.72 ^ mprj/u_wb_host/_2678_/Y (sky130_fd_sc_hd__inv_2)
+     4    0.03                           mprj/u_wb_host/_1099_ (net)
+                  0.12    0.00    1.72 ^ mprj/u_wb_host/fanout534/A (sky130_fd_sc_hd__buf_4)
+                  0.23    0.28    2.00 ^ mprj/u_wb_host/fanout534/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net534 (net)
+                  0.23    0.00    2.00 ^ mprj/u_wb_host/_3290_/A (sky130_fd_sc_hd__nor2_1)
+                  0.06    0.08    2.08 v mprj/u_wb_host/_3290_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.00                           mprj/u_wb_host/_1512_ (net)
+                  0.06    0.00    2.08 v mprj/u_wb_host/fanout483/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.21    2.29 v mprj/u_wb_host/fanout483/X (sky130_fd_sc_hd__buf_2)
+    12    0.05                           mprj/u_wb_host/net483 (net)
+                  0.11    0.00    2.29 v mprj/u_wb_host/fanout482/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.13    0.26    2.56 v mprj/u_wb_host/fanout482/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.06                           mprj/u_wb_host/net482 (net)
+                  0.13    0.00    2.56 v mprj/u_wb_host/fanout481/A (sky130_fd_sc_hd__buf_2)
+                  0.10    0.23    2.79 v mprj/u_wb_host/fanout481/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_wb_host/net481 (net)
+                  0.10    0.00    2.79 v mprj/u_wb_host/_3356_/A1 (sky130_fd_sc_hd__a211o_2)
+                  0.09    0.38    3.17 v mprj/u_wb_host/_3356_/X (sky130_fd_sc_hd__a211o_2)
+     6    0.02                           mprj/u_wb_host/_1538_ (net)
+                  0.09    0.00    3.17 v mprj/u_wb_host/_3357_/B (sky130_fd_sc_hd__nor2_1)
+                  0.43    0.38    3.55 ^ mprj/u_wb_host/_3357_/Y (sky130_fd_sc_hd__nor2_1)
+     4    0.02                           mprj/u_wb_host/_1539_ (net)
+                  0.43    0.00    3.55 ^ mprj/u_wb_host/fanout428/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.25    0.36    3.91 ^ mprj/u_wb_host/fanout428/X (sky130_fd_sc_hd__clkbuf_2)
+    14    0.05                           mprj/u_wb_host/net428 (net)
+                  0.25    0.00    3.91 ^ mprj/u_wb_host/fanout427/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.20    0.33    4.24 ^ mprj/u_wb_host/fanout427/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.07                           mprj/u_wb_host/net427 (net)
+                  0.20    0.00    4.25 ^ mprj/u_wb_host/fanout426/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.15    0.28    4.53 ^ mprj/u_wb_host/fanout426/X (sky130_fd_sc_hd__clkbuf_4)
+    10    0.05                           mprj/u_wb_host/net426 (net)
+                  0.15    0.00    4.53 ^ mprj/u_wb_host/_3375_/C1 (sky130_fd_sc_hd__o211a_1)
+                  0.05    0.18    4.71 ^ mprj/u_wb_host/_3375_/X (sky130_fd_sc_hd__o211a_1)
+     1    0.00                           mprj/u_wb_host/_1552_ (net)
+                  0.05    0.00    4.71 ^ mprj/u_wb_host/_3376_/B1 (sky130_fd_sc_hd__a21o_1)
+                  0.04    0.08    4.79 ^ mprj/u_wb_host/_3376_/X (sky130_fd_sc_hd__a21o_1)
+     1    0.00                           mprj/u_wb_host/_0124_ (net)
+                  0.04    0.00    4.79 ^ mprj/u_wb_host/_5586_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  4.79   data arrival time
+
+                        100.00  100.00   clock uart_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14  100.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00  100.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12  100.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00  100.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31  100.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.00  100.57 ^ mprj/u_wb_host/clkbuf_leaf_5_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.25  100.82 ^ mprj/u_wb_host/clkbuf_leaf_5_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_5_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00  100.82 ^ mprj/u_wb_host/_5586_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                         -0.25  100.57   clock uncertainty
+                          0.04  100.62   clock reconvergence pessimism
+                         -0.04  100.57   library setup time
+                                100.57   data required time
+-----------------------------------------------------------------------------
+                                100.57   data required time
+                                 -4.79   data arrival time
+-----------------------------------------------------------------------------
+                                 95.79   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6363_
+            (rising edge-triggered flip-flop clocked by uart_clk)
+Endpoint: mprj/u_wb_host/_6394_
+          (rising edge-triggered flip-flop clocked by uart_clk)
+Path Group: uart_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.00    0.62 ^ mprj/u_wb_host/clkbuf_leaf_11_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.27    0.88 ^ mprj/u_wb_host/clkbuf_leaf_11_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_11_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.88 ^ mprj/u_wb_host/_6363_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.12    0.47    1.35 v mprj/u_wb_host/_6363_/Q (sky130_fd_sc_hd__dfrtp_1)
+     3    0.02                           mprj/u_wb_host/u_uart2wb.u_msg.State[1] (net)
+                  0.12    0.00    1.35 v mprj/u_wb_host/fanout556/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.13    0.27    1.62 v mprj/u_wb_host/fanout556/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.07                           mprj/u_wb_host/net556 (net)
+                  0.13    0.00    1.63 v mprj/u_wb_host/_3289_/A (sky130_fd_sc_hd__or3b_2)
+                  0.12    0.58    2.21 v mprj/u_wb_host/_3289_/X (sky130_fd_sc_hd__or3b_2)
+     4    0.02                           mprj/u_wb_host/_1511_ (net)
+                  0.12    0.00    2.21 v mprj/u_wb_host/_3290_/B (sky130_fd_sc_hd__nor2_1)
+                  0.08    0.12    2.33 ^ mprj/u_wb_host/_3290_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.00                           mprj/u_wb_host/_1512_ (net)
+                  0.08    0.00    2.33 ^ mprj/u_wb_host/fanout483/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    2.60 ^ mprj/u_wb_host/fanout483/X (sky130_fd_sc_hd__buf_2)
+    12    0.05                           mprj/u_wb_host/net483 (net)
+                  0.24    0.00    2.60 ^ mprj/u_wb_host/fanout482/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.20    0.33    2.92 ^ mprj/u_wb_host/fanout482/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.07                           mprj/u_wb_host/net482 (net)
+                  0.20    0.00    2.92 ^ mprj/u_wb_host/fanout481/A (sky130_fd_sc_hd__buf_2)
+                  0.20    0.28    3.20 ^ mprj/u_wb_host/fanout481/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_wb_host/net481 (net)
+                  0.20    0.00    3.20 ^ mprj/u_wb_host/_3356_/A1 (sky130_fd_sc_hd__a211o_2)
+                  0.12    0.24    3.44 ^ mprj/u_wb_host/_3356_/X (sky130_fd_sc_hd__a211o_2)
+     6    0.02                           mprj/u_wb_host/_1538_ (net)
+                  0.12    0.00    3.44 ^ mprj/u_wb_host/_5002_/B (sky130_fd_sc_hd__nor2_2)
+                  0.08    0.10    3.54 v mprj/u_wb_host/_5002_/Y (sky130_fd_sc_hd__nor2_2)
+     6    0.03                           mprj/u_wb_host/_2427_ (net)
+                  0.08    0.00    3.54 v mprj/u_wb_host/_5046_/A (sky130_fd_sc_hd__nor2_1)
+                  0.11    0.14    3.68 ^ mprj/u_wb_host/_5046_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.00                           mprj/u_wb_host/_2461_ (net)
+                  0.11    0.00    3.68 ^ mprj/u_wb_host/fanout374/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.22    3.90 ^ mprj/u_wb_host/fanout374/X (sky130_fd_sc_hd__clkbuf_2)
+     5    0.03                           mprj/u_wb_host/net374 (net)
+                  0.16    0.00    3.90 ^ mprj/u_wb_host/fanout371/A (sky130_fd_sc_hd__buf_2)
+                  0.21    0.27    4.17 ^ mprj/u_wb_host/fanout371/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_wb_host/net371 (net)
+                  0.21    0.00    4.17 ^ mprj/u_wb_host/_5089_/B (sky130_fd_sc_hd__nor2_1)
+                  0.06    0.08    4.25 v mprj/u_wb_host/_5089_/Y (sky130_fd_sc_hd__nor2_1)
+     2    0.00                           mprj/u_wb_host/_2476_ (net)
+                  0.06    0.00    4.25 v mprj/u_wb_host/_5090_/B (sky130_fd_sc_hd__or2_1)
+                  0.05    0.22    4.47 v mprj/u_wb_host/_5090_/X (sky130_fd_sc_hd__or2_1)
+     1    0.00                           mprj/u_wb_host/_2477_ (net)
+                  0.05    0.00    4.47 v mprj/u_wb_host/_5091_/C1 (sky130_fd_sc_hd__a221o_1)
+                  0.05    0.26    4.72 v mprj/u_wb_host/_5091_/X (sky130_fd_sc_hd__a221o_1)
+     1    0.00                           mprj/u_wb_host/_0914_ (net)
+                  0.05    0.00    4.72 v mprj/u_wb_host/_6394_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  4.72   data arrival time
+
+                        100.00  100.00   clock uart_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14  100.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00  100.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12  100.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00  100.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31  100.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01  100.58 ^ mprj/u_wb_host/clkbuf_leaf_7_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.09    0.24  100.82 ^ mprj/u_wb_host/clkbuf_leaf_7_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.07                           mprj/u_wb_host/clknet_leaf_7_u_uart2wb.baud_clk_16x (net)
+                  0.09    0.00  100.82 ^ mprj/u_wb_host/_6394_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                         -0.25  100.57   clock uncertainty
+                          0.04  100.61   clock reconvergence pessimism
+                         -0.10  100.51   library setup time
+                                100.51   data required time
+-----------------------------------------------------------------------------
+                                100.51   data required time
+                                 -4.72   data arrival time
+-----------------------------------------------------------------------------
+                                 95.79   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6362_
             (rising edge-triggered flip-flop clocked by uart_clk)
 Endpoint: mprj/u_wb_host/_6352_
           (rising edge-triggered flip-flop clocked by uart_clk)
@@ -149456,1456 +176377,1074 @@
 -----------------------------------------------------------------------------
                           0.00    0.00   clock uart_clk (rise edge)
                           0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.15    0.15 ^ mprj/u_wb_host/_6369_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.12    0.88    1.04 v mprj/u_wb_host/_6369_/Q (sky130_fd_sc_hd__dfrtp_4)
-    10    0.05                           mprj/u_wb_host/u_uart2wb.u_msg.State[1] (net)
-                  0.12    0.00    1.04 v mprj/u_wb_host/_3298_/A (sky130_fd_sc_hd__or3b_4)
-                  0.13    0.53    1.57 v mprj/u_wb_host/_3298_/X (sky130_fd_sc_hd__or3b_4)
-     6    0.05                           mprj/u_wb_host/_1517_ (net)
-                  0.13    0.00    1.57 v mprj/u_wb_host/_3299_/B (sky130_fd_sc_hd__nor2_8)
-                  1.26    0.99    2.57 ^ mprj/u_wb_host/_3299_/Y (sky130_fd_sc_hd__nor2_8)
-   122    0.37                           mprj/u_wb_host/_1518_ (net)
-                  1.26    0.04    2.61 ^ mprj/u_wb_host/_3364_/A1 (sky130_fd_sc_hd__a211o_4)
-                  0.20    0.41    3.02 ^ mprj/u_wb_host/_3364_/X (sky130_fd_sc_hd__a211o_4)
-     8    0.07                           mprj/u_wb_host/_1543_ (net)
-                  0.20    0.00    3.02 ^ mprj/u_wb_host/_3366_/B (sky130_fd_sc_hd__or2_4)
-                  0.54    0.52    3.54 ^ mprj/u_wb_host/_3366_/X (sky130_fd_sc_hd__or2_4)
-    60    0.18                           mprj/u_wb_host/_1545_ (net)
-                  0.54    0.01    3.55 ^ mprj/u_wb_host/_4983_/A (sky130_fd_sc_hd__or3b_1)
-                  0.05    0.20    3.75 ^ mprj/u_wb_host/_4983_/X (sky130_fd_sc_hd__or3b_1)
-     1    0.00                           mprj/u_wb_host/_2415_ (net)
-                  0.05    0.00    3.75 ^ mprj/u_wb_host/_4984_/B1 (sky130_fd_sc_hd__o21a_1)
-                  0.06    0.11    3.86 ^ mprj/u_wb_host/_4984_/X (sky130_fd_sc_hd__o21a_1)
-     1    0.00                           mprj/u_wb_host/_0866_ (net)
-                  0.06    0.00    3.86 ^ mprj/u_wb_host/_6352_/D (sky130_fd_sc_hd__dfxtp_1)
-                                  3.86   data arrival time
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.62 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    0.89 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_12_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.89 ^ mprj/u_wb_host/_6362_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.10    0.45    1.34 v mprj/u_wb_host/_6362_/Q (sky130_fd_sc_hd__dfrtp_1)
+     3    0.02                           mprj/u_wb_host/u_uart2wb.u_msg.State[0] (net)
+                  0.10    0.00    1.34 v mprj/u_wb_host/fanout557/A (sky130_fd_sc_hd__buf_4)
+                  0.10    0.23    1.58 v mprj/u_wb_host/fanout557/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_wb_host/net557 (net)
+                  0.10    0.00    1.58 v mprj/u_wb_host/_2678_/A (sky130_fd_sc_hd__inv_2)
+                  0.12    0.14    1.72 ^ mprj/u_wb_host/_2678_/Y (sky130_fd_sc_hd__inv_2)
+     4    0.03                           mprj/u_wb_host/_1099_ (net)
+                  0.12    0.00    1.72 ^ mprj/u_wb_host/fanout534/A (sky130_fd_sc_hd__buf_4)
+                  0.23    0.28    2.00 ^ mprj/u_wb_host/fanout534/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net534 (net)
+                  0.23    0.00    2.00 ^ mprj/u_wb_host/_3290_/A (sky130_fd_sc_hd__nor2_1)
+                  0.06    0.08    2.08 v mprj/u_wb_host/_3290_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.00                           mprj/u_wb_host/_1512_ (net)
+                  0.06    0.00    2.08 v mprj/u_wb_host/fanout483/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.21    2.29 v mprj/u_wb_host/fanout483/X (sky130_fd_sc_hd__buf_2)
+    12    0.05                           mprj/u_wb_host/net483 (net)
+                  0.11    0.00    2.29 v mprj/u_wb_host/fanout482/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.13    0.26    2.56 v mprj/u_wb_host/fanout482/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.06                           mprj/u_wb_host/net482 (net)
+                  0.13    0.00    2.56 v mprj/u_wb_host/fanout481/A (sky130_fd_sc_hd__buf_2)
+                  0.10    0.23    2.79 v mprj/u_wb_host/fanout481/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_wb_host/net481 (net)
+                  0.10    0.00    2.79 v mprj/u_wb_host/_3356_/A1 (sky130_fd_sc_hd__a211o_2)
+                  0.09    0.38    3.17 v mprj/u_wb_host/_3356_/X (sky130_fd_sc_hd__a211o_2)
+     6    0.02                           mprj/u_wb_host/_1538_ (net)
+                  0.09    0.00    3.17 v mprj/u_wb_host/_3357_/B (sky130_fd_sc_hd__nor2_1)
+                  0.43    0.38    3.55 ^ mprj/u_wb_host/_3357_/Y (sky130_fd_sc_hd__nor2_1)
+     4    0.02                           mprj/u_wb_host/_1539_ (net)
+                  0.43    0.00    3.55 ^ mprj/u_wb_host/fanout432/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.18    0.36    3.91 ^ mprj/u_wb_host/fanout432/X (sky130_fd_sc_hd__clkbuf_4)
+    18    0.06                           mprj/u_wb_host/net432 (net)
+                  0.18    0.00    3.91 ^ mprj/u_wb_host/fanout431/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.24    4.15 ^ mprj/u_wb_host/fanout431/X (sky130_fd_sc_hd__clkbuf_2)
+     5    0.03                           mprj/u_wb_host/net431 (net)
+                  0.16    0.00    4.15 ^ mprj/u_wb_host/fanout430/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.14    0.25    4.40 ^ mprj/u_wb_host/fanout430/X (sky130_fd_sc_hd__clkbuf_4)
+    10    0.04                           mprj/u_wb_host/net430 (net)
+                  0.14    0.00    4.40 ^ mprj/u_wb_host/_4988_/C1 (sky130_fd_sc_hd__o2111a_1)
+                  0.05    0.19    4.60 ^ mprj/u_wb_host/_4988_/X (sky130_fd_sc_hd__o2111a_1)
+     1    0.00                           mprj/u_wb_host/_2417_ (net)
+                  0.05    0.00    4.60 ^ mprj/u_wb_host/_4989_/B1_N (sky130_fd_sc_hd__o21ba_1)
+                  0.03    0.14    4.74 v mprj/u_wb_host/_4989_/X (sky130_fd_sc_hd__o21ba_1)
+     1    0.00                           mprj/u_wb_host/_0872_ (net)
+                  0.03    0.00    4.74 v mprj/u_wb_host/_6352_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  4.74   data arrival time
 
                         100.00  100.00   clock uart_clk (rise edge)
                           0.00  100.00   clock source latency
-                  2.27    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.15  100.15 ^ mprj/u_wb_host/_6352_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                         -0.25   99.90   clock uncertainty
-                          0.00   99.90   clock reconvergence pessimism
-                          0.06   99.96   library setup time
-                                 99.96   data required time
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14  100.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00  100.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12  100.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00  100.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31  100.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01  100.58 ^ mprj/u_wb_host/clkbuf_leaf_10_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.25  100.83 ^ mprj/u_wb_host/clkbuf_leaf_10_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           mprj/u_wb_host/clknet_leaf_10_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00  100.83 ^ mprj/u_wb_host/_6352_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                         -0.25  100.58   clock uncertainty
+                          0.04  100.62   clock reconvergence pessimism
+                         -0.09  100.53   library setup time
+                                100.53   data required time
 -----------------------------------------------------------------------------
-                                 99.96   data required time
-                                 -3.86   data arrival time
+                                100.53   data required time
+                                 -4.74   data arrival time
 -----------------------------------------------------------------------------
-                                 96.10   slack (MET)
+                                 95.79   slack (MET)
 
 
-Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
-Endpoint: mprj/u_wb_host/_6560_
-          (rising edge-triggered flip-flop clocked by wb_clk)
-Path Group: wb_clk
+Startpoint: mprj/u_wb_host/_6362_
+            (rising edge-triggered flip-flop clocked by uart_clk)
+Endpoint: mprj/u_wb_host/_5581_
+          (rising edge-triggered flip-flop clocked by uart_clk)
+Path Group: uart_clk
 Path Type: max
 
 Fanout     Cap    Slew   Delay    Time   Description
 -----------------------------------------------------------------------------
-                          0.00    0.00   clock clk (rise edge)
+                          0.00    0.00   clock uart_clk (rise edge)
                           0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           soc/clknet_0_core_clk (net)
-                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_0_core_clk (net)
-                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_1_core_clk (net)
-                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_2_core_clk (net)
-                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_3_core_clk (net)
-                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_4_core_clk (net)
-                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           soc/clknet_1_1_5_core_clk (net)
-                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_1_1_6_core_clk (net)
-                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_2_3_0_core_clk (net)
-                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_2_3_1_core_clk (net)
-                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_0_core_clk (net)
-                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_1_core_clk (net)
-                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_3_7_2_core_clk (net)
-                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_4_14_0_core_clk (net)
-                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_5_28_0_core_clk (net)
-                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           soc/clknet_5_28_1_core_clk (net)
-                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           soc/clknet_leaf_243_core_clk (net)
-                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.11    0.39    6.87 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
-     1    0.01                           soc/core.grant[0] (net)
-                  0.11    0.00    6.87 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
-                  0.16    0.21    7.08 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
-    10    0.10                           soc/net3544 (net)
-                  0.16    0.00    7.08 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
-                  0.22    0.26    7.34 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
-    14    0.11                           soc/net3546 (net)
-                  0.22    0.02    7.36 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
-                  0.31    0.36    7.72 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
-    17    0.11                           soc/net3545 (net)
-                  0.32    0.02    7.75 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
-                  0.09    0.11    7.86 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
-     1    0.01                           soc/_13629_ (net)
-                  0.09    0.00    7.86 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
-                  0.05    0.16    8.02 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
-     4    0.04                           soc/net3233 (net)
-                  0.05    0.00    8.02 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
-                  0.11    0.18    8.20 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
-    16    0.14                           soc/net3235 (net)
-                  0.12    0.03    8.23 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
-                  0.06    0.19    8.42 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
-    12    0.06                           soc/net3231 (net)
-                  0.06    0.00    8.43 v soc/_14146_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.32    8.75 v soc/_14146_/X (sky130_fd_sc_hd__and2_2)
-    10    0.06                           soc/_13643_ (net)
-                  0.15    0.01    8.75 v soc/_14147_/C1 (sky130_fd_sc_hd__a221oi_4)
-                  0.73    0.63    9.38 ^ soc/_14147_/Y (sky130_fd_sc_hd__a221oi_4)
-     6    0.06                           soc/_13644_ (net)
-                  0.73    0.01    9.38 ^ soc/max_length2753/A (sky130_fd_sc_hd__buf_6)
-                  0.21    0.32    9.71 ^ soc/max_length2753/X (sky130_fd_sc_hd__buf_6)
-     9    0.10                           soc/net2753 (net)
-                  0.21    0.02    9.73 ^ soc/wire2751/A (sky130_fd_sc_hd__buf_4)
-                  0.25    0.32   10.05 ^ soc/wire2751/X (sky130_fd_sc_hd__buf_4)
-     4    0.09                           soc/net2751 (net)
-                  0.25    0.01   10.06 ^ soc/_14148_/A (sky130_fd_sc_hd__inv_12)
-                  0.09    0.09   10.15 v soc/_14148_/Y (sky130_fd_sc_hd__inv_12)
-     4    0.08                           soc/net634 (net)
-                  0.09    0.01   10.17 v soc/wire2404/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.19   10.36 v soc/wire2404/X (sky130_fd_sc_hd__buf_12)
-     7    0.17                           soc/net2404 (net)
-                  0.13    0.04   10.40 v soc/output634/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.16   10.56 v soc/output634/X (sky130_fd_sc_hd__buf_12)
-     3    0.01                           mprj_adr_o_core[2] (net)
-                  0.02    0.00   10.56 v mgmt_buffers/input410/A (sky130_fd_sc_hd__buf_6)
-                  0.04    0.13   10.69 v mgmt_buffers/input410/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mgmt_buffers/net410 (net)
-                  0.04    0.00   10.69 v mgmt_buffers/wire1596/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.16   10.85 v mgmt_buffers/wire1596/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1596 (net)
-                  0.07    0.01   10.86 v mgmt_buffers/wire1595/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.20   11.06 v mgmt_buffers/wire1595/X (sky130_fd_sc_hd__buf_6)
-     2    0.11                           mgmt_buffers/net1595 (net)
-                  0.10    0.01   11.07 v mgmt_buffers/wire1594/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19   11.25 v mgmt_buffers/wire1594/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1594 (net)
-                  0.07    0.01   11.26 v mgmt_buffers/wire1593/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.18   11.44 v mgmt_buffers/wire1593/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1593 (net)
-                  0.07    0.01   11.45 v mgmt_buffers/wire1592/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.17   11.62 v mgmt_buffers/wire1592/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1592 (net)
-                  0.07    0.01   11.63 v mgmt_buffers/_307_/B (sky130_fd_sc_hd__and2_4)
-                  0.06    0.21   11.83 v mgmt_buffers/_307_/X (sky130_fd_sc_hd__and2_4)
-     2    0.03                           mgmt_buffers/net870 (net)
-                  0.06    0.00   11.84 v mgmt_buffers/output870/A (sky130_fd_sc_hd__buf_8)
-                  0.02    0.12   11.96 v mgmt_buffers/output870/X (sky130_fd_sc_hd__buf_8)
-     2    0.00                           mprj_adr_o_user[2] (net)
-                  0.02    0.00   11.96 v mprj/u_wb_host/input53/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.05    0.11   12.06 v mprj/u_wb_host/input53/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_wb_host/net53 (net)
-                  0.05    0.00   12.06 v mprj/u_wb_host/_4056_/A (sky130_fd_sc_hd__or2_1)
-                  0.10    0.29   12.36 v mprj/u_wb_host/_4056_/X (sky130_fd_sc_hd__or2_1)
-     2    0.01                           mprj/u_wb_host/_2076_ (net)
-                  0.10    0.00   12.36 v mprj/u_wb_host/_4057_/B1 (sky130_fd_sc_hd__o21ai_4)
-                  2.08    0.76   13.12 ^ mprj/u_wb_host/_4057_/Y (sky130_fd_sc_hd__o21ai_4)
-    74    0.32                           mprj/u_wb_host/_2077_ (net)
-                  2.08    0.03   13.15 ^ mprj/u_wb_host/_4082_/A (sky130_fd_sc_hd__nand2_8)
-                  0.65    0.60   13.75 v mprj/u_wb_host/_4082_/Y (sky130_fd_sc_hd__nand2_8)
-    66    0.20                           mprj/u_wb_host/_2095_ (net)
-                  0.65    0.00   13.75 v mprj/u_wb_host/_5410_/C (sky130_fd_sc_hd__or3_4)
-                  0.34    0.87   14.62 v mprj/u_wb_host/_5410_/X (sky130_fd_sc_hd__or3_4)
-    64    0.24                           mprj/u_wb_host/_2673_ (net)
-                  0.34    0.03   14.65 v mprj/u_wb_host/_5419_/S (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.43   15.08 v mprj/u_wb_host/_5419_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_1043_ (net)
-                  0.06    0.00   15.08 v mprj/u_wb_host/_6560_/D (sky130_fd_sc_hd__dfrtp_2)
-                                 15.08   data arrival time
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.62 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    0.89 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_12_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.89 ^ mprj/u_wb_host/_6362_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.10    0.45    1.34 v mprj/u_wb_host/_6362_/Q (sky130_fd_sc_hd__dfrtp_1)
+     3    0.02                           mprj/u_wb_host/u_uart2wb.u_msg.State[0] (net)
+                  0.10    0.00    1.34 v mprj/u_wb_host/fanout557/A (sky130_fd_sc_hd__buf_4)
+                  0.10    0.23    1.58 v mprj/u_wb_host/fanout557/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_wb_host/net557 (net)
+                  0.10    0.00    1.58 v mprj/u_wb_host/_2678_/A (sky130_fd_sc_hd__inv_2)
+                  0.12    0.14    1.72 ^ mprj/u_wb_host/_2678_/Y (sky130_fd_sc_hd__inv_2)
+     4    0.03                           mprj/u_wb_host/_1099_ (net)
+                  0.12    0.00    1.72 ^ mprj/u_wb_host/fanout534/A (sky130_fd_sc_hd__buf_4)
+                  0.23    0.28    2.00 ^ mprj/u_wb_host/fanout534/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net534 (net)
+                  0.23    0.00    2.00 ^ mprj/u_wb_host/_3290_/A (sky130_fd_sc_hd__nor2_1)
+                  0.06    0.08    2.08 v mprj/u_wb_host/_3290_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.00                           mprj/u_wb_host/_1512_ (net)
+                  0.06    0.00    2.08 v mprj/u_wb_host/fanout483/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.21    2.29 v mprj/u_wb_host/fanout483/X (sky130_fd_sc_hd__buf_2)
+    12    0.05                           mprj/u_wb_host/net483 (net)
+                  0.11    0.00    2.29 v mprj/u_wb_host/fanout482/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.13    0.26    2.56 v mprj/u_wb_host/fanout482/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.06                           mprj/u_wb_host/net482 (net)
+                  0.13    0.00    2.56 v mprj/u_wb_host/fanout481/A (sky130_fd_sc_hd__buf_2)
+                  0.10    0.23    2.79 v mprj/u_wb_host/fanout481/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_wb_host/net481 (net)
+                  0.10    0.00    2.79 v mprj/u_wb_host/_3356_/A1 (sky130_fd_sc_hd__a211o_2)
+                  0.09    0.38    3.17 v mprj/u_wb_host/_3356_/X (sky130_fd_sc_hd__a211o_2)
+     6    0.02                           mprj/u_wb_host/_1538_ (net)
+                  0.09    0.00    3.17 v mprj/u_wb_host/_3357_/B (sky130_fd_sc_hd__nor2_1)
+                  0.43    0.38    3.55 ^ mprj/u_wb_host/_3357_/Y (sky130_fd_sc_hd__nor2_1)
+     4    0.02                           mprj/u_wb_host/_1539_ (net)
+                  0.43    0.00    3.55 ^ mprj/u_wb_host/fanout428/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.25    0.36    3.91 ^ mprj/u_wb_host/fanout428/X (sky130_fd_sc_hd__clkbuf_2)
+    14    0.05                           mprj/u_wb_host/net428 (net)
+                  0.25    0.00    3.91 ^ mprj/u_wb_host/fanout427/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.20    0.33    4.24 ^ mprj/u_wb_host/fanout427/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.07                           mprj/u_wb_host/net427 (net)
+                  0.20    0.00    4.25 ^ mprj/u_wb_host/fanout426/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.15    0.28    4.53 ^ mprj/u_wb_host/fanout426/X (sky130_fd_sc_hd__clkbuf_4)
+    10    0.05                           mprj/u_wb_host/net426 (net)
+                  0.15    0.00    4.53 ^ mprj/u_wb_host/_3359_/C1 (sky130_fd_sc_hd__o211a_1)
+                  0.05    0.17    4.70 ^ mprj/u_wb_host/_3359_/X (sky130_fd_sc_hd__o211a_1)
+     1    0.00                           mprj/u_wb_host/_1541_ (net)
+                  0.05    0.00    4.70 ^ mprj/u_wb_host/_3360_/B1 (sky130_fd_sc_hd__a21o_1)
+                  0.04    0.08    4.77 ^ mprj/u_wb_host/_3360_/X (sky130_fd_sc_hd__a21o_1)
+     1    0.00                           mprj/u_wb_host/_0119_ (net)
+                  0.04    0.00    4.77 ^ mprj/u_wb_host/_5581_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  4.77   data arrival time
 
-                         25.00   25.00   clock wb_clk (rise edge)
-                          0.00   25.00   clock source latency
-                  1.00    0.00   25.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10   29.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00   29.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13   29.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00   29.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14   29.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00   29.51 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   29.65 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_0_0_wbm_clk_i (net)
-                  0.05    0.00   29.65 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.21    0.24   29.89 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.12                           mprj/u_wb_host/clknet_3_0_0_wbm_clk_i (net)
-                  0.21    0.00   29.89 ^ mprj/u_wb_host/clkbuf_leaf_60_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.16   30.05 ^ mprj/u_wb_host/clkbuf_leaf_60_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.01                           mprj/u_wb_host/clknet_leaf_60_wbm_clk_i (net)
-                  0.03    0.00   30.05 ^ mprj/u_wb_host/_6560_/CLK (sky130_fd_sc_hd__dfrtp_2)
-                         -0.25   29.80   clock uncertainty
-                          0.95   30.76   clock reconvergence pessimism
-                         -0.12   30.63   library setup time
-                                 30.63   data required time
+                        100.00  100.00   clock uart_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14  100.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00  100.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12  100.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00  100.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31  100.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.00  100.57 ^ mprj/u_wb_host/clkbuf_leaf_5_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.25  100.82 ^ mprj/u_wb_host/clkbuf_leaf_5_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_5_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00  100.82 ^ mprj/u_wb_host/_5581_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                         -0.25  100.57   clock uncertainty
+                          0.04  100.62   clock reconvergence pessimism
+                         -0.04  100.58   library setup time
+                                100.58   data required time
 -----------------------------------------------------------------------------
-                                 30.63   data required time
-                                -15.08   data arrival time
+                                100.58   data required time
+                                 -4.77   data arrival time
 -----------------------------------------------------------------------------
-                                 15.55   slack (MET)
+                                 95.80   slack (MET)
 
 
-Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
-Endpoint: mprj/u_wb_host/_6570_
-          (rising edge-triggered flip-flop clocked by wb_clk)
-Path Group: wb_clk
+Startpoint: mprj/u_wb_host/_6362_
+            (rising edge-triggered flip-flop clocked by uart_clk)
+Endpoint: mprj/u_wb_host/_5617_
+          (rising edge-triggered flip-flop clocked by uart_clk)
+Path Group: uart_clk
 Path Type: max
 
 Fanout     Cap    Slew   Delay    Time   Description
 -----------------------------------------------------------------------------
-                          0.00    0.00   clock clk (rise edge)
+                          0.00    0.00   clock uart_clk (rise edge)
                           0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           soc/clknet_0_core_clk (net)
-                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_0_core_clk (net)
-                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_1_core_clk (net)
-                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_2_core_clk (net)
-                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_3_core_clk (net)
-                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_4_core_clk (net)
-                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           soc/clknet_1_1_5_core_clk (net)
-                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_1_1_6_core_clk (net)
-                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_2_3_0_core_clk (net)
-                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_2_3_1_core_clk (net)
-                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_0_core_clk (net)
-                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_1_core_clk (net)
-                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_3_7_2_core_clk (net)
-                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_4_14_0_core_clk (net)
-                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_5_28_0_core_clk (net)
-                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           soc/clknet_5_28_1_core_clk (net)
-                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           soc/clknet_leaf_243_core_clk (net)
-                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.11    0.39    6.87 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
-     1    0.01                           soc/core.grant[0] (net)
-                  0.11    0.00    6.87 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
-                  0.16    0.21    7.08 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
-    10    0.10                           soc/net3544 (net)
-                  0.16    0.00    7.08 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
-                  0.22    0.26    7.34 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
-    14    0.11                           soc/net3546 (net)
-                  0.22    0.02    7.36 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
-                  0.31    0.36    7.72 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
-    17    0.11                           soc/net3545 (net)
-                  0.32    0.02    7.75 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
-                  0.09    0.11    7.86 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
-     1    0.01                           soc/_13629_ (net)
-                  0.09    0.00    7.86 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
-                  0.05    0.16    8.02 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
-     4    0.04                           soc/net3233 (net)
-                  0.05    0.00    8.02 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
-                  0.11    0.18    8.20 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
-    16    0.14                           soc/net3235 (net)
-                  0.12    0.03    8.23 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
-                  0.06    0.19    8.42 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
-    12    0.06                           soc/net3231 (net)
-                  0.06    0.00    8.43 v soc/_14146_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.32    8.75 v soc/_14146_/X (sky130_fd_sc_hd__and2_2)
-    10    0.06                           soc/_13643_ (net)
-                  0.15    0.01    8.75 v soc/_14147_/C1 (sky130_fd_sc_hd__a221oi_4)
-                  0.73    0.63    9.38 ^ soc/_14147_/Y (sky130_fd_sc_hd__a221oi_4)
-     6    0.06                           soc/_13644_ (net)
-                  0.73    0.01    9.38 ^ soc/max_length2753/A (sky130_fd_sc_hd__buf_6)
-                  0.21    0.32    9.71 ^ soc/max_length2753/X (sky130_fd_sc_hd__buf_6)
-     9    0.10                           soc/net2753 (net)
-                  0.21    0.02    9.73 ^ soc/wire2751/A (sky130_fd_sc_hd__buf_4)
-                  0.25    0.32   10.05 ^ soc/wire2751/X (sky130_fd_sc_hd__buf_4)
-     4    0.09                           soc/net2751 (net)
-                  0.25    0.01   10.06 ^ soc/_14148_/A (sky130_fd_sc_hd__inv_12)
-                  0.09    0.09   10.15 v soc/_14148_/Y (sky130_fd_sc_hd__inv_12)
-     4    0.08                           soc/net634 (net)
-                  0.09    0.01   10.17 v soc/wire2404/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.19   10.36 v soc/wire2404/X (sky130_fd_sc_hd__buf_12)
-     7    0.17                           soc/net2404 (net)
-                  0.13    0.04   10.40 v soc/output634/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.16   10.56 v soc/output634/X (sky130_fd_sc_hd__buf_12)
-     3    0.01                           mprj_adr_o_core[2] (net)
-                  0.02    0.00   10.56 v mgmt_buffers/input410/A (sky130_fd_sc_hd__buf_6)
-                  0.04    0.13   10.69 v mgmt_buffers/input410/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mgmt_buffers/net410 (net)
-                  0.04    0.00   10.69 v mgmt_buffers/wire1596/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.16   10.85 v mgmt_buffers/wire1596/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1596 (net)
-                  0.07    0.01   10.86 v mgmt_buffers/wire1595/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.20   11.06 v mgmt_buffers/wire1595/X (sky130_fd_sc_hd__buf_6)
-     2    0.11                           mgmt_buffers/net1595 (net)
-                  0.10    0.01   11.07 v mgmt_buffers/wire1594/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19   11.25 v mgmt_buffers/wire1594/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1594 (net)
-                  0.07    0.01   11.26 v mgmt_buffers/wire1593/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.18   11.44 v mgmt_buffers/wire1593/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1593 (net)
-                  0.07    0.01   11.45 v mgmt_buffers/wire1592/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.17   11.62 v mgmt_buffers/wire1592/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1592 (net)
-                  0.07    0.01   11.63 v mgmt_buffers/_307_/B (sky130_fd_sc_hd__and2_4)
-                  0.06    0.21   11.83 v mgmt_buffers/_307_/X (sky130_fd_sc_hd__and2_4)
-     2    0.03                           mgmt_buffers/net870 (net)
-                  0.06    0.00   11.84 v mgmt_buffers/output870/A (sky130_fd_sc_hd__buf_8)
-                  0.02    0.12   11.96 v mgmt_buffers/output870/X (sky130_fd_sc_hd__buf_8)
-     2    0.00                           mprj_adr_o_user[2] (net)
-                  0.02    0.00   11.96 v mprj/u_wb_host/input53/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.05    0.11   12.06 v mprj/u_wb_host/input53/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_wb_host/net53 (net)
-                  0.05    0.00   12.06 v mprj/u_wb_host/_4056_/A (sky130_fd_sc_hd__or2_1)
-                  0.10    0.29   12.36 v mprj/u_wb_host/_4056_/X (sky130_fd_sc_hd__or2_1)
-     2    0.01                           mprj/u_wb_host/_2076_ (net)
-                  0.10    0.00   12.36 v mprj/u_wb_host/_4057_/B1 (sky130_fd_sc_hd__o21ai_4)
-                  2.08    0.76   13.12 ^ mprj/u_wb_host/_4057_/Y (sky130_fd_sc_hd__o21ai_4)
-    74    0.32                           mprj/u_wb_host/_2077_ (net)
-                  2.08    0.03   13.15 ^ mprj/u_wb_host/_4082_/A (sky130_fd_sc_hd__nand2_8)
-                  0.65    0.60   13.75 v mprj/u_wb_host/_4082_/Y (sky130_fd_sc_hd__nand2_8)
-    66    0.20                           mprj/u_wb_host/_2095_ (net)
-                  0.65    0.00   13.75 v mprj/u_wb_host/_5410_/C (sky130_fd_sc_hd__or3_4)
-                  0.34    0.87   14.62 v mprj/u_wb_host/_5410_/X (sky130_fd_sc_hd__or3_4)
-    64    0.24                           mprj/u_wb_host/_2673_ (net)
-                  0.35    0.05   14.67 v mprj/u_wb_host/_5429_/S (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.44   15.11 v mprj/u_wb_host/_5429_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_1053_ (net)
-                  0.06    0.00   15.11 v mprj/u_wb_host/_6570_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 15.11   data arrival time
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.62 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    0.89 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_12_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.89 ^ mprj/u_wb_host/_6362_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.10    0.45    1.34 v mprj/u_wb_host/_6362_/Q (sky130_fd_sc_hd__dfrtp_1)
+     3    0.02                           mprj/u_wb_host/u_uart2wb.u_msg.State[0] (net)
+                  0.10    0.00    1.34 v mprj/u_wb_host/fanout557/A (sky130_fd_sc_hd__buf_4)
+                  0.10    0.23    1.58 v mprj/u_wb_host/fanout557/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_wb_host/net557 (net)
+                  0.10    0.00    1.58 v mprj/u_wb_host/_2678_/A (sky130_fd_sc_hd__inv_2)
+                  0.12    0.14    1.72 ^ mprj/u_wb_host/_2678_/Y (sky130_fd_sc_hd__inv_2)
+     4    0.03                           mprj/u_wb_host/_1099_ (net)
+                  0.12    0.00    1.72 ^ mprj/u_wb_host/fanout534/A (sky130_fd_sc_hd__buf_4)
+                  0.23    0.28    2.00 ^ mprj/u_wb_host/fanout534/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net534 (net)
+                  0.23    0.00    2.00 ^ mprj/u_wb_host/_3290_/A (sky130_fd_sc_hd__nor2_1)
+                  0.06    0.08    2.08 v mprj/u_wb_host/_3290_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.00                           mprj/u_wb_host/_1512_ (net)
+                  0.06    0.00    2.08 v mprj/u_wb_host/fanout483/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.21    2.29 v mprj/u_wb_host/fanout483/X (sky130_fd_sc_hd__buf_2)
+    12    0.05                           mprj/u_wb_host/net483 (net)
+                  0.11    0.00    2.29 v mprj/u_wb_host/fanout482/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.13    0.26    2.56 v mprj/u_wb_host/fanout482/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.06                           mprj/u_wb_host/net482 (net)
+                  0.13    0.00    2.56 v mprj/u_wb_host/fanout481/A (sky130_fd_sc_hd__buf_2)
+                  0.10    0.23    2.79 v mprj/u_wb_host/fanout481/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_wb_host/net481 (net)
+                  0.10    0.00    2.79 v mprj/u_wb_host/_3356_/A1 (sky130_fd_sc_hd__a211o_2)
+                  0.09    0.38    3.17 v mprj/u_wb_host/_3356_/X (sky130_fd_sc_hd__a211o_2)
+     6    0.02                           mprj/u_wb_host/_1538_ (net)
+                  0.09    0.00    3.17 v mprj/u_wb_host/_3357_/B (sky130_fd_sc_hd__nor2_1)
+                  0.43    0.38    3.55 ^ mprj/u_wb_host/_3357_/Y (sky130_fd_sc_hd__nor2_1)
+     4    0.02                           mprj/u_wb_host/_1539_ (net)
+                  0.43    0.00    3.55 ^ mprj/u_wb_host/fanout428/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.25    0.36    3.91 ^ mprj/u_wb_host/fanout428/X (sky130_fd_sc_hd__clkbuf_2)
+    14    0.05                           mprj/u_wb_host/net428 (net)
+                  0.26    0.00    3.91 ^ mprj/u_wb_host/fanout424/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.21    0.34    4.25 ^ mprj/u_wb_host/fanout424/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.07                           mprj/u_wb_host/net424 (net)
+                  0.21    0.00    4.25 ^ mprj/u_wb_host/_3487_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.35    4.60 v mprj/u_wb_host/_3487_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0155_ (net)
+                  0.05    0.00    4.60 v mprj/u_wb_host/_5617_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  4.60   data arrival time
 
-                         25.00   25.00   clock wb_clk (rise edge)
-                          0.00   25.00   clock source latency
-                  1.00    0.00   25.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10   29.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00   29.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13   29.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00   29.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14   29.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00   29.51 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   29.65 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_0_0_wbm_clk_i (net)
-                  0.05    0.00   29.65 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.21    0.24   29.89 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.12                           mprj/u_wb_host/clknet_3_0_0_wbm_clk_i (net)
-                  0.21    0.00   29.90 ^ mprj/u_wb_host/clkbuf_leaf_61_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.18   30.08 ^ mprj/u_wb_host/clkbuf_leaf_61_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     9    0.03                           mprj/u_wb_host/clknet_leaf_61_wbm_clk_i (net)
-                  0.05    0.00   30.08 ^ mprj/u_wb_host/_6570_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   29.83   clock uncertainty
-                          0.95   30.78   clock reconvergence pessimism
-                         -0.12   30.66   library setup time
-                                 30.66   data required time
+                        100.00  100.00   clock uart_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14  100.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00  100.14 ^ mprj/u_wb_host/clkbuf_1_0_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12  100.26 ^ mprj/u_wb_host/clkbuf_1_0_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00  100.26 ^ mprj/u_wb_host/clkbuf_1_0_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.25    0.26  100.52 ^ mprj/u_wb_host/clkbuf_1_0_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.14                           mprj/u_wb_host/clknet_1_0_1_u_uart2wb.baud_clk_16x (net)
+                  0.25    0.00  100.52 ^ mprj/u_wb_host/clkbuf_leaf_4_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.23  100.75 ^ mprj/u_wb_host/clkbuf_leaf_4_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_4_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00  100.76 ^ mprj/u_wb_host/_5617_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                         -0.25  100.51   clock uncertainty
+                          0.01  100.52   clock reconvergence pessimism
+                         -0.10  100.42   library setup time
+                                100.42   data required time
 -----------------------------------------------------------------------------
-                                 30.66   data required time
-                                -15.11   data arrival time
+                                100.42   data required time
+                                 -4.60   data arrival time
 -----------------------------------------------------------------------------
-                                 15.56   slack (MET)
+                                 95.81   slack (MET)
 
 
-Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
-Endpoint: mprj/u_wb_host/_6576_
-          (rising edge-triggered flip-flop clocked by wb_clk)
-Path Group: wb_clk
+Startpoint: mprj/u_wb_host/_6362_
+            (rising edge-triggered flip-flop clocked by uart_clk)
+Endpoint: mprj/u_wb_host/_5626_
+          (rising edge-triggered flip-flop clocked by uart_clk)
+Path Group: uart_clk
 Path Type: max
 
 Fanout     Cap    Slew   Delay    Time   Description
 -----------------------------------------------------------------------------
-                          0.00    0.00   clock clk (rise edge)
+                          0.00    0.00   clock uart_clk (rise edge)
                           0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           soc/clknet_0_core_clk (net)
-                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_0_core_clk (net)
-                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_1_core_clk (net)
-                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_2_core_clk (net)
-                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_3_core_clk (net)
-                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_4_core_clk (net)
-                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           soc/clknet_1_1_5_core_clk (net)
-                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_1_1_6_core_clk (net)
-                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_2_3_0_core_clk (net)
-                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_2_3_1_core_clk (net)
-                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_0_core_clk (net)
-                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_1_core_clk (net)
-                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_3_7_2_core_clk (net)
-                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_4_14_0_core_clk (net)
-                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_5_28_0_core_clk (net)
-                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           soc/clknet_5_28_1_core_clk (net)
-                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           soc/clknet_leaf_243_core_clk (net)
-                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.11    0.39    6.87 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
-     1    0.01                           soc/core.grant[0] (net)
-                  0.11    0.00    6.87 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
-                  0.16    0.21    7.08 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
-    10    0.10                           soc/net3544 (net)
-                  0.16    0.00    7.08 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
-                  0.22    0.26    7.34 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
-    14    0.11                           soc/net3546 (net)
-                  0.22    0.02    7.36 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
-                  0.31    0.36    7.72 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
-    17    0.11                           soc/net3545 (net)
-                  0.32    0.02    7.75 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
-                  0.09    0.11    7.86 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
-     1    0.01                           soc/_13629_ (net)
-                  0.09    0.00    7.86 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
-                  0.05    0.16    8.02 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
-     4    0.04                           soc/net3233 (net)
-                  0.05    0.00    8.02 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
-                  0.11    0.18    8.20 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
-    16    0.14                           soc/net3235 (net)
-                  0.12    0.03    8.23 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
-                  0.06    0.19    8.42 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
-    12    0.06                           soc/net3231 (net)
-                  0.06    0.00    8.43 v soc/_14146_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.32    8.75 v soc/_14146_/X (sky130_fd_sc_hd__and2_2)
-    10    0.06                           soc/_13643_ (net)
-                  0.15    0.01    8.75 v soc/_14147_/C1 (sky130_fd_sc_hd__a221oi_4)
-                  0.73    0.63    9.38 ^ soc/_14147_/Y (sky130_fd_sc_hd__a221oi_4)
-     6    0.06                           soc/_13644_ (net)
-                  0.73    0.01    9.38 ^ soc/max_length2753/A (sky130_fd_sc_hd__buf_6)
-                  0.21    0.32    9.71 ^ soc/max_length2753/X (sky130_fd_sc_hd__buf_6)
-     9    0.10                           soc/net2753 (net)
-                  0.21    0.02    9.73 ^ soc/wire2751/A (sky130_fd_sc_hd__buf_4)
-                  0.25    0.32   10.05 ^ soc/wire2751/X (sky130_fd_sc_hd__buf_4)
-     4    0.09                           soc/net2751 (net)
-                  0.25    0.01   10.06 ^ soc/_14148_/A (sky130_fd_sc_hd__inv_12)
-                  0.09    0.09   10.15 v soc/_14148_/Y (sky130_fd_sc_hd__inv_12)
-     4    0.08                           soc/net634 (net)
-                  0.09    0.01   10.17 v soc/wire2404/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.19   10.36 v soc/wire2404/X (sky130_fd_sc_hd__buf_12)
-     7    0.17                           soc/net2404 (net)
-                  0.13    0.04   10.40 v soc/output634/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.16   10.56 v soc/output634/X (sky130_fd_sc_hd__buf_12)
-     3    0.01                           mprj_adr_o_core[2] (net)
-                  0.02    0.00   10.56 v mgmt_buffers/input410/A (sky130_fd_sc_hd__buf_6)
-                  0.04    0.13   10.69 v mgmt_buffers/input410/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mgmt_buffers/net410 (net)
-                  0.04    0.00   10.69 v mgmt_buffers/wire1596/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.16   10.85 v mgmt_buffers/wire1596/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1596 (net)
-                  0.07    0.01   10.86 v mgmt_buffers/wire1595/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.20   11.06 v mgmt_buffers/wire1595/X (sky130_fd_sc_hd__buf_6)
-     2    0.11                           mgmt_buffers/net1595 (net)
-                  0.10    0.01   11.07 v mgmt_buffers/wire1594/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19   11.25 v mgmt_buffers/wire1594/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1594 (net)
-                  0.07    0.01   11.26 v mgmt_buffers/wire1593/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.18   11.44 v mgmt_buffers/wire1593/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1593 (net)
-                  0.07    0.01   11.45 v mgmt_buffers/wire1592/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.17   11.62 v mgmt_buffers/wire1592/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1592 (net)
-                  0.07    0.01   11.63 v mgmt_buffers/_307_/B (sky130_fd_sc_hd__and2_4)
-                  0.06    0.21   11.83 v mgmt_buffers/_307_/X (sky130_fd_sc_hd__and2_4)
-     2    0.03                           mgmt_buffers/net870 (net)
-                  0.06    0.00   11.84 v mgmt_buffers/output870/A (sky130_fd_sc_hd__buf_8)
-                  0.02    0.12   11.96 v mgmt_buffers/output870/X (sky130_fd_sc_hd__buf_8)
-     2    0.00                           mprj_adr_o_user[2] (net)
-                  0.02    0.00   11.96 v mprj/u_wb_host/input53/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.05    0.11   12.06 v mprj/u_wb_host/input53/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_wb_host/net53 (net)
-                  0.05    0.00   12.06 v mprj/u_wb_host/_4056_/A (sky130_fd_sc_hd__or2_1)
-                  0.10    0.29   12.36 v mprj/u_wb_host/_4056_/X (sky130_fd_sc_hd__or2_1)
-     2    0.01                           mprj/u_wb_host/_2076_ (net)
-                  0.10    0.00   12.36 v mprj/u_wb_host/_4057_/B1 (sky130_fd_sc_hd__o21ai_4)
-                  2.08    0.76   13.12 ^ mprj/u_wb_host/_4057_/Y (sky130_fd_sc_hd__o21ai_4)
-    74    0.32                           mprj/u_wb_host/_2077_ (net)
-                  2.08    0.03   13.15 ^ mprj/u_wb_host/_4082_/A (sky130_fd_sc_hd__nand2_8)
-                  0.65    0.60   13.75 v mprj/u_wb_host/_4082_/Y (sky130_fd_sc_hd__nand2_8)
-    66    0.20                           mprj/u_wb_host/_2095_ (net)
-                  0.65    0.00   13.75 v mprj/u_wb_host/_5410_/C (sky130_fd_sc_hd__or3_4)
-                  0.34    0.87   14.62 v mprj/u_wb_host/_5410_/X (sky130_fd_sc_hd__or3_4)
-    64    0.24                           mprj/u_wb_host/_2673_ (net)
-                  0.35    0.05   14.67 v mprj/u_wb_host/_5435_/S (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.43   15.10 v mprj/u_wb_host/_5435_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_1059_ (net)
-                  0.06    0.00   15.10 v mprj/u_wb_host/_6576_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 15.10   data arrival time
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.62 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    0.89 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_12_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.89 ^ mprj/u_wb_host/_6362_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.10    0.45    1.34 v mprj/u_wb_host/_6362_/Q (sky130_fd_sc_hd__dfrtp_1)
+     3    0.02                           mprj/u_wb_host/u_uart2wb.u_msg.State[0] (net)
+                  0.10    0.00    1.34 v mprj/u_wb_host/fanout557/A (sky130_fd_sc_hd__buf_4)
+                  0.10    0.23    1.58 v mprj/u_wb_host/fanout557/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_wb_host/net557 (net)
+                  0.10    0.00    1.58 v mprj/u_wb_host/_2678_/A (sky130_fd_sc_hd__inv_2)
+                  0.12    0.14    1.72 ^ mprj/u_wb_host/_2678_/Y (sky130_fd_sc_hd__inv_2)
+     4    0.03                           mprj/u_wb_host/_1099_ (net)
+                  0.12    0.00    1.72 ^ mprj/u_wb_host/fanout534/A (sky130_fd_sc_hd__buf_4)
+                  0.23    0.28    2.00 ^ mprj/u_wb_host/fanout534/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net534 (net)
+                  0.23    0.00    2.00 ^ mprj/u_wb_host/_3290_/A (sky130_fd_sc_hd__nor2_1)
+                  0.06    0.08    2.08 v mprj/u_wb_host/_3290_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.00                           mprj/u_wb_host/_1512_ (net)
+                  0.06    0.00    2.08 v mprj/u_wb_host/fanout483/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.21    2.29 v mprj/u_wb_host/fanout483/X (sky130_fd_sc_hd__buf_2)
+    12    0.05                           mprj/u_wb_host/net483 (net)
+                  0.11    0.00    2.29 v mprj/u_wb_host/fanout482/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.13    0.26    2.56 v mprj/u_wb_host/fanout482/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.06                           mprj/u_wb_host/net482 (net)
+                  0.13    0.00    2.56 v mprj/u_wb_host/fanout481/A (sky130_fd_sc_hd__buf_2)
+                  0.10    0.23    2.79 v mprj/u_wb_host/fanout481/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_wb_host/net481 (net)
+                  0.10    0.00    2.79 v mprj/u_wb_host/_3356_/A1 (sky130_fd_sc_hd__a211o_2)
+                  0.09    0.38    3.17 v mprj/u_wb_host/_3356_/X (sky130_fd_sc_hd__a211o_2)
+     6    0.02                           mprj/u_wb_host/_1538_ (net)
+                  0.09    0.00    3.17 v mprj/u_wb_host/_3357_/B (sky130_fd_sc_hd__nor2_1)
+                  0.43    0.38    3.55 ^ mprj/u_wb_host/_3357_/Y (sky130_fd_sc_hd__nor2_1)
+     4    0.02                           mprj/u_wb_host/_1539_ (net)
+                  0.43    0.00    3.55 ^ mprj/u_wb_host/fanout428/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.25    0.36    3.91 ^ mprj/u_wb_host/fanout428/X (sky130_fd_sc_hd__clkbuf_2)
+    14    0.05                           mprj/u_wb_host/net428 (net)
+                  0.26    0.00    3.91 ^ mprj/u_wb_host/fanout424/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.21    0.34    4.25 ^ mprj/u_wb_host/fanout424/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.07                           mprj/u_wb_host/net424 (net)
+                  0.21    0.00    4.25 ^ mprj/u_wb_host/_3517_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.35    4.61 v mprj/u_wb_host/_3517_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0164_ (net)
+                  0.05    0.00    4.61 v mprj/u_wb_host/_5626_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  4.61   data arrival time
 
-                         25.00   25.00   clock wb_clk (rise edge)
-                          0.00   25.00   clock source latency
-                  1.00    0.00   25.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10   29.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00   29.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13   29.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00   29.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14   29.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00   29.51 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   29.65 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_0_0_wbm_clk_i (net)
-                  0.05    0.00   29.65 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.21    0.24   29.89 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.12                           mprj/u_wb_host/clknet_3_0_0_wbm_clk_i (net)
-                  0.21    0.00   29.90 ^ mprj/u_wb_host/clkbuf_leaf_61_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.18   30.08 ^ mprj/u_wb_host/clkbuf_leaf_61_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     9    0.03                           mprj/u_wb_host/clknet_leaf_61_wbm_clk_i (net)
-                  0.05    0.00   30.08 ^ mprj/u_wb_host/_6576_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   29.83   clock uncertainty
-                          0.95   30.78   clock reconvergence pessimism
-                         -0.12   30.66   library setup time
-                                 30.66   data required time
+                        100.00  100.00   clock uart_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14  100.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00  100.14 ^ mprj/u_wb_host/clkbuf_1_0_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12  100.26 ^ mprj/u_wb_host/clkbuf_1_0_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00  100.26 ^ mprj/u_wb_host/clkbuf_1_0_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.25    0.26  100.52 ^ mprj/u_wb_host/clkbuf_1_0_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.14                           mprj/u_wb_host/clknet_1_0_1_u_uart2wb.baud_clk_16x (net)
+                  0.25    0.00  100.52 ^ mprj/u_wb_host/clkbuf_leaf_4_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.23  100.75 ^ mprj/u_wb_host/clkbuf_leaf_4_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_4_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00  100.76 ^ mprj/u_wb_host/_5626_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                         -0.25  100.51   clock uncertainty
+                          0.01  100.52   clock reconvergence pessimism
+                         -0.10  100.42   library setup time
+                                100.42   data required time
 -----------------------------------------------------------------------------
-                                 30.66   data required time
-                                -15.10   data arrival time
+                                100.42   data required time
+                                 -4.61   data arrival time
 -----------------------------------------------------------------------------
-                                 15.56   slack (MET)
+                                 95.81   slack (MET)
 
 
-Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
-Endpoint: mprj/u_wb_host/_6582_
-          (rising edge-triggered flip-flop clocked by wb_clk)
-Path Group: wb_clk
+Startpoint: mprj/u_wb_host/_6363_
+            (rising edge-triggered flip-flop clocked by uart_clk)
+Endpoint: mprj/u_wb_host/_6396_
+          (rising edge-triggered flip-flop clocked by uart_clk)
+Path Group: uart_clk
 Path Type: max
 
 Fanout     Cap    Slew   Delay    Time   Description
 -----------------------------------------------------------------------------
-                          0.00    0.00   clock clk (rise edge)
+                          0.00    0.00   clock uart_clk (rise edge)
                           0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           soc/clknet_0_core_clk (net)
-                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_0_core_clk (net)
-                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_1_core_clk (net)
-                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_2_core_clk (net)
-                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_3_core_clk (net)
-                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_4_core_clk (net)
-                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           soc/clknet_1_1_5_core_clk (net)
-                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_1_1_6_core_clk (net)
-                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_2_3_0_core_clk (net)
-                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_2_3_1_core_clk (net)
-                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_0_core_clk (net)
-                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_1_core_clk (net)
-                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_3_7_2_core_clk (net)
-                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_4_14_0_core_clk (net)
-                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_5_28_0_core_clk (net)
-                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           soc/clknet_5_28_1_core_clk (net)
-                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           soc/clknet_leaf_243_core_clk (net)
-                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.11    0.39    6.87 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
-     1    0.01                           soc/core.grant[0] (net)
-                  0.11    0.00    6.87 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
-                  0.16    0.21    7.08 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
-    10    0.10                           soc/net3544 (net)
-                  0.16    0.00    7.08 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
-                  0.22    0.26    7.34 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
-    14    0.11                           soc/net3546 (net)
-                  0.22    0.02    7.36 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
-                  0.31    0.36    7.72 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
-    17    0.11                           soc/net3545 (net)
-                  0.32    0.02    7.75 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
-                  0.09    0.11    7.86 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
-     1    0.01                           soc/_13629_ (net)
-                  0.09    0.00    7.86 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
-                  0.05    0.16    8.02 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
-     4    0.04                           soc/net3233 (net)
-                  0.05    0.00    8.02 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
-                  0.11    0.18    8.20 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
-    16    0.14                           soc/net3235 (net)
-                  0.12    0.03    8.23 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
-                  0.06    0.19    8.42 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
-    12    0.06                           soc/net3231 (net)
-                  0.06    0.00    8.43 v soc/_14146_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.32    8.75 v soc/_14146_/X (sky130_fd_sc_hd__and2_2)
-    10    0.06                           soc/_13643_ (net)
-                  0.15    0.01    8.75 v soc/_14147_/C1 (sky130_fd_sc_hd__a221oi_4)
-                  0.73    0.63    9.38 ^ soc/_14147_/Y (sky130_fd_sc_hd__a221oi_4)
-     6    0.06                           soc/_13644_ (net)
-                  0.73    0.01    9.38 ^ soc/max_length2753/A (sky130_fd_sc_hd__buf_6)
-                  0.21    0.32    9.71 ^ soc/max_length2753/X (sky130_fd_sc_hd__buf_6)
-     9    0.10                           soc/net2753 (net)
-                  0.21    0.02    9.73 ^ soc/wire2751/A (sky130_fd_sc_hd__buf_4)
-                  0.25    0.32   10.05 ^ soc/wire2751/X (sky130_fd_sc_hd__buf_4)
-     4    0.09                           soc/net2751 (net)
-                  0.25    0.01   10.06 ^ soc/_14148_/A (sky130_fd_sc_hd__inv_12)
-                  0.09    0.09   10.15 v soc/_14148_/Y (sky130_fd_sc_hd__inv_12)
-     4    0.08                           soc/net634 (net)
-                  0.09    0.01   10.17 v soc/wire2404/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.19   10.36 v soc/wire2404/X (sky130_fd_sc_hd__buf_12)
-     7    0.17                           soc/net2404 (net)
-                  0.13    0.04   10.40 v soc/output634/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.16   10.56 v soc/output634/X (sky130_fd_sc_hd__buf_12)
-     3    0.01                           mprj_adr_o_core[2] (net)
-                  0.02    0.00   10.56 v mgmt_buffers/input410/A (sky130_fd_sc_hd__buf_6)
-                  0.04    0.13   10.69 v mgmt_buffers/input410/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mgmt_buffers/net410 (net)
-                  0.04    0.00   10.69 v mgmt_buffers/wire1596/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.16   10.85 v mgmt_buffers/wire1596/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1596 (net)
-                  0.07    0.01   10.86 v mgmt_buffers/wire1595/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.20   11.06 v mgmt_buffers/wire1595/X (sky130_fd_sc_hd__buf_6)
-     2    0.11                           mgmt_buffers/net1595 (net)
-                  0.10    0.01   11.07 v mgmt_buffers/wire1594/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19   11.25 v mgmt_buffers/wire1594/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1594 (net)
-                  0.07    0.01   11.26 v mgmt_buffers/wire1593/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.18   11.44 v mgmt_buffers/wire1593/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1593 (net)
-                  0.07    0.01   11.45 v mgmt_buffers/wire1592/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.17   11.62 v mgmt_buffers/wire1592/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1592 (net)
-                  0.07    0.01   11.63 v mgmt_buffers/_307_/B (sky130_fd_sc_hd__and2_4)
-                  0.06    0.21   11.83 v mgmt_buffers/_307_/X (sky130_fd_sc_hd__and2_4)
-     2    0.03                           mgmt_buffers/net870 (net)
-                  0.06    0.00   11.84 v mgmt_buffers/output870/A (sky130_fd_sc_hd__buf_8)
-                  0.02    0.12   11.96 v mgmt_buffers/output870/X (sky130_fd_sc_hd__buf_8)
-     2    0.00                           mprj_adr_o_user[2] (net)
-                  0.02    0.00   11.96 v mprj/u_wb_host/input53/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.05    0.11   12.06 v mprj/u_wb_host/input53/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_wb_host/net53 (net)
-                  0.05    0.00   12.06 v mprj/u_wb_host/_4056_/A (sky130_fd_sc_hd__or2_1)
-                  0.10    0.29   12.36 v mprj/u_wb_host/_4056_/X (sky130_fd_sc_hd__or2_1)
-     2    0.01                           mprj/u_wb_host/_2076_ (net)
-                  0.10    0.00   12.36 v mprj/u_wb_host/_4057_/B1 (sky130_fd_sc_hd__o21ai_4)
-                  2.08    0.76   13.12 ^ mprj/u_wb_host/_4057_/Y (sky130_fd_sc_hd__o21ai_4)
-    74    0.32                           mprj/u_wb_host/_2077_ (net)
-                  2.08    0.03   13.15 ^ mprj/u_wb_host/_4082_/A (sky130_fd_sc_hd__nand2_8)
-                  0.65    0.60   13.75 v mprj/u_wb_host/_4082_/Y (sky130_fd_sc_hd__nand2_8)
-    66    0.20                           mprj/u_wb_host/_2095_ (net)
-                  0.65    0.00   13.75 v mprj/u_wb_host/_5410_/C (sky130_fd_sc_hd__or3_4)
-                  0.34    0.87   14.62 v mprj/u_wb_host/_5410_/X (sky130_fd_sc_hd__or3_4)
-    64    0.24                           mprj/u_wb_host/_2673_ (net)
-                  0.34    0.03   14.65 v mprj/u_wb_host/_5441_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.42   15.07 v mprj/u_wb_host/_5441_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_1065_ (net)
-                  0.05    0.00   15.07 v mprj/u_wb_host/_6582_/D (sky130_fd_sc_hd__dfrtp_2)
-                                 15.07   data arrival time
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.00    0.62 ^ mprj/u_wb_host/clkbuf_leaf_11_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.27    0.88 ^ mprj/u_wb_host/clkbuf_leaf_11_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_11_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.88 ^ mprj/u_wb_host/_6363_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.12    0.47    1.35 v mprj/u_wb_host/_6363_/Q (sky130_fd_sc_hd__dfrtp_1)
+     3    0.02                           mprj/u_wb_host/u_uart2wb.u_msg.State[1] (net)
+                  0.12    0.00    1.35 v mprj/u_wb_host/fanout556/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.13    0.27    1.62 v mprj/u_wb_host/fanout556/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.07                           mprj/u_wb_host/net556 (net)
+                  0.13    0.00    1.63 v mprj/u_wb_host/_3289_/A (sky130_fd_sc_hd__or3b_2)
+                  0.12    0.58    2.21 v mprj/u_wb_host/_3289_/X (sky130_fd_sc_hd__or3b_2)
+     4    0.02                           mprj/u_wb_host/_1511_ (net)
+                  0.12    0.00    2.21 v mprj/u_wb_host/_3290_/B (sky130_fd_sc_hd__nor2_1)
+                  0.08    0.12    2.33 ^ mprj/u_wb_host/_3290_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.00                           mprj/u_wb_host/_1512_ (net)
+                  0.08    0.00    2.33 ^ mprj/u_wb_host/fanout483/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    2.60 ^ mprj/u_wb_host/fanout483/X (sky130_fd_sc_hd__buf_2)
+    12    0.05                           mprj/u_wb_host/net483 (net)
+                  0.24    0.00    2.60 ^ mprj/u_wb_host/fanout482/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.20    0.33    2.92 ^ mprj/u_wb_host/fanout482/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.07                           mprj/u_wb_host/net482 (net)
+                  0.20    0.00    2.92 ^ mprj/u_wb_host/fanout481/A (sky130_fd_sc_hd__buf_2)
+                  0.20    0.28    3.20 ^ mprj/u_wb_host/fanout481/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_wb_host/net481 (net)
+                  0.20    0.00    3.20 ^ mprj/u_wb_host/_3356_/A1 (sky130_fd_sc_hd__a211o_2)
+                  0.12    0.24    3.44 ^ mprj/u_wb_host/_3356_/X (sky130_fd_sc_hd__a211o_2)
+     6    0.02                           mprj/u_wb_host/_1538_ (net)
+                  0.12    0.00    3.44 ^ mprj/u_wb_host/_5002_/B (sky130_fd_sc_hd__nor2_2)
+                  0.08    0.10    3.54 v mprj/u_wb_host/_5002_/Y (sky130_fd_sc_hd__nor2_2)
+     6    0.03                           mprj/u_wb_host/_2427_ (net)
+                  0.08    0.00    3.54 v mprj/u_wb_host/_5047_/A (sky130_fd_sc_hd__or2_1)
+                  0.10    0.31    3.85 v mprj/u_wb_host/_5047_/X (sky130_fd_sc_hd__or2_1)
+     4    0.02                           mprj/u_wb_host/_2462_ (net)
+                  0.10    0.00    3.85 v mprj/u_wb_host/fanout370/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.12    0.25    4.10 v mprj/u_wb_host/fanout370/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.06                           mprj/u_wb_host/net370 (net)
+                  0.12    0.00    4.10 v mprj/u_wb_host/_5094_/S (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.34    4.45 v mprj/u_wb_host/_5094_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_2479_ (net)
+                  0.06    0.00    4.45 v mprj/u_wb_host/_5095_/B1 (sky130_fd_sc_hd__a211o_1)
+                  0.04    0.27    4.71 v mprj/u_wb_host/_5095_/X (sky130_fd_sc_hd__a211o_1)
+     1    0.00                           mprj/u_wb_host/_0916_ (net)
+                  0.04    0.00    4.71 v mprj/u_wb_host/_6396_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  4.71   data arrival time
 
-                         25.00   25.00   clock wb_clk (rise edge)
-                          0.00   25.00   clock source latency
-                  1.00    0.00   25.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10   29.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00   29.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13   29.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00   29.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14   29.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00   29.51 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   29.65 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_0_0_wbm_clk_i (net)
-                  0.05    0.00   29.65 ^ mprj/u_wb_host/clkbuf_3_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.19    0.23   29.88 ^ mprj/u_wb_host/clkbuf_3_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.11                           mprj/u_wb_host/clknet_3_1_0_wbm_clk_i (net)
-                  0.19    0.00   29.89 ^ mprj/u_wb_host/clkbuf_leaf_59_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.17   30.05 ^ mprj/u_wb_host/clkbuf_leaf_59_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     6    0.02                           mprj/u_wb_host/clknet_leaf_59_wbm_clk_i (net)
-                  0.04    0.00   30.06 ^ mprj/u_wb_host/_6582_/CLK (sky130_fd_sc_hd__dfrtp_2)
-                         -0.25   29.81   clock uncertainty
-                          0.95   30.76   clock reconvergence pessimism
-                         -0.12   30.64   library setup time
-                                 30.64   data required time
+                        100.00  100.00   clock uart_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14  100.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00  100.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12  100.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00  100.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31  100.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01  100.58 ^ mprj/u_wb_host/clkbuf_leaf_8_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.25  100.83 ^ mprj/u_wb_host/clkbuf_leaf_8_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_8_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00  100.83 ^ mprj/u_wb_host/_6396_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                         -0.25  100.58   clock uncertainty
+                          0.04  100.62   clock reconvergence pessimism
+                         -0.10  100.53   library setup time
+                                100.53   data required time
 -----------------------------------------------------------------------------
-                                 30.64   data required time
-                                -15.07   data arrival time
+                                100.53   data required time
+                                 -4.71   data arrival time
 -----------------------------------------------------------------------------
-                                 15.57   slack (MET)
+                                 95.81   slack (MET)
 
 
-Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
-Endpoint: mprj/u_wb_host/_6561_
-          (rising edge-triggered flip-flop clocked by wb_clk)
-Path Group: wb_clk
+Startpoint: mprj/u_wb_host/_6362_
+            (rising edge-triggered flip-flop clocked by uart_clk)
+Endpoint: mprj/u_wb_host/_5633_
+          (rising edge-triggered flip-flop clocked by uart_clk)
+Path Group: uart_clk
 Path Type: max
 
 Fanout     Cap    Slew   Delay    Time   Description
 -----------------------------------------------------------------------------
-                          0.00    0.00   clock clk (rise edge)
+                          0.00    0.00   clock uart_clk (rise edge)
                           0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           soc/clknet_0_core_clk (net)
-                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_0_core_clk (net)
-                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_1_core_clk (net)
-                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_2_core_clk (net)
-                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_3_core_clk (net)
-                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_4_core_clk (net)
-                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           soc/clknet_1_1_5_core_clk (net)
-                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_1_1_6_core_clk (net)
-                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_2_3_0_core_clk (net)
-                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_2_3_1_core_clk (net)
-                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_0_core_clk (net)
-                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_1_core_clk (net)
-                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_3_7_2_core_clk (net)
-                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_4_14_0_core_clk (net)
-                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_5_28_0_core_clk (net)
-                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           soc/clknet_5_28_1_core_clk (net)
-                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           soc/clknet_leaf_243_core_clk (net)
-                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.11    0.39    6.87 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
-     1    0.01                           soc/core.grant[0] (net)
-                  0.11    0.00    6.87 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
-                  0.16    0.21    7.08 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
-    10    0.10                           soc/net3544 (net)
-                  0.16    0.00    7.08 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
-                  0.22    0.26    7.34 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
-    14    0.11                           soc/net3546 (net)
-                  0.22    0.02    7.36 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
-                  0.31    0.36    7.72 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
-    17    0.11                           soc/net3545 (net)
-                  0.32    0.02    7.75 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
-                  0.09    0.11    7.86 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
-     1    0.01                           soc/_13629_ (net)
-                  0.09    0.00    7.86 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
-                  0.05    0.16    8.02 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
-     4    0.04                           soc/net3233 (net)
-                  0.05    0.00    8.02 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
-                  0.11    0.18    8.20 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
-    16    0.14                           soc/net3235 (net)
-                  0.12    0.03    8.23 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
-                  0.06    0.19    8.42 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
-    12    0.06                           soc/net3231 (net)
-                  0.06    0.00    8.43 v soc/_14146_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.32    8.75 v soc/_14146_/X (sky130_fd_sc_hd__and2_2)
-    10    0.06                           soc/_13643_ (net)
-                  0.15    0.01    8.75 v soc/_14147_/C1 (sky130_fd_sc_hd__a221oi_4)
-                  0.73    0.63    9.38 ^ soc/_14147_/Y (sky130_fd_sc_hd__a221oi_4)
-     6    0.06                           soc/_13644_ (net)
-                  0.73    0.01    9.38 ^ soc/max_length2753/A (sky130_fd_sc_hd__buf_6)
-                  0.21    0.32    9.71 ^ soc/max_length2753/X (sky130_fd_sc_hd__buf_6)
-     9    0.10                           soc/net2753 (net)
-                  0.21    0.02    9.73 ^ soc/wire2751/A (sky130_fd_sc_hd__buf_4)
-                  0.25    0.32   10.05 ^ soc/wire2751/X (sky130_fd_sc_hd__buf_4)
-     4    0.09                           soc/net2751 (net)
-                  0.25    0.01   10.06 ^ soc/_14148_/A (sky130_fd_sc_hd__inv_12)
-                  0.09    0.09   10.15 v soc/_14148_/Y (sky130_fd_sc_hd__inv_12)
-     4    0.08                           soc/net634 (net)
-                  0.09    0.01   10.17 v soc/wire2404/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.19   10.36 v soc/wire2404/X (sky130_fd_sc_hd__buf_12)
-     7    0.17                           soc/net2404 (net)
-                  0.13    0.04   10.40 v soc/output634/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.16   10.56 v soc/output634/X (sky130_fd_sc_hd__buf_12)
-     3    0.01                           mprj_adr_o_core[2] (net)
-                  0.02    0.00   10.56 v mgmt_buffers/input410/A (sky130_fd_sc_hd__buf_6)
-                  0.04    0.13   10.69 v mgmt_buffers/input410/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mgmt_buffers/net410 (net)
-                  0.04    0.00   10.69 v mgmt_buffers/wire1596/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.16   10.85 v mgmt_buffers/wire1596/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1596 (net)
-                  0.07    0.01   10.86 v mgmt_buffers/wire1595/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.20   11.06 v mgmt_buffers/wire1595/X (sky130_fd_sc_hd__buf_6)
-     2    0.11                           mgmt_buffers/net1595 (net)
-                  0.10    0.01   11.07 v mgmt_buffers/wire1594/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19   11.25 v mgmt_buffers/wire1594/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1594 (net)
-                  0.07    0.01   11.26 v mgmt_buffers/wire1593/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.18   11.44 v mgmt_buffers/wire1593/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1593 (net)
-                  0.07    0.01   11.45 v mgmt_buffers/wire1592/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.17   11.62 v mgmt_buffers/wire1592/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1592 (net)
-                  0.07    0.01   11.63 v mgmt_buffers/_307_/B (sky130_fd_sc_hd__and2_4)
-                  0.06    0.21   11.83 v mgmt_buffers/_307_/X (sky130_fd_sc_hd__and2_4)
-     2    0.03                           mgmt_buffers/net870 (net)
-                  0.06    0.00   11.84 v mgmt_buffers/output870/A (sky130_fd_sc_hd__buf_8)
-                  0.02    0.12   11.96 v mgmt_buffers/output870/X (sky130_fd_sc_hd__buf_8)
-     2    0.00                           mprj_adr_o_user[2] (net)
-                  0.02    0.00   11.96 v mprj/u_wb_host/input53/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.05    0.11   12.06 v mprj/u_wb_host/input53/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_wb_host/net53 (net)
-                  0.05    0.00   12.06 v mprj/u_wb_host/_4056_/A (sky130_fd_sc_hd__or2_1)
-                  0.10    0.29   12.36 v mprj/u_wb_host/_4056_/X (sky130_fd_sc_hd__or2_1)
-     2    0.01                           mprj/u_wb_host/_2076_ (net)
-                  0.10    0.00   12.36 v mprj/u_wb_host/_4057_/B1 (sky130_fd_sc_hd__o21ai_4)
-                  2.08    0.76   13.12 ^ mprj/u_wb_host/_4057_/Y (sky130_fd_sc_hd__o21ai_4)
-    74    0.32                           mprj/u_wb_host/_2077_ (net)
-                  2.08    0.03   13.15 ^ mprj/u_wb_host/_4082_/A (sky130_fd_sc_hd__nand2_8)
-                  0.65    0.60   13.75 v mprj/u_wb_host/_4082_/Y (sky130_fd_sc_hd__nand2_8)
-    66    0.20                           mprj/u_wb_host/_2095_ (net)
-                  0.65    0.00   13.75 v mprj/u_wb_host/_5410_/C (sky130_fd_sc_hd__or3_4)
-                  0.34    0.87   14.62 v mprj/u_wb_host/_5410_/X (sky130_fd_sc_hd__or3_4)
-    64    0.24                           mprj/u_wb_host/_2673_ (net)
-                  0.34    0.03   14.65 v mprj/u_wb_host/_5420_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.42   15.07 v mprj/u_wb_host/_5420_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_1044_ (net)
-                  0.05    0.00   15.07 v mprj/u_wb_host/_6561_/D (sky130_fd_sc_hd__dfrtp_2)
-                                 15.07   data arrival time
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.62 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    0.89 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_12_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.89 ^ mprj/u_wb_host/_6362_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.10    0.45    1.34 v mprj/u_wb_host/_6362_/Q (sky130_fd_sc_hd__dfrtp_1)
+     3    0.02                           mprj/u_wb_host/u_uart2wb.u_msg.State[0] (net)
+                  0.10    0.00    1.34 v mprj/u_wb_host/fanout557/A (sky130_fd_sc_hd__buf_4)
+                  0.10    0.23    1.58 v mprj/u_wb_host/fanout557/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_wb_host/net557 (net)
+                  0.10    0.00    1.58 v mprj/u_wb_host/_2678_/A (sky130_fd_sc_hd__inv_2)
+                  0.12    0.14    1.72 ^ mprj/u_wb_host/_2678_/Y (sky130_fd_sc_hd__inv_2)
+     4    0.03                           mprj/u_wb_host/_1099_ (net)
+                  0.12    0.00    1.72 ^ mprj/u_wb_host/fanout534/A (sky130_fd_sc_hd__buf_4)
+                  0.23    0.28    2.00 ^ mprj/u_wb_host/fanout534/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net534 (net)
+                  0.23    0.00    2.00 ^ mprj/u_wb_host/_3290_/A (sky130_fd_sc_hd__nor2_1)
+                  0.06    0.08    2.08 v mprj/u_wb_host/_3290_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.00                           mprj/u_wb_host/_1512_ (net)
+                  0.06    0.00    2.08 v mprj/u_wb_host/fanout483/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.21    2.29 v mprj/u_wb_host/fanout483/X (sky130_fd_sc_hd__buf_2)
+    12    0.05                           mprj/u_wb_host/net483 (net)
+                  0.11    0.00    2.29 v mprj/u_wb_host/fanout482/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.13    0.26    2.56 v mprj/u_wb_host/fanout482/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.06                           mprj/u_wb_host/net482 (net)
+                  0.13    0.00    2.56 v mprj/u_wb_host/fanout481/A (sky130_fd_sc_hd__buf_2)
+                  0.10    0.23    2.79 v mprj/u_wb_host/fanout481/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_wb_host/net481 (net)
+                  0.10    0.00    2.79 v mprj/u_wb_host/_3356_/A1 (sky130_fd_sc_hd__a211o_2)
+                  0.09    0.38    3.17 v mprj/u_wb_host/_3356_/X (sky130_fd_sc_hd__a211o_2)
+     6    0.02                           mprj/u_wb_host/_1538_ (net)
+                  0.09    0.00    3.17 v mprj/u_wb_host/_3358_/B (sky130_fd_sc_hd__or2_1)
+                  0.05    0.23    3.40 v mprj/u_wb_host/_3358_/X (sky130_fd_sc_hd__or2_1)
+     1    0.00                           mprj/u_wb_host/_1540_ (net)
+                  0.05    0.00    3.40 v mprj/u_wb_host/fanout423/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.17    0.23    3.63 v mprj/u_wb_host/fanout423/X (sky130_fd_sc_hd__clkbuf_2)
+    10    0.05                           mprj/u_wb_host/net423 (net)
+                  0.17    0.00    3.63 v mprj/u_wb_host/fanout420/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.13    0.29    3.92 v mprj/u_wb_host/fanout420/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.06                           mprj/u_wb_host/net420 (net)
+                  0.13    0.00    3.93 v mprj/u_wb_host/_3540_/A (sky130_fd_sc_hd__or4_1)
+                  0.08    0.56    4.49 v mprj/u_wb_host/_3540_/X (sky130_fd_sc_hd__or4_1)
+     1    0.00                           mprj/u_wb_host/_1670_ (net)
+                  0.08    0.00    4.49 v mprj/u_wb_host/_3541_/B1 (sky130_fd_sc_hd__o21a_1)
+                  0.03    0.12    4.61 v mprj/u_wb_host/_3541_/X (sky130_fd_sc_hd__o21a_1)
+     1    0.00                           mprj/u_wb_host/_0171_ (net)
+                  0.03    0.00    4.61 v mprj/u_wb_host/_5633_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  4.61   data arrival time
 
-                         25.00   25.00   clock wb_clk (rise edge)
-                          0.00   25.00   clock source latency
-                  1.00    0.00   25.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10   29.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00   29.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13   29.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00   29.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14   29.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00   29.51 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   29.65 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_0_0_wbm_clk_i (net)
-                  0.05    0.00   29.65 ^ mprj/u_wb_host/clkbuf_3_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.19    0.23   29.88 ^ mprj/u_wb_host/clkbuf_3_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.11                           mprj/u_wb_host/clknet_3_1_0_wbm_clk_i (net)
-                  0.19    0.00   29.89 ^ mprj/u_wb_host/clkbuf_leaf_59_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.17   30.05 ^ mprj/u_wb_host/clkbuf_leaf_59_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     6    0.02                           mprj/u_wb_host/clknet_leaf_59_wbm_clk_i (net)
-                  0.04    0.00   30.06 ^ mprj/u_wb_host/_6561_/CLK (sky130_fd_sc_hd__dfrtp_2)
-                         -0.25   29.81   clock uncertainty
-                          0.95   30.76   clock reconvergence pessimism
-                         -0.12   30.64   library setup time
-                                 30.64   data required time
+                        100.00  100.00   clock uart_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14  100.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00  100.14 ^ mprj/u_wb_host/clkbuf_1_0_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12  100.26 ^ mprj/u_wb_host/clkbuf_1_0_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_0_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00  100.26 ^ mprj/u_wb_host/clkbuf_1_0_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.25    0.26  100.52 ^ mprj/u_wb_host/clkbuf_1_0_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.14                           mprj/u_wb_host/clknet_1_0_1_u_uart2wb.baud_clk_16x (net)
+                  0.25    0.00  100.52 ^ mprj/u_wb_host/clkbuf_leaf_4_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.23  100.75 ^ mprj/u_wb_host/clkbuf_leaf_4_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_4_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00  100.76 ^ mprj/u_wb_host/_5633_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                         -0.25  100.51   clock uncertainty
+                          0.01  100.52   clock reconvergence pessimism
+                         -0.09  100.43   library setup time
+                                100.43   data required time
 -----------------------------------------------------------------------------
-                                 30.64   data required time
-                                -15.07   data arrival time
+                                100.43   data required time
+                                 -4.61   data arrival time
 -----------------------------------------------------------------------------
-                                 15.57   slack (MET)
+                                 95.82   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6363_
+            (rising edge-triggered flip-flop clocked by uart_clk)
+Endpoint: mprj/u_wb_host/_5590_
+          (rising edge-triggered flip-flop clocked by uart_clk)
+Path Group: uart_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.00    0.62 ^ mprj/u_wb_host/clkbuf_leaf_11_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.27    0.88 ^ mprj/u_wb_host/clkbuf_leaf_11_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_11_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.88 ^ mprj/u_wb_host/_6363_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.12    0.47    1.35 v mprj/u_wb_host/_6363_/Q (sky130_fd_sc_hd__dfrtp_1)
+     3    0.02                           mprj/u_wb_host/u_uart2wb.u_msg.State[1] (net)
+                  0.12    0.00    1.35 v mprj/u_wb_host/fanout556/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.13    0.27    1.62 v mprj/u_wb_host/fanout556/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.07                           mprj/u_wb_host/net556 (net)
+                  0.13    0.00    1.63 v mprj/u_wb_host/_3289_/A (sky130_fd_sc_hd__or3b_2)
+                  0.12    0.58    2.21 v mprj/u_wb_host/_3289_/X (sky130_fd_sc_hd__or3b_2)
+     4    0.02                           mprj/u_wb_host/_1511_ (net)
+                  0.12    0.00    2.21 v mprj/u_wb_host/_3290_/B (sky130_fd_sc_hd__nor2_1)
+                  0.08    0.12    2.33 ^ mprj/u_wb_host/_3290_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.00                           mprj/u_wb_host/_1512_ (net)
+                  0.08    0.00    2.33 ^ mprj/u_wb_host/fanout483/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    2.60 ^ mprj/u_wb_host/fanout483/X (sky130_fd_sc_hd__buf_2)
+    12    0.05                           mprj/u_wb_host/net483 (net)
+                  0.24    0.00    2.60 ^ mprj/u_wb_host/fanout482/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.20    0.33    2.92 ^ mprj/u_wb_host/fanout482/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.07                           mprj/u_wb_host/net482 (net)
+                  0.20    0.00    2.92 ^ mprj/u_wb_host/fanout481/A (sky130_fd_sc_hd__buf_2)
+                  0.20    0.28    3.20 ^ mprj/u_wb_host/fanout481/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_wb_host/net481 (net)
+                  0.20    0.00    3.20 ^ mprj/u_wb_host/_3356_/A1 (sky130_fd_sc_hd__a211o_2)
+                  0.12    0.24    3.44 ^ mprj/u_wb_host/_3356_/X (sky130_fd_sc_hd__a211o_2)
+     6    0.02                           mprj/u_wb_host/_1538_ (net)
+                  0.12    0.00    3.44 ^ mprj/u_wb_host/_3358_/B (sky130_fd_sc_hd__or2_1)
+                  0.05    0.12    3.56 ^ mprj/u_wb_host/_3358_/X (sky130_fd_sc_hd__or2_1)
+     1    0.00                           mprj/u_wb_host/_1540_ (net)
+                  0.05    0.00    3.56 ^ mprj/u_wb_host/fanout423/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.25    0.26    3.82 ^ mprj/u_wb_host/fanout423/X (sky130_fd_sc_hd__clkbuf_2)
+    10    0.05                           mprj/u_wb_host/net423 (net)
+                  0.25    0.00    3.82 ^ mprj/u_wb_host/fanout422/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.34    4.16 ^ mprj/u_wb_host/fanout422/X (sky130_fd_sc_hd__buf_2)
+    16    0.05                           mprj/u_wb_host/net422 (net)
+                  0.26    0.00    4.16 ^ mprj/u_wb_host/fanout421/A (sky130_fd_sc_hd__buf_2)
+                  0.20    0.30    4.46 ^ mprj/u_wb_host/fanout421/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_wb_host/net421 (net)
+                  0.20    0.00    4.46 ^ mprj/u_wb_host/_3393_/B1 (sky130_fd_sc_hd__a21o_1)
+                  0.09    0.15    4.61 ^ mprj/u_wb_host/_3393_/X (sky130_fd_sc_hd__a21o_1)
+     1    0.01                           mprj/u_wb_host/_1566_ (net)
+                  0.09    0.00    4.61 ^ mprj/u_wb_host/_3394_/A3 (sky130_fd_sc_hd__o32a_1)
+                  0.05    0.14    4.75 ^ mprj/u_wb_host/_3394_/X (sky130_fd_sc_hd__o32a_1)
+     1    0.00                           mprj/u_wb_host/_0128_ (net)
+                  0.05    0.00    4.75 ^ mprj/u_wb_host/_5590_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  4.75   data arrival time
+
+                        100.00  100.00   clock uart_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14  100.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00  100.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12  100.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00  100.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31  100.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01  100.58 ^ mprj/u_wb_host/clkbuf_leaf_10_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.25  100.83 ^ mprj/u_wb_host/clkbuf_leaf_10_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           mprj/u_wb_host/clknet_leaf_10_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00  100.83 ^ mprj/u_wb_host/_5590_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                         -0.25  100.58   clock uncertainty
+                          0.04  100.62   clock reconvergence pessimism
+                         -0.05  100.58   library setup time
+                                100.58   data required time
+-----------------------------------------------------------------------------
+                                100.58   data required time
+                                 -4.75   data arrival time
+-----------------------------------------------------------------------------
+                                 95.83   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6362_
+            (rising edge-triggered flip-flop clocked by uart_clk)
+Endpoint: mprj/u_wb_host/_5601_
+          (rising edge-triggered flip-flop clocked by uart_clk)
+Path Group: uart_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.62 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    0.89 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_12_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.89 ^ mprj/u_wb_host/_6362_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.10    0.45    1.34 v mprj/u_wb_host/_6362_/Q (sky130_fd_sc_hd__dfrtp_1)
+     3    0.02                           mprj/u_wb_host/u_uart2wb.u_msg.State[0] (net)
+                  0.10    0.00    1.34 v mprj/u_wb_host/fanout557/A (sky130_fd_sc_hd__buf_4)
+                  0.10    0.23    1.58 v mprj/u_wb_host/fanout557/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_wb_host/net557 (net)
+                  0.10    0.00    1.58 v mprj/u_wb_host/_2678_/A (sky130_fd_sc_hd__inv_2)
+                  0.12    0.14    1.72 ^ mprj/u_wb_host/_2678_/Y (sky130_fd_sc_hd__inv_2)
+     4    0.03                           mprj/u_wb_host/_1099_ (net)
+                  0.12    0.00    1.72 ^ mprj/u_wb_host/fanout534/A (sky130_fd_sc_hd__buf_4)
+                  0.23    0.28    2.00 ^ mprj/u_wb_host/fanout534/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net534 (net)
+                  0.23    0.00    2.00 ^ mprj/u_wb_host/_3290_/A (sky130_fd_sc_hd__nor2_1)
+                  0.06    0.08    2.08 v mprj/u_wb_host/_3290_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.00                           mprj/u_wb_host/_1512_ (net)
+                  0.06    0.00    2.08 v mprj/u_wb_host/fanout483/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.21    2.29 v mprj/u_wb_host/fanout483/X (sky130_fd_sc_hd__buf_2)
+    12    0.05                           mprj/u_wb_host/net483 (net)
+                  0.11    0.00    2.29 v mprj/u_wb_host/fanout482/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.13    0.26    2.56 v mprj/u_wb_host/fanout482/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.06                           mprj/u_wb_host/net482 (net)
+                  0.13    0.00    2.56 v mprj/u_wb_host/fanout481/A (sky130_fd_sc_hd__buf_2)
+                  0.10    0.23    2.79 v mprj/u_wb_host/fanout481/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_wb_host/net481 (net)
+                  0.10    0.00    2.79 v mprj/u_wb_host/_3356_/A1 (sky130_fd_sc_hd__a211o_2)
+                  0.09    0.38    3.17 v mprj/u_wb_host/_3356_/X (sky130_fd_sc_hd__a211o_2)
+     6    0.02                           mprj/u_wb_host/_1538_ (net)
+                  0.09    0.00    3.17 v mprj/u_wb_host/_3357_/B (sky130_fd_sc_hd__nor2_1)
+                  0.43    0.38    3.55 ^ mprj/u_wb_host/_3357_/Y (sky130_fd_sc_hd__nor2_1)
+     4    0.02                           mprj/u_wb_host/_1539_ (net)
+                  0.43    0.00    3.55 ^ mprj/u_wb_host/fanout432/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.18    0.36    3.91 ^ mprj/u_wb_host/fanout432/X (sky130_fd_sc_hd__clkbuf_4)
+    18    0.06                           mprj/u_wb_host/net432 (net)
+                  0.18    0.00    3.91 ^ mprj/u_wb_host/fanout431/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.24    4.15 ^ mprj/u_wb_host/fanout431/X (sky130_fd_sc_hd__clkbuf_2)
+     5    0.03                           mprj/u_wb_host/net431 (net)
+                  0.16    0.00    4.15 ^ mprj/u_wb_host/fanout430/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.14    0.25    4.40 ^ mprj/u_wb_host/fanout430/X (sky130_fd_sc_hd__clkbuf_4)
+    10    0.04                           mprj/u_wb_host/net430 (net)
+                  0.14    0.00    4.40 ^ mprj/u_wb_host/_3427_/C1 (sky130_fd_sc_hd__o221a_1)
+                  0.12    0.25    4.65 ^ mprj/u_wb_host/_3427_/X (sky130_fd_sc_hd__o221a_1)
+     1    0.01                           mprj/u_wb_host/_1589_ (net)
+                  0.12    0.00    4.66 ^ mprj/u_wb_host/_3428_/B1 (sky130_fd_sc_hd__a21o_1)
+                  0.03    0.10    4.75 ^ mprj/u_wb_host/_3428_/X (sky130_fd_sc_hd__a21o_1)
+     1    0.00                           mprj/u_wb_host/_0139_ (net)
+                  0.03    0.00    4.75 ^ mprj/u_wb_host/_5601_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  4.75   data arrival time
+
+                        100.00  100.00   clock uart_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14  100.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00  100.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12  100.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00  100.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31  100.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01  100.58 ^ mprj/u_wb_host/clkbuf_leaf_10_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.25  100.83 ^ mprj/u_wb_host/clkbuf_leaf_10_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           mprj/u_wb_host/clknet_leaf_10_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00  100.83 ^ mprj/u_wb_host/_5601_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                         -0.25  100.58   clock uncertainty
+                          0.04  100.62   clock reconvergence pessimism
+                         -0.04  100.58   library setup time
+                                100.58   data required time
+-----------------------------------------------------------------------------
+                                100.58   data required time
+                                 -4.75   data arrival time
+-----------------------------------------------------------------------------
+                                 95.83   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6363_
+            (rising edge-triggered flip-flop clocked by uart_clk)
+Endpoint: mprj/u_wb_host/_5594_
+          (rising edge-triggered flip-flop clocked by uart_clk)
+Path Group: uart_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.00    0.62 ^ mprj/u_wb_host/clkbuf_leaf_11_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.27    0.88 ^ mprj/u_wb_host/clkbuf_leaf_11_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_11_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.88 ^ mprj/u_wb_host/_6363_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.12    0.47    1.35 v mprj/u_wb_host/_6363_/Q (sky130_fd_sc_hd__dfrtp_1)
+     3    0.02                           mprj/u_wb_host/u_uart2wb.u_msg.State[1] (net)
+                  0.12    0.00    1.35 v mprj/u_wb_host/fanout556/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.13    0.27    1.62 v mprj/u_wb_host/fanout556/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.07                           mprj/u_wb_host/net556 (net)
+                  0.13    0.00    1.63 v mprj/u_wb_host/_3289_/A (sky130_fd_sc_hd__or3b_2)
+                  0.12    0.58    2.21 v mprj/u_wb_host/_3289_/X (sky130_fd_sc_hd__or3b_2)
+     4    0.02                           mprj/u_wb_host/_1511_ (net)
+                  0.12    0.00    2.21 v mprj/u_wb_host/_3290_/B (sky130_fd_sc_hd__nor2_1)
+                  0.08    0.12    2.33 ^ mprj/u_wb_host/_3290_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.00                           mprj/u_wb_host/_1512_ (net)
+                  0.08    0.00    2.33 ^ mprj/u_wb_host/fanout483/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    2.60 ^ mprj/u_wb_host/fanout483/X (sky130_fd_sc_hd__buf_2)
+    12    0.05                           mprj/u_wb_host/net483 (net)
+                  0.24    0.00    2.60 ^ mprj/u_wb_host/fanout482/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.20    0.33    2.92 ^ mprj/u_wb_host/fanout482/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.07                           mprj/u_wb_host/net482 (net)
+                  0.20    0.00    2.92 ^ mprj/u_wb_host/fanout481/A (sky130_fd_sc_hd__buf_2)
+                  0.20    0.28    3.20 ^ mprj/u_wb_host/fanout481/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_wb_host/net481 (net)
+                  0.20    0.00    3.20 ^ mprj/u_wb_host/_3356_/A1 (sky130_fd_sc_hd__a211o_2)
+                  0.12    0.24    3.44 ^ mprj/u_wb_host/_3356_/X (sky130_fd_sc_hd__a211o_2)
+     6    0.02                           mprj/u_wb_host/_1538_ (net)
+                  0.12    0.00    3.44 ^ mprj/u_wb_host/_3358_/B (sky130_fd_sc_hd__or2_1)
+                  0.05    0.12    3.56 ^ mprj/u_wb_host/_3358_/X (sky130_fd_sc_hd__or2_1)
+     1    0.00                           mprj/u_wb_host/_1540_ (net)
+                  0.05    0.00    3.56 ^ mprj/u_wb_host/fanout423/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.25    0.26    3.82 ^ mprj/u_wb_host/fanout423/X (sky130_fd_sc_hd__clkbuf_2)
+    10    0.05                           mprj/u_wb_host/net423 (net)
+                  0.25    0.00    3.82 ^ mprj/u_wb_host/fanout422/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.34    4.16 ^ mprj/u_wb_host/fanout422/X (sky130_fd_sc_hd__buf_2)
+    16    0.05                           mprj/u_wb_host/net422 (net)
+                  0.26    0.00    4.16 ^ mprj/u_wb_host/fanout421/A (sky130_fd_sc_hd__buf_2)
+                  0.20    0.30    4.46 ^ mprj/u_wb_host/fanout421/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_wb_host/net421 (net)
+                  0.20    0.00    4.46 ^ mprj/u_wb_host/_3404_/B1 (sky130_fd_sc_hd__a21o_1)
+                  0.10    0.16    4.62 ^ mprj/u_wb_host/_3404_/X (sky130_fd_sc_hd__a21o_1)
+     1    0.01                           mprj/u_wb_host/_1573_ (net)
+                  0.10    0.00    4.62 ^ mprj/u_wb_host/_3405_/B2 (sky130_fd_sc_hd__o22a_1)
+                  0.04    0.13    4.75 ^ mprj/u_wb_host/_3405_/X (sky130_fd_sc_hd__o22a_1)
+     1    0.00                           mprj/u_wb_host/_0132_ (net)
+                  0.04    0.00    4.75 ^ mprj/u_wb_host/_5594_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  4.75   data arrival time
+
+                        100.00  100.00   clock uart_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14  100.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00  100.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12  100.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00  100.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31  100.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01  100.58 ^ mprj/u_wb_host/clkbuf_leaf_8_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.25  100.83 ^ mprj/u_wb_host/clkbuf_leaf_8_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_8_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00  100.83 ^ mprj/u_wb_host/_5594_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                         -0.25  100.58   clock uncertainty
+                          0.04  100.62   clock reconvergence pessimism
+                         -0.04  100.58   library setup time
+                                100.58   data required time
+-----------------------------------------------------------------------------
+                                100.58   data required time
+                                 -4.75   data arrival time
+-----------------------------------------------------------------------------
+                                 95.83   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6362_
+            (rising edge-triggered flip-flop clocked by uart_clk)
+Endpoint: mprj/u_wb_host/_5589_
+          (rising edge-triggered flip-flop clocked by uart_clk)
+Path Group: uart_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01    0.62 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.26    0.89 ^ mprj/u_wb_host/clkbuf_leaf_12_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_12_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.89 ^ mprj/u_wb_host/_6362_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.10    0.45    1.34 v mprj/u_wb_host/_6362_/Q (sky130_fd_sc_hd__dfrtp_1)
+     3    0.02                           mprj/u_wb_host/u_uart2wb.u_msg.State[0] (net)
+                  0.10    0.00    1.34 v mprj/u_wb_host/fanout557/A (sky130_fd_sc_hd__buf_4)
+                  0.10    0.23    1.58 v mprj/u_wb_host/fanout557/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_wb_host/net557 (net)
+                  0.10    0.00    1.58 v mprj/u_wb_host/_2678_/A (sky130_fd_sc_hd__inv_2)
+                  0.12    0.14    1.72 ^ mprj/u_wb_host/_2678_/Y (sky130_fd_sc_hd__inv_2)
+     4    0.03                           mprj/u_wb_host/_1099_ (net)
+                  0.12    0.00    1.72 ^ mprj/u_wb_host/fanout534/A (sky130_fd_sc_hd__buf_4)
+                  0.23    0.28    2.00 ^ mprj/u_wb_host/fanout534/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net534 (net)
+                  0.23    0.00    2.00 ^ mprj/u_wb_host/_3290_/A (sky130_fd_sc_hd__nor2_1)
+                  0.06    0.08    2.08 v mprj/u_wb_host/_3290_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.00                           mprj/u_wb_host/_1512_ (net)
+                  0.06    0.00    2.08 v mprj/u_wb_host/fanout483/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.21    2.29 v mprj/u_wb_host/fanout483/X (sky130_fd_sc_hd__buf_2)
+    12    0.05                           mprj/u_wb_host/net483 (net)
+                  0.11    0.00    2.29 v mprj/u_wb_host/fanout482/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.13    0.26    2.56 v mprj/u_wb_host/fanout482/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.06                           mprj/u_wb_host/net482 (net)
+                  0.13    0.00    2.56 v mprj/u_wb_host/fanout481/A (sky130_fd_sc_hd__buf_2)
+                  0.10    0.23    2.79 v mprj/u_wb_host/fanout481/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_wb_host/net481 (net)
+                  0.10    0.00    2.79 v mprj/u_wb_host/_3356_/A1 (sky130_fd_sc_hd__a211o_2)
+                  0.09    0.38    3.17 v mprj/u_wb_host/_3356_/X (sky130_fd_sc_hd__a211o_2)
+     6    0.02                           mprj/u_wb_host/_1538_ (net)
+                  0.09    0.00    3.17 v mprj/u_wb_host/_3358_/B (sky130_fd_sc_hd__or2_1)
+                  0.05    0.23    3.40 v mprj/u_wb_host/_3358_/X (sky130_fd_sc_hd__or2_1)
+     1    0.00                           mprj/u_wb_host/_1540_ (net)
+                  0.05    0.00    3.40 v mprj/u_wb_host/fanout423/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.17    0.23    3.63 v mprj/u_wb_host/fanout423/X (sky130_fd_sc_hd__clkbuf_2)
+    10    0.05                           mprj/u_wb_host/net423 (net)
+                  0.17    0.00    3.63 v mprj/u_wb_host/fanout422/A (sky130_fd_sc_hd__buf_2)
+                  0.12    0.28    3.91 v mprj/u_wb_host/fanout422/X (sky130_fd_sc_hd__buf_2)
+    16    0.05                           mprj/u_wb_host/net422 (net)
+                  0.12    0.00    3.91 v mprj/u_wb_host/fanout421/A (sky130_fd_sc_hd__buf_2)
+                  0.09    0.23    4.14 v mprj/u_wb_host/fanout421/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_wb_host/net421 (net)
+                  0.09    0.00    4.14 v mprj/u_wb_host/_3391_/A (sky130_fd_sc_hd__or3_1)
+                  0.06    0.39    4.53 v mprj/u_wb_host/_3391_/X (sky130_fd_sc_hd__or3_1)
+     1    0.00                           mprj/u_wb_host/_1565_ (net)
+                  0.06    0.00    4.53 v mprj/u_wb_host/_3392_/B2 (sky130_fd_sc_hd__o22a_1)
+                  0.04    0.16    4.68 v mprj/u_wb_host/_3392_/X (sky130_fd_sc_hd__o22a_1)
+     1    0.00                           mprj/u_wb_host/_0127_ (net)
+                  0.04    0.00    4.69 v mprj/u_wb_host/_5589_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  4.69   data arrival time
+
+                        100.00  100.00   clock uart_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14  100.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00  100.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12  100.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00  100.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31  100.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01  100.58 ^ mprj/u_wb_host/clkbuf_leaf_8_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.25  100.83 ^ mprj/u_wb_host/clkbuf_leaf_8_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_8_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00  100.83 ^ mprj/u_wb_host/_5589_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                         -0.25  100.58   clock uncertainty
+                          0.04  100.62   clock reconvergence pessimism
+                         -0.09  100.53   library setup time
+                                100.53   data required time
+-----------------------------------------------------------------------------
+                                100.53   data required time
+                                 -4.69   data arrival time
+-----------------------------------------------------------------------------
+                                 95.85   slack (MET)
+
+
+Startpoint: mprj/u_wb_host/_6363_
+            (rising edge-triggered flip-flop clocked by uart_clk)
+Endpoint: mprj/u_wb_host/_5588_
+          (rising edge-triggered flip-flop clocked by uart_clk)
+Path Group: uart_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock uart_clk (rise edge)
+                          0.00    0.00   clock source latency
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00    0.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    0.15 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00    0.15 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    0.28 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00    0.28 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.34    0.61 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.00    0.62 ^ mprj/u_wb_host/clkbuf_leaf_11_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.27    0.88 ^ mprj/u_wb_host/clkbuf_leaf_11_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_wb_host/clknet_leaf_11_u_uart2wb.baud_clk_16x (net)
+                  0.10    0.00    0.88 ^ mprj/u_wb_host/_6363_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                  0.12    0.47    1.35 v mprj/u_wb_host/_6363_/Q (sky130_fd_sc_hd__dfrtp_1)
+     3    0.02                           mprj/u_wb_host/u_uart2wb.u_msg.State[1] (net)
+                  0.12    0.00    1.35 v mprj/u_wb_host/fanout556/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.13    0.27    1.62 v mprj/u_wb_host/fanout556/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.07                           mprj/u_wb_host/net556 (net)
+                  0.13    0.00    1.63 v mprj/u_wb_host/_3289_/A (sky130_fd_sc_hd__or3b_2)
+                  0.12    0.58    2.21 v mprj/u_wb_host/_3289_/X (sky130_fd_sc_hd__or3b_2)
+     4    0.02                           mprj/u_wb_host/_1511_ (net)
+                  0.12    0.00    2.21 v mprj/u_wb_host/_3290_/B (sky130_fd_sc_hd__nor2_1)
+                  0.08    0.12    2.33 ^ mprj/u_wb_host/_3290_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.00                           mprj/u_wb_host/_1512_ (net)
+                  0.08    0.00    2.33 ^ mprj/u_wb_host/fanout483/A (sky130_fd_sc_hd__buf_2)
+                  0.24    0.27    2.60 ^ mprj/u_wb_host/fanout483/X (sky130_fd_sc_hd__buf_2)
+    12    0.05                           mprj/u_wb_host/net483 (net)
+                  0.24    0.00    2.60 ^ mprj/u_wb_host/fanout482/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.20    0.33    2.92 ^ mprj/u_wb_host/fanout482/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.07                           mprj/u_wb_host/net482 (net)
+                  0.20    0.00    2.92 ^ mprj/u_wb_host/fanout481/A (sky130_fd_sc_hd__buf_2)
+                  0.20    0.28    3.20 ^ mprj/u_wb_host/fanout481/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_wb_host/net481 (net)
+                  0.20    0.00    3.20 ^ mprj/u_wb_host/_3356_/A1 (sky130_fd_sc_hd__a211o_2)
+                  0.12    0.24    3.44 ^ mprj/u_wb_host/_3356_/X (sky130_fd_sc_hd__a211o_2)
+     6    0.02                           mprj/u_wb_host/_1538_ (net)
+                  0.12    0.00    3.44 ^ mprj/u_wb_host/_3358_/B (sky130_fd_sc_hd__or2_1)
+                  0.05    0.12    3.56 ^ mprj/u_wb_host/_3358_/X (sky130_fd_sc_hd__or2_1)
+     1    0.00                           mprj/u_wb_host/_1540_ (net)
+                  0.05    0.00    3.56 ^ mprj/u_wb_host/fanout423/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.25    0.26    3.82 ^ mprj/u_wb_host/fanout423/X (sky130_fd_sc_hd__clkbuf_2)
+    10    0.05                           mprj/u_wb_host/net423 (net)
+                  0.25    0.00    3.82 ^ mprj/u_wb_host/fanout422/A (sky130_fd_sc_hd__buf_2)
+                  0.26    0.34    4.16 ^ mprj/u_wb_host/fanout422/X (sky130_fd_sc_hd__buf_2)
+    16    0.05                           mprj/u_wb_host/net422 (net)
+                  0.26    0.00    4.16 ^ mprj/u_wb_host/fanout421/A (sky130_fd_sc_hd__buf_2)
+                  0.20    0.30    4.46 ^ mprj/u_wb_host/fanout421/X (sky130_fd_sc_hd__buf_2)
+    10    0.04                           mprj/u_wb_host/net421 (net)
+                  0.20    0.00    4.46 ^ mprj/u_wb_host/_3379_/B1 (sky130_fd_sc_hd__a211o_1)
+                  0.05    0.14    4.60 ^ mprj/u_wb_host/_3379_/X (sky130_fd_sc_hd__a211o_1)
+     1    0.00                           mprj/u_wb_host/_1554_ (net)
+                  0.05    0.00    4.60 ^ mprj/u_wb_host/_3380_/B1 (sky130_fd_sc_hd__o21a_1)
+                  0.04    0.11    4.71 ^ mprj/u_wb_host/_3380_/X (sky130_fd_sc_hd__o21a_1)
+     1    0.00                           mprj/u_wb_host/_0126_ (net)
+                  0.04    0.00    4.71 ^ mprj/u_wb_host/_5588_/D (sky130_fd_sc_hd__dfxtp_1)
+                                  4.71   data arrival time
+
+                        100.00  100.00   clock uart_clk (rise edge)
+                          0.00  100.00   clock source latency
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
+                  0.05    0.00  100.00 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.14  100.14 ^ mprj/u_wb_host/clkbuf_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_u_uart2wb.baud_clk_16x (net)
+                  0.06    0.00  100.14 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12  100.26 ^ mprj/u_wb_host/clkbuf_1_1_0_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_wb_host/clknet_1_1_0_u_uart2wb.baud_clk_16x (net)
+                  0.04    0.00  100.26 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.32    0.31  100.57 ^ mprj/u_wb_host/clkbuf_1_1_1_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_8)
+    24    0.19                           mprj/u_wb_host/clknet_1_1_1_u_uart2wb.baud_clk_16x (net)
+                  0.32    0.01  100.58 ^ mprj/u_wb_host/clkbuf_leaf_7_u_uart2wb.baud_clk_16x/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.09    0.24  100.82 ^ mprj/u_wb_host/clkbuf_leaf_7_u_uart2wb.baud_clk_16x/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.07                           mprj/u_wb_host/clknet_leaf_7_u_uart2wb.baud_clk_16x (net)
+                  0.09    0.00  100.82 ^ mprj/u_wb_host/_5588_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                         -0.25  100.57   clock uncertainty
+                          0.04  100.61   clock reconvergence pessimism
+                         -0.05  100.57   library setup time
+                                100.57   data required time
+-----------------------------------------------------------------------------
+                                100.57   data required time
+                                 -4.71   data arrival time
+-----------------------------------------------------------------------------
+                                 95.86   slack (MET)
 
 
 Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
@@ -151074,2846 +177613,47 @@
                   0.06    0.00   11.84 v mgmt_buffers/output870/A (sky130_fd_sc_hd__buf_8)
                   0.02    0.12   11.96 v mgmt_buffers/output870/X (sky130_fd_sc_hd__buf_8)
      2    0.00                           mprj_adr_o_user[2] (net)
-                  0.02    0.00   11.96 v mprj/u_wb_host/input53/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.05    0.11   12.06 v mprj/u_wb_host/input53/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.02    0.00   11.96 v mprj/u_rp_south/u_rp[14].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.16    0.23   12.19 v mprj/u_rp_south/u_rp[14].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.08                           mprj/u_rp_south/net70 (net)
+                  0.17    0.02   12.21 v mprj/u_rp_south/wire70/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.24   12.45 v mprj/u_rp_south/wire70/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[14] (net)
+                  0.44    0.21   12.66 v mprj/u_wb_host/input53/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.25   12.91 v mprj/u_wb_host/input53/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/net53 (net)
-                  0.05    0.00   12.06 v mprj/u_wb_host/_4056_/A (sky130_fd_sc_hd__or2_1)
-                  0.10    0.29   12.36 v mprj/u_wb_host/_4056_/X (sky130_fd_sc_hd__or2_1)
-     2    0.01                           mprj/u_wb_host/_2076_ (net)
-                  0.10    0.00   12.36 v mprj/u_wb_host/_4057_/B1 (sky130_fd_sc_hd__o21ai_4)
-                  2.08    0.76   13.12 ^ mprj/u_wb_host/_4057_/Y (sky130_fd_sc_hd__o21ai_4)
-    74    0.32                           mprj/u_wb_host/_2077_ (net)
-                  2.08    0.03   13.15 ^ mprj/u_wb_host/_4082_/A (sky130_fd_sc_hd__nand2_8)
-                  0.65    0.60   13.75 v mprj/u_wb_host/_4082_/Y (sky130_fd_sc_hd__nand2_8)
-    66    0.20                           mprj/u_wb_host/_2095_ (net)
-                  0.65    0.00   13.75 v mprj/u_wb_host/_5410_/C (sky130_fd_sc_hd__or3_4)
-                  0.34    0.87   14.62 v mprj/u_wb_host/_5410_/X (sky130_fd_sc_hd__or3_4)
-    64    0.24                           mprj/u_wb_host/_2673_ (net)
-                  0.34    0.03   14.65 v mprj/u_wb_host/_5421_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.42   15.07 v mprj/u_wb_host/_5421_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_1045_ (net)
-                  0.05    0.00   15.07 v mprj/u_wb_host/_6562_/D (sky130_fd_sc_hd__dfrtp_2)
-                                 15.07   data arrival time
-
-                         25.00   25.00   clock wb_clk (rise edge)
-                          0.00   25.00   clock source latency
-                  1.00    0.00   25.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10   29.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00   29.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13   29.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00   29.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14   29.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00   29.51 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   29.65 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_0_0_wbm_clk_i (net)
-                  0.05    0.00   29.65 ^ mprj/u_wb_host/clkbuf_3_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.19    0.23   29.88 ^ mprj/u_wb_host/clkbuf_3_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.11                           mprj/u_wb_host/clknet_3_1_0_wbm_clk_i (net)
-                  0.19    0.00   29.89 ^ mprj/u_wb_host/clkbuf_leaf_59_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.17   30.05 ^ mprj/u_wb_host/clkbuf_leaf_59_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     6    0.02                           mprj/u_wb_host/clknet_leaf_59_wbm_clk_i (net)
-                  0.04    0.00   30.06 ^ mprj/u_wb_host/_6562_/CLK (sky130_fd_sc_hd__dfrtp_2)
-                         -0.25   29.81   clock uncertainty
-                          0.95   30.76   clock reconvergence pessimism
-                         -0.12   30.64   library setup time
-                                 30.64   data required time
------------------------------------------------------------------------------
-                                 30.64   data required time
-                                -15.07   data arrival time
------------------------------------------------------------------------------
-                                 15.57   slack (MET)
-
-
-Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
-Endpoint: mprj/u_wb_host/_6615_
-          (rising edge-triggered flip-flop clocked by wb_clk)
-Path Group: wb_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           soc/clknet_0_core_clk (net)
-                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_0_core_clk (net)
-                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_1_core_clk (net)
-                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_2_core_clk (net)
-                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_3_core_clk (net)
-                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_4_core_clk (net)
-                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           soc/clknet_1_1_5_core_clk (net)
-                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_1_1_6_core_clk (net)
-                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_2_3_0_core_clk (net)
-                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_2_3_1_core_clk (net)
-                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_0_core_clk (net)
-                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_1_core_clk (net)
-                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_3_7_2_core_clk (net)
-                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_4_14_0_core_clk (net)
-                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_5_28_0_core_clk (net)
-                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           soc/clknet_5_28_1_core_clk (net)
-                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           soc/clknet_leaf_243_core_clk (net)
-                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.11    0.39    6.87 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
-     1    0.01                           soc/core.grant[0] (net)
-                  0.11    0.00    6.87 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
-                  0.16    0.21    7.08 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
-    10    0.10                           soc/net3544 (net)
-                  0.16    0.00    7.08 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
-                  0.22    0.26    7.34 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
-    14    0.11                           soc/net3546 (net)
-                  0.22    0.02    7.36 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
-                  0.31    0.36    7.72 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
-    17    0.11                           soc/net3545 (net)
-                  0.32    0.02    7.75 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
-                  0.09    0.11    7.86 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
-     1    0.01                           soc/_13629_ (net)
-                  0.09    0.00    7.86 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
-                  0.05    0.16    8.02 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
-     4    0.04                           soc/net3233 (net)
-                  0.05    0.00    8.02 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
-                  0.11    0.18    8.20 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
-    16    0.14                           soc/net3235 (net)
-                  0.12    0.03    8.23 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
-                  0.06    0.19    8.42 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
-    12    0.06                           soc/net3231 (net)
-                  0.06    0.00    8.43 v soc/_14146_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.32    8.75 v soc/_14146_/X (sky130_fd_sc_hd__and2_2)
-    10    0.06                           soc/_13643_ (net)
-                  0.15    0.01    8.75 v soc/_14147_/C1 (sky130_fd_sc_hd__a221oi_4)
-                  0.73    0.63    9.38 ^ soc/_14147_/Y (sky130_fd_sc_hd__a221oi_4)
-     6    0.06                           soc/_13644_ (net)
-                  0.73    0.01    9.38 ^ soc/max_length2753/A (sky130_fd_sc_hd__buf_6)
-                  0.21    0.32    9.71 ^ soc/max_length2753/X (sky130_fd_sc_hd__buf_6)
-     9    0.10                           soc/net2753 (net)
-                  0.21    0.02    9.73 ^ soc/wire2751/A (sky130_fd_sc_hd__buf_4)
-                  0.25    0.32   10.05 ^ soc/wire2751/X (sky130_fd_sc_hd__buf_4)
-     4    0.09                           soc/net2751 (net)
-                  0.25    0.01   10.06 ^ soc/_14148_/A (sky130_fd_sc_hd__inv_12)
-                  0.09    0.09   10.15 v soc/_14148_/Y (sky130_fd_sc_hd__inv_12)
-     4    0.08                           soc/net634 (net)
-                  0.09    0.01   10.17 v soc/wire2404/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.19   10.36 v soc/wire2404/X (sky130_fd_sc_hd__buf_12)
-     7    0.17                           soc/net2404 (net)
-                  0.13    0.04   10.40 v soc/output634/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.16   10.56 v soc/output634/X (sky130_fd_sc_hd__buf_12)
-     3    0.01                           mprj_adr_o_core[2] (net)
-                  0.02    0.00   10.56 v mgmt_buffers/input410/A (sky130_fd_sc_hd__buf_6)
-                  0.04    0.13   10.69 v mgmt_buffers/input410/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mgmt_buffers/net410 (net)
-                  0.04    0.00   10.69 v mgmt_buffers/wire1596/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.16   10.85 v mgmt_buffers/wire1596/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1596 (net)
-                  0.07    0.01   10.86 v mgmt_buffers/wire1595/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.20   11.06 v mgmt_buffers/wire1595/X (sky130_fd_sc_hd__buf_6)
-     2    0.11                           mgmt_buffers/net1595 (net)
-                  0.10    0.01   11.07 v mgmt_buffers/wire1594/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19   11.25 v mgmt_buffers/wire1594/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1594 (net)
-                  0.07    0.01   11.26 v mgmt_buffers/wire1593/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.18   11.44 v mgmt_buffers/wire1593/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1593 (net)
-                  0.07    0.01   11.45 v mgmt_buffers/wire1592/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.17   11.62 v mgmt_buffers/wire1592/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1592 (net)
-                  0.07    0.01   11.63 v mgmt_buffers/_307_/B (sky130_fd_sc_hd__and2_4)
-                  0.06    0.21   11.83 v mgmt_buffers/_307_/X (sky130_fd_sc_hd__and2_4)
-     2    0.03                           mgmt_buffers/net870 (net)
-                  0.06    0.00   11.84 v mgmt_buffers/output870/A (sky130_fd_sc_hd__buf_8)
-                  0.02    0.12   11.96 v mgmt_buffers/output870/X (sky130_fd_sc_hd__buf_8)
-     2    0.00                           mprj_adr_o_user[2] (net)
-                  0.02    0.00   11.96 v mprj/u_wb_host/input53/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.05    0.11   12.06 v mprj/u_wb_host/input53/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_wb_host/net53 (net)
-                  0.05    0.00   12.06 v mprj/u_wb_host/_4056_/A (sky130_fd_sc_hd__or2_1)
-                  0.10    0.29   12.36 v mprj/u_wb_host/_4056_/X (sky130_fd_sc_hd__or2_1)
-     2    0.01                           mprj/u_wb_host/_2076_ (net)
-                  0.10    0.00   12.36 v mprj/u_wb_host/_4057_/B1 (sky130_fd_sc_hd__o21ai_4)
-                  2.08    0.76   13.12 ^ mprj/u_wb_host/_4057_/Y (sky130_fd_sc_hd__o21ai_4)
-    74    0.32                           mprj/u_wb_host/_2077_ (net)
-                  2.08    0.03   13.15 ^ mprj/u_wb_host/_4082_/A (sky130_fd_sc_hd__nand2_8)
-                  0.65    0.60   13.75 v mprj/u_wb_host/_4082_/Y (sky130_fd_sc_hd__nand2_8)
-    66    0.20                           mprj/u_wb_host/_2095_ (net)
-                  0.65    0.00   13.75 v mprj/u_wb_host/_5410_/C (sky130_fd_sc_hd__or3_4)
-                  0.34    0.87   14.62 v mprj/u_wb_host/_5410_/X (sky130_fd_sc_hd__or3_4)
-    64    0.24                           mprj/u_wb_host/_2673_ (net)
-                  0.35    0.04   14.66 v mprj/u_wb_host/_5474_/S (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.43   15.10 v mprj/u_wb_host/_5474_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_1098_ (net)
-                  0.06    0.00   15.10 v mprj/u_wb_host/_6615_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 15.10   data arrival time
-
-                         25.00   25.00   clock wb_clk (rise edge)
-                          0.00   25.00   clock source latency
-                  1.00    0.00   25.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10   29.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00   29.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13   29.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00   29.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14   29.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00   29.51 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   29.65 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_0_0_wbm_clk_i (net)
-                  0.05    0.00   29.65 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.21    0.24   29.89 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.12                           mprj/u_wb_host/clknet_3_0_0_wbm_clk_i (net)
-                  0.21    0.00   29.89 ^ mprj/u_wb_host/clkbuf_leaf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.18   30.08 ^ mprj/u_wb_host/clkbuf_leaf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     9    0.03                           mprj/u_wb_host/clknet_leaf_0_wbm_clk_i (net)
-                  0.06    0.00   30.08 ^ mprj/u_wb_host/_6615_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   29.83   clock uncertainty
-                          0.95   30.78   clock reconvergence pessimism
-                         -0.12   30.66   library setup time
-                                 30.66   data required time
------------------------------------------------------------------------------
-                                 30.66   data required time
-                                -15.10   data arrival time
------------------------------------------------------------------------------
-                                 15.57   slack (MET)
-
-
-Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
-Endpoint: mprj/u_wb_host/_6580_
-          (rising edge-triggered flip-flop clocked by wb_clk)
-Path Group: wb_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           soc/clknet_0_core_clk (net)
-                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_0_core_clk (net)
-                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_1_core_clk (net)
-                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_2_core_clk (net)
-                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_3_core_clk (net)
-                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_4_core_clk (net)
-                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           soc/clknet_1_1_5_core_clk (net)
-                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_1_1_6_core_clk (net)
-                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_2_3_0_core_clk (net)
-                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_2_3_1_core_clk (net)
-                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_0_core_clk (net)
-                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_1_core_clk (net)
-                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_3_7_2_core_clk (net)
-                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_4_14_0_core_clk (net)
-                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_5_28_0_core_clk (net)
-                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           soc/clknet_5_28_1_core_clk (net)
-                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           soc/clknet_leaf_243_core_clk (net)
-                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.11    0.39    6.87 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
-     1    0.01                           soc/core.grant[0] (net)
-                  0.11    0.00    6.87 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
-                  0.16    0.21    7.08 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
-    10    0.10                           soc/net3544 (net)
-                  0.16    0.00    7.08 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
-                  0.22    0.26    7.34 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
-    14    0.11                           soc/net3546 (net)
-                  0.22    0.02    7.36 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
-                  0.31    0.36    7.72 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
-    17    0.11                           soc/net3545 (net)
-                  0.32    0.02    7.75 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
-                  0.09    0.11    7.86 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
-     1    0.01                           soc/_13629_ (net)
-                  0.09    0.00    7.86 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
-                  0.05    0.16    8.02 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
-     4    0.04                           soc/net3233 (net)
-                  0.05    0.00    8.02 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
-                  0.11    0.18    8.20 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
-    16    0.14                           soc/net3235 (net)
-                  0.12    0.03    8.23 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
-                  0.06    0.19    8.42 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
-    12    0.06                           soc/net3231 (net)
-                  0.06    0.00    8.43 v soc/_14146_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.32    8.75 v soc/_14146_/X (sky130_fd_sc_hd__and2_2)
-    10    0.06                           soc/_13643_ (net)
-                  0.15    0.01    8.75 v soc/_14147_/C1 (sky130_fd_sc_hd__a221oi_4)
-                  0.73    0.63    9.38 ^ soc/_14147_/Y (sky130_fd_sc_hd__a221oi_4)
-     6    0.06                           soc/_13644_ (net)
-                  0.73    0.01    9.38 ^ soc/max_length2753/A (sky130_fd_sc_hd__buf_6)
-                  0.21    0.32    9.71 ^ soc/max_length2753/X (sky130_fd_sc_hd__buf_6)
-     9    0.10                           soc/net2753 (net)
-                  0.21    0.02    9.73 ^ soc/wire2751/A (sky130_fd_sc_hd__buf_4)
-                  0.25    0.32   10.05 ^ soc/wire2751/X (sky130_fd_sc_hd__buf_4)
-     4    0.09                           soc/net2751 (net)
-                  0.25    0.01   10.06 ^ soc/_14148_/A (sky130_fd_sc_hd__inv_12)
-                  0.09    0.09   10.15 v soc/_14148_/Y (sky130_fd_sc_hd__inv_12)
-     4    0.08                           soc/net634 (net)
-                  0.09    0.01   10.17 v soc/wire2404/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.19   10.36 v soc/wire2404/X (sky130_fd_sc_hd__buf_12)
-     7    0.17                           soc/net2404 (net)
-                  0.13    0.04   10.40 v soc/output634/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.16   10.56 v soc/output634/X (sky130_fd_sc_hd__buf_12)
-     3    0.01                           mprj_adr_o_core[2] (net)
-                  0.02    0.00   10.56 v mgmt_buffers/input410/A (sky130_fd_sc_hd__buf_6)
-                  0.04    0.13   10.69 v mgmt_buffers/input410/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mgmt_buffers/net410 (net)
-                  0.04    0.00   10.69 v mgmt_buffers/wire1596/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.16   10.85 v mgmt_buffers/wire1596/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1596 (net)
-                  0.07    0.01   10.86 v mgmt_buffers/wire1595/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.20   11.06 v mgmt_buffers/wire1595/X (sky130_fd_sc_hd__buf_6)
-     2    0.11                           mgmt_buffers/net1595 (net)
-                  0.10    0.01   11.07 v mgmt_buffers/wire1594/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19   11.25 v mgmt_buffers/wire1594/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1594 (net)
-                  0.07    0.01   11.26 v mgmt_buffers/wire1593/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.18   11.44 v mgmt_buffers/wire1593/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1593 (net)
-                  0.07    0.01   11.45 v mgmt_buffers/wire1592/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.17   11.62 v mgmt_buffers/wire1592/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1592 (net)
-                  0.07    0.01   11.63 v mgmt_buffers/_307_/B (sky130_fd_sc_hd__and2_4)
-                  0.06    0.21   11.83 v mgmt_buffers/_307_/X (sky130_fd_sc_hd__and2_4)
-     2    0.03                           mgmt_buffers/net870 (net)
-                  0.06    0.00   11.84 v mgmt_buffers/output870/A (sky130_fd_sc_hd__buf_8)
-                  0.02    0.12   11.96 v mgmt_buffers/output870/X (sky130_fd_sc_hd__buf_8)
-     2    0.00                           mprj_adr_o_user[2] (net)
-                  0.02    0.00   11.96 v mprj/u_wb_host/input53/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.05    0.11   12.06 v mprj/u_wb_host/input53/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_wb_host/net53 (net)
-                  0.05    0.00   12.06 v mprj/u_wb_host/_4056_/A (sky130_fd_sc_hd__or2_1)
-                  0.10    0.29   12.36 v mprj/u_wb_host/_4056_/X (sky130_fd_sc_hd__or2_1)
-     2    0.01                           mprj/u_wb_host/_2076_ (net)
-                  0.10    0.00   12.36 v mprj/u_wb_host/_4057_/B1 (sky130_fd_sc_hd__o21ai_4)
-                  2.08    0.76   13.12 ^ mprj/u_wb_host/_4057_/Y (sky130_fd_sc_hd__o21ai_4)
-    74    0.32                           mprj/u_wb_host/_2077_ (net)
-                  2.08    0.03   13.15 ^ mprj/u_wb_host/_4082_/A (sky130_fd_sc_hd__nand2_8)
-                  0.65    0.60   13.75 v mprj/u_wb_host/_4082_/Y (sky130_fd_sc_hd__nand2_8)
-    66    0.20                           mprj/u_wb_host/_2095_ (net)
-                  0.65    0.00   13.75 v mprj/u_wb_host/_5410_/C (sky130_fd_sc_hd__or3_4)
-                  0.34    0.87   14.62 v mprj/u_wb_host/_5410_/X (sky130_fd_sc_hd__or3_4)
-    64    0.24                           mprj/u_wb_host/_2673_ (net)
-                  0.34    0.02   14.64 v mprj/u_wb_host/_5439_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.43   15.07 v mprj/u_wb_host/_5439_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_1063_ (net)
-                  0.05    0.00   15.07 v mprj/u_wb_host/_6580_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 15.07   data arrival time
-
-                         25.00   25.00   clock wb_clk (rise edge)
-                          0.00   25.00   clock source latency
-                  1.00    0.00   25.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10   29.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00   29.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13   29.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00   29.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14   29.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00   29.51 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   29.65 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_0_0_wbm_clk_i (net)
-                  0.05    0.00   29.65 ^ mprj/u_wb_host/clkbuf_3_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.19    0.23   29.88 ^ mprj/u_wb_host/clkbuf_3_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.11                           mprj/u_wb_host/clknet_3_1_0_wbm_clk_i (net)
-                  0.19    0.00   29.89 ^ mprj/u_wb_host/clkbuf_leaf_59_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.17   30.05 ^ mprj/u_wb_host/clkbuf_leaf_59_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     6    0.02                           mprj/u_wb_host/clknet_leaf_59_wbm_clk_i (net)
-                  0.04    0.00   30.05 ^ mprj/u_wb_host/_6580_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   29.80   clock uncertainty
-                          0.95   30.76   clock reconvergence pessimism
-                         -0.12   30.64   library setup time
-                                 30.64   data required time
------------------------------------------------------------------------------
-                                 30.64   data required time
-                                -15.07   data arrival time
------------------------------------------------------------------------------
-                                 15.57   slack (MET)
-
-
-Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
-Endpoint: mprj/u_wb_host/_6569_
-          (rising edge-triggered flip-flop clocked by wb_clk)
-Path Group: wb_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           soc/clknet_0_core_clk (net)
-                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_0_core_clk (net)
-                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_1_core_clk (net)
-                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_2_core_clk (net)
-                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_3_core_clk (net)
-                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_4_core_clk (net)
-                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           soc/clknet_1_1_5_core_clk (net)
-                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_1_1_6_core_clk (net)
-                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_2_3_0_core_clk (net)
-                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_2_3_1_core_clk (net)
-                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_0_core_clk (net)
-                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_1_core_clk (net)
-                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_3_7_2_core_clk (net)
-                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_4_14_0_core_clk (net)
-                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_5_28_0_core_clk (net)
-                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           soc/clknet_5_28_1_core_clk (net)
-                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           soc/clknet_leaf_243_core_clk (net)
-                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.11    0.39    6.87 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
-     1    0.01                           soc/core.grant[0] (net)
-                  0.11    0.00    6.87 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
-                  0.16    0.21    7.08 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
-    10    0.10                           soc/net3544 (net)
-                  0.16    0.00    7.08 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
-                  0.22    0.26    7.34 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
-    14    0.11                           soc/net3546 (net)
-                  0.22    0.02    7.36 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
-                  0.31    0.36    7.72 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
-    17    0.11                           soc/net3545 (net)
-                  0.32    0.02    7.75 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
-                  0.09    0.11    7.86 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
-     1    0.01                           soc/_13629_ (net)
-                  0.09    0.00    7.86 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
-                  0.05    0.16    8.02 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
-     4    0.04                           soc/net3233 (net)
-                  0.05    0.00    8.02 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
-                  0.11    0.18    8.20 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
-    16    0.14                           soc/net3235 (net)
-                  0.12    0.03    8.23 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
-                  0.06    0.19    8.42 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
-    12    0.06                           soc/net3231 (net)
-                  0.06    0.00    8.43 v soc/_14146_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.32    8.75 v soc/_14146_/X (sky130_fd_sc_hd__and2_2)
-    10    0.06                           soc/_13643_ (net)
-                  0.15    0.01    8.75 v soc/_14147_/C1 (sky130_fd_sc_hd__a221oi_4)
-                  0.73    0.63    9.38 ^ soc/_14147_/Y (sky130_fd_sc_hd__a221oi_4)
-     6    0.06                           soc/_13644_ (net)
-                  0.73    0.01    9.38 ^ soc/max_length2753/A (sky130_fd_sc_hd__buf_6)
-                  0.21    0.32    9.71 ^ soc/max_length2753/X (sky130_fd_sc_hd__buf_6)
-     9    0.10                           soc/net2753 (net)
-                  0.21    0.02    9.73 ^ soc/wire2751/A (sky130_fd_sc_hd__buf_4)
-                  0.25    0.32   10.05 ^ soc/wire2751/X (sky130_fd_sc_hd__buf_4)
-     4    0.09                           soc/net2751 (net)
-                  0.25    0.01   10.06 ^ soc/_14148_/A (sky130_fd_sc_hd__inv_12)
-                  0.09    0.09   10.15 v soc/_14148_/Y (sky130_fd_sc_hd__inv_12)
-     4    0.08                           soc/net634 (net)
-                  0.09    0.01   10.17 v soc/wire2404/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.19   10.36 v soc/wire2404/X (sky130_fd_sc_hd__buf_12)
-     7    0.17                           soc/net2404 (net)
-                  0.13    0.04   10.40 v soc/output634/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.16   10.56 v soc/output634/X (sky130_fd_sc_hd__buf_12)
-     3    0.01                           mprj_adr_o_core[2] (net)
-                  0.02    0.00   10.56 v mgmt_buffers/input410/A (sky130_fd_sc_hd__buf_6)
-                  0.04    0.13   10.69 v mgmt_buffers/input410/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mgmt_buffers/net410 (net)
-                  0.04    0.00   10.69 v mgmt_buffers/wire1596/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.16   10.85 v mgmt_buffers/wire1596/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1596 (net)
-                  0.07    0.01   10.86 v mgmt_buffers/wire1595/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.20   11.06 v mgmt_buffers/wire1595/X (sky130_fd_sc_hd__buf_6)
-     2    0.11                           mgmt_buffers/net1595 (net)
-                  0.10    0.01   11.07 v mgmt_buffers/wire1594/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19   11.25 v mgmt_buffers/wire1594/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1594 (net)
-                  0.07    0.01   11.26 v mgmt_buffers/wire1593/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.18   11.44 v mgmt_buffers/wire1593/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1593 (net)
-                  0.07    0.01   11.45 v mgmt_buffers/wire1592/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.17   11.62 v mgmt_buffers/wire1592/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1592 (net)
-                  0.07    0.01   11.63 v mgmt_buffers/_307_/B (sky130_fd_sc_hd__and2_4)
-                  0.06    0.21   11.83 v mgmt_buffers/_307_/X (sky130_fd_sc_hd__and2_4)
-     2    0.03                           mgmt_buffers/net870 (net)
-                  0.06    0.00   11.84 v mgmt_buffers/output870/A (sky130_fd_sc_hd__buf_8)
-                  0.02    0.12   11.96 v mgmt_buffers/output870/X (sky130_fd_sc_hd__buf_8)
-     2    0.00                           mprj_adr_o_user[2] (net)
-                  0.02    0.00   11.96 v mprj/u_wb_host/input53/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.05    0.11   12.06 v mprj/u_wb_host/input53/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_wb_host/net53 (net)
-                  0.05    0.00   12.06 v mprj/u_wb_host/_4056_/A (sky130_fd_sc_hd__or2_1)
-                  0.10    0.29   12.36 v mprj/u_wb_host/_4056_/X (sky130_fd_sc_hd__or2_1)
-     2    0.01                           mprj/u_wb_host/_2076_ (net)
-                  0.10    0.00   12.36 v mprj/u_wb_host/_4057_/B1 (sky130_fd_sc_hd__o21ai_4)
-                  2.08    0.76   13.12 ^ mprj/u_wb_host/_4057_/Y (sky130_fd_sc_hd__o21ai_4)
-    74    0.32                           mprj/u_wb_host/_2077_ (net)
-                  2.08    0.03   13.15 ^ mprj/u_wb_host/_4082_/A (sky130_fd_sc_hd__nand2_8)
-                  0.65    0.60   13.75 v mprj/u_wb_host/_4082_/Y (sky130_fd_sc_hd__nand2_8)
-    66    0.20                           mprj/u_wb_host/_2095_ (net)
-                  0.65    0.00   13.75 v mprj/u_wb_host/_5410_/C (sky130_fd_sc_hd__or3_4)
-                  0.34    0.87   14.62 v mprj/u_wb_host/_5410_/X (sky130_fd_sc_hd__or3_4)
-    64    0.24                           mprj/u_wb_host/_2673_ (net)
-                  0.35    0.05   14.67 v mprj/u_wb_host/_5428_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.43   15.09 v mprj/u_wb_host/_5428_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_1052_ (net)
-                  0.05    0.00   15.09 v mprj/u_wb_host/_6569_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 15.09   data arrival time
-
-                         25.00   25.00   clock wb_clk (rise edge)
-                          0.00   25.00   clock source latency
-                  1.00    0.00   25.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10   29.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00   29.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13   29.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00   29.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14   29.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00   29.51 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   29.65 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_0_0_wbm_clk_i (net)
-                  0.05    0.00   29.65 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.21    0.24   29.89 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.12                           mprj/u_wb_host/clknet_3_0_0_wbm_clk_i (net)
-                  0.21    0.00   29.90 ^ mprj/u_wb_host/clkbuf_leaf_61_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.18   30.08 ^ mprj/u_wb_host/clkbuf_leaf_61_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     9    0.03                           mprj/u_wb_host/clknet_leaf_61_wbm_clk_i (net)
-                  0.05    0.00   30.08 ^ mprj/u_wb_host/_6569_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   29.83   clock uncertainty
-                          0.95   30.78   clock reconvergence pessimism
-                         -0.12   30.66   library setup time
-                                 30.66   data required time
------------------------------------------------------------------------------
-                                 30.66   data required time
-                                -15.09   data arrival time
------------------------------------------------------------------------------
-                                 15.57   slack (MET)
-
-
-Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
-Endpoint: mprj/u_wb_host/_6567_
-          (rising edge-triggered flip-flop clocked by wb_clk)
-Path Group: wb_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           soc/clknet_0_core_clk (net)
-                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_0_core_clk (net)
-                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_1_core_clk (net)
-                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_2_core_clk (net)
-                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_3_core_clk (net)
-                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_4_core_clk (net)
-                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           soc/clknet_1_1_5_core_clk (net)
-                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_1_1_6_core_clk (net)
-                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_2_3_0_core_clk (net)
-                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_2_3_1_core_clk (net)
-                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_0_core_clk (net)
-                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_1_core_clk (net)
-                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_3_7_2_core_clk (net)
-                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_4_14_0_core_clk (net)
-                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_5_28_0_core_clk (net)
-                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           soc/clknet_5_28_1_core_clk (net)
-                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           soc/clknet_leaf_243_core_clk (net)
-                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.11    0.39    6.87 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
-     1    0.01                           soc/core.grant[0] (net)
-                  0.11    0.00    6.87 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
-                  0.16    0.21    7.08 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
-    10    0.10                           soc/net3544 (net)
-                  0.16    0.00    7.08 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
-                  0.22    0.26    7.34 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
-    14    0.11                           soc/net3546 (net)
-                  0.22    0.02    7.36 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
-                  0.31    0.36    7.72 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
-    17    0.11                           soc/net3545 (net)
-                  0.32    0.02    7.75 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
-                  0.09    0.11    7.86 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
-     1    0.01                           soc/_13629_ (net)
-                  0.09    0.00    7.86 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
-                  0.05    0.16    8.02 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
-     4    0.04                           soc/net3233 (net)
-                  0.05    0.00    8.02 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
-                  0.11    0.18    8.20 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
-    16    0.14                           soc/net3235 (net)
-                  0.12    0.03    8.23 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
-                  0.06    0.19    8.42 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
-    12    0.06                           soc/net3231 (net)
-                  0.06    0.00    8.43 v soc/_14146_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.32    8.75 v soc/_14146_/X (sky130_fd_sc_hd__and2_2)
-    10    0.06                           soc/_13643_ (net)
-                  0.15    0.01    8.75 v soc/_14147_/C1 (sky130_fd_sc_hd__a221oi_4)
-                  0.73    0.63    9.38 ^ soc/_14147_/Y (sky130_fd_sc_hd__a221oi_4)
-     6    0.06                           soc/_13644_ (net)
-                  0.73    0.01    9.38 ^ soc/max_length2753/A (sky130_fd_sc_hd__buf_6)
-                  0.21    0.32    9.71 ^ soc/max_length2753/X (sky130_fd_sc_hd__buf_6)
-     9    0.10                           soc/net2753 (net)
-                  0.21    0.02    9.73 ^ soc/wire2751/A (sky130_fd_sc_hd__buf_4)
-                  0.25    0.32   10.05 ^ soc/wire2751/X (sky130_fd_sc_hd__buf_4)
-     4    0.09                           soc/net2751 (net)
-                  0.25    0.01   10.06 ^ soc/_14148_/A (sky130_fd_sc_hd__inv_12)
-                  0.09    0.09   10.15 v soc/_14148_/Y (sky130_fd_sc_hd__inv_12)
-     4    0.08                           soc/net634 (net)
-                  0.09    0.01   10.17 v soc/wire2404/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.19   10.36 v soc/wire2404/X (sky130_fd_sc_hd__buf_12)
-     7    0.17                           soc/net2404 (net)
-                  0.13    0.04   10.40 v soc/output634/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.16   10.56 v soc/output634/X (sky130_fd_sc_hd__buf_12)
-     3    0.01                           mprj_adr_o_core[2] (net)
-                  0.02    0.00   10.56 v mgmt_buffers/input410/A (sky130_fd_sc_hd__buf_6)
-                  0.04    0.13   10.69 v mgmt_buffers/input410/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mgmt_buffers/net410 (net)
-                  0.04    0.00   10.69 v mgmt_buffers/wire1596/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.16   10.85 v mgmt_buffers/wire1596/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1596 (net)
-                  0.07    0.01   10.86 v mgmt_buffers/wire1595/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.20   11.06 v mgmt_buffers/wire1595/X (sky130_fd_sc_hd__buf_6)
-     2    0.11                           mgmt_buffers/net1595 (net)
-                  0.10    0.01   11.07 v mgmt_buffers/wire1594/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19   11.25 v mgmt_buffers/wire1594/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1594 (net)
-                  0.07    0.01   11.26 v mgmt_buffers/wire1593/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.18   11.44 v mgmt_buffers/wire1593/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1593 (net)
-                  0.07    0.01   11.45 v mgmt_buffers/wire1592/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.17   11.62 v mgmt_buffers/wire1592/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1592 (net)
-                  0.07    0.01   11.63 v mgmt_buffers/_307_/B (sky130_fd_sc_hd__and2_4)
-                  0.06    0.21   11.83 v mgmt_buffers/_307_/X (sky130_fd_sc_hd__and2_4)
-     2    0.03                           mgmt_buffers/net870 (net)
-                  0.06    0.00   11.84 v mgmt_buffers/output870/A (sky130_fd_sc_hd__buf_8)
-                  0.02    0.12   11.96 v mgmt_buffers/output870/X (sky130_fd_sc_hd__buf_8)
-     2    0.00                           mprj_adr_o_user[2] (net)
-                  0.02    0.00   11.96 v mprj/u_wb_host/input53/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.05    0.11   12.06 v mprj/u_wb_host/input53/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_wb_host/net53 (net)
-                  0.05    0.00   12.06 v mprj/u_wb_host/_4056_/A (sky130_fd_sc_hd__or2_1)
-                  0.10    0.29   12.36 v mprj/u_wb_host/_4056_/X (sky130_fd_sc_hd__or2_1)
-     2    0.01                           mprj/u_wb_host/_2076_ (net)
-                  0.10    0.00   12.36 v mprj/u_wb_host/_4057_/B1 (sky130_fd_sc_hd__o21ai_4)
-                  2.08    0.76   13.12 ^ mprj/u_wb_host/_4057_/Y (sky130_fd_sc_hd__o21ai_4)
-    74    0.32                           mprj/u_wb_host/_2077_ (net)
-                  2.08    0.03   13.15 ^ mprj/u_wb_host/_4082_/A (sky130_fd_sc_hd__nand2_8)
-                  0.65    0.60   13.75 v mprj/u_wb_host/_4082_/Y (sky130_fd_sc_hd__nand2_8)
-    66    0.20                           mprj/u_wb_host/_2095_ (net)
-                  0.65    0.00   13.75 v mprj/u_wb_host/_5410_/C (sky130_fd_sc_hd__or3_4)
-                  0.34    0.87   14.62 v mprj/u_wb_host/_5410_/X (sky130_fd_sc_hd__or3_4)
-    64    0.24                           mprj/u_wb_host/_2673_ (net)
-                  0.35    0.05   14.67 v mprj/u_wb_host/_5426_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.43   15.09 v mprj/u_wb_host/_5426_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_1050_ (net)
-                  0.05    0.00   15.09 v mprj/u_wb_host/_6567_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 15.09   data arrival time
-
-                         25.00   25.00   clock wb_clk (rise edge)
-                          0.00   25.00   clock source latency
-                  1.00    0.00   25.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10   29.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00   29.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13   29.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00   29.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14   29.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00   29.51 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   29.65 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_0_0_wbm_clk_i (net)
-                  0.05    0.00   29.65 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.21    0.24   29.89 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.12                           mprj/u_wb_host/clknet_3_0_0_wbm_clk_i (net)
-                  0.21    0.00   29.90 ^ mprj/u_wb_host/clkbuf_leaf_61_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.18   30.08 ^ mprj/u_wb_host/clkbuf_leaf_61_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     9    0.03                           mprj/u_wb_host/clknet_leaf_61_wbm_clk_i (net)
-                  0.05    0.00   30.08 ^ mprj/u_wb_host/_6567_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   29.83   clock uncertainty
-                          0.95   30.78   clock reconvergence pessimism
-                         -0.12   30.66   library setup time
-                                 30.66   data required time
------------------------------------------------------------------------------
-                                 30.66   data required time
-                                -15.09   data arrival time
------------------------------------------------------------------------------
-                                 15.57   slack (MET)
-
-
-Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
-Endpoint: mprj/u_wb_host/_6571_
-          (rising edge-triggered flip-flop clocked by wb_clk)
-Path Group: wb_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           soc/clknet_0_core_clk (net)
-                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_0_core_clk (net)
-                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_1_core_clk (net)
-                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_2_core_clk (net)
-                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_3_core_clk (net)
-                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_4_core_clk (net)
-                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           soc/clknet_1_1_5_core_clk (net)
-                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_1_1_6_core_clk (net)
-                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_2_3_0_core_clk (net)
-                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_2_3_1_core_clk (net)
-                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_0_core_clk (net)
-                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_1_core_clk (net)
-                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_3_7_2_core_clk (net)
-                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_4_14_0_core_clk (net)
-                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_5_28_0_core_clk (net)
-                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           soc/clknet_5_28_1_core_clk (net)
-                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           soc/clknet_leaf_243_core_clk (net)
-                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.11    0.39    6.87 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
-     1    0.01                           soc/core.grant[0] (net)
-                  0.11    0.00    6.87 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
-                  0.16    0.21    7.08 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
-    10    0.10                           soc/net3544 (net)
-                  0.16    0.00    7.08 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
-                  0.22    0.26    7.34 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
-    14    0.11                           soc/net3546 (net)
-                  0.22    0.02    7.36 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
-                  0.31    0.36    7.72 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
-    17    0.11                           soc/net3545 (net)
-                  0.32    0.02    7.75 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
-                  0.09    0.11    7.86 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
-     1    0.01                           soc/_13629_ (net)
-                  0.09    0.00    7.86 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
-                  0.05    0.16    8.02 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
-     4    0.04                           soc/net3233 (net)
-                  0.05    0.00    8.02 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
-                  0.11    0.18    8.20 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
-    16    0.14                           soc/net3235 (net)
-                  0.12    0.03    8.23 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
-                  0.06    0.19    8.42 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
-    12    0.06                           soc/net3231 (net)
-                  0.06    0.00    8.43 v soc/_14146_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.32    8.75 v soc/_14146_/X (sky130_fd_sc_hd__and2_2)
-    10    0.06                           soc/_13643_ (net)
-                  0.15    0.01    8.75 v soc/_14147_/C1 (sky130_fd_sc_hd__a221oi_4)
-                  0.73    0.63    9.38 ^ soc/_14147_/Y (sky130_fd_sc_hd__a221oi_4)
-     6    0.06                           soc/_13644_ (net)
-                  0.73    0.01    9.38 ^ soc/max_length2753/A (sky130_fd_sc_hd__buf_6)
-                  0.21    0.32    9.71 ^ soc/max_length2753/X (sky130_fd_sc_hd__buf_6)
-     9    0.10                           soc/net2753 (net)
-                  0.21    0.02    9.73 ^ soc/wire2751/A (sky130_fd_sc_hd__buf_4)
-                  0.25    0.32   10.05 ^ soc/wire2751/X (sky130_fd_sc_hd__buf_4)
-     4    0.09                           soc/net2751 (net)
-                  0.25    0.01   10.06 ^ soc/_14148_/A (sky130_fd_sc_hd__inv_12)
-                  0.09    0.09   10.15 v soc/_14148_/Y (sky130_fd_sc_hd__inv_12)
-     4    0.08                           soc/net634 (net)
-                  0.09    0.01   10.17 v soc/wire2404/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.19   10.36 v soc/wire2404/X (sky130_fd_sc_hd__buf_12)
-     7    0.17                           soc/net2404 (net)
-                  0.13    0.04   10.40 v soc/output634/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.16   10.56 v soc/output634/X (sky130_fd_sc_hd__buf_12)
-     3    0.01                           mprj_adr_o_core[2] (net)
-                  0.02    0.00   10.56 v mgmt_buffers/input410/A (sky130_fd_sc_hd__buf_6)
-                  0.04    0.13   10.69 v mgmt_buffers/input410/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mgmt_buffers/net410 (net)
-                  0.04    0.00   10.69 v mgmt_buffers/wire1596/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.16   10.85 v mgmt_buffers/wire1596/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1596 (net)
-                  0.07    0.01   10.86 v mgmt_buffers/wire1595/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.20   11.06 v mgmt_buffers/wire1595/X (sky130_fd_sc_hd__buf_6)
-     2    0.11                           mgmt_buffers/net1595 (net)
-                  0.10    0.01   11.07 v mgmt_buffers/wire1594/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19   11.25 v mgmt_buffers/wire1594/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1594 (net)
-                  0.07    0.01   11.26 v mgmt_buffers/wire1593/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.18   11.44 v mgmt_buffers/wire1593/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1593 (net)
-                  0.07    0.01   11.45 v mgmt_buffers/wire1592/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.17   11.62 v mgmt_buffers/wire1592/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1592 (net)
-                  0.07    0.01   11.63 v mgmt_buffers/_307_/B (sky130_fd_sc_hd__and2_4)
-                  0.06    0.21   11.83 v mgmt_buffers/_307_/X (sky130_fd_sc_hd__and2_4)
-     2    0.03                           mgmt_buffers/net870 (net)
-                  0.06    0.00   11.84 v mgmt_buffers/output870/A (sky130_fd_sc_hd__buf_8)
-                  0.02    0.12   11.96 v mgmt_buffers/output870/X (sky130_fd_sc_hd__buf_8)
-     2    0.00                           mprj_adr_o_user[2] (net)
-                  0.02    0.00   11.96 v mprj/u_wb_host/input53/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.05    0.11   12.06 v mprj/u_wb_host/input53/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_wb_host/net53 (net)
-                  0.05    0.00   12.06 v mprj/u_wb_host/_4056_/A (sky130_fd_sc_hd__or2_1)
-                  0.10    0.29   12.36 v mprj/u_wb_host/_4056_/X (sky130_fd_sc_hd__or2_1)
-     2    0.01                           mprj/u_wb_host/_2076_ (net)
-                  0.10    0.00   12.36 v mprj/u_wb_host/_4057_/B1 (sky130_fd_sc_hd__o21ai_4)
-                  2.08    0.76   13.12 ^ mprj/u_wb_host/_4057_/Y (sky130_fd_sc_hd__o21ai_4)
-    74    0.32                           mprj/u_wb_host/_2077_ (net)
-                  2.08    0.03   13.15 ^ mprj/u_wb_host/_4082_/A (sky130_fd_sc_hd__nand2_8)
-                  0.65    0.60   13.75 v mprj/u_wb_host/_4082_/Y (sky130_fd_sc_hd__nand2_8)
-    66    0.20                           mprj/u_wb_host/_2095_ (net)
-                  0.65    0.00   13.75 v mprj/u_wb_host/_5410_/C (sky130_fd_sc_hd__or3_4)
-                  0.34    0.87   14.62 v mprj/u_wb_host/_5410_/X (sky130_fd_sc_hd__or3_4)
-    64    0.24                           mprj/u_wb_host/_2673_ (net)
-                  0.35    0.05   14.67 v mprj/u_wb_host/_5430_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.43   15.09 v mprj/u_wb_host/_5430_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_1054_ (net)
-                  0.05    0.00   15.09 v mprj/u_wb_host/_6571_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 15.09   data arrival time
-
-                         25.00   25.00   clock wb_clk (rise edge)
-                          0.00   25.00   clock source latency
-                  1.00    0.00   25.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10   29.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00   29.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13   29.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00   29.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14   29.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00   29.51 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   29.65 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_0_0_wbm_clk_i (net)
-                  0.05    0.00   29.65 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.21    0.24   29.89 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.12                           mprj/u_wb_host/clknet_3_0_0_wbm_clk_i (net)
-                  0.21    0.00   29.90 ^ mprj/u_wb_host/clkbuf_leaf_61_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.18   30.08 ^ mprj/u_wb_host/clkbuf_leaf_61_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     9    0.03                           mprj/u_wb_host/clknet_leaf_61_wbm_clk_i (net)
-                  0.05    0.00   30.08 ^ mprj/u_wb_host/_6571_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   29.83   clock uncertainty
-                          0.95   30.78   clock reconvergence pessimism
-                         -0.12   30.66   library setup time
-                                 30.66   data required time
------------------------------------------------------------------------------
-                                 30.66   data required time
-                                -15.09   data arrival time
------------------------------------------------------------------------------
-                                 15.57   slack (MET)
-
-
-Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
-Endpoint: mprj/u_wb_host/_6574_
-          (rising edge-triggered flip-flop clocked by wb_clk)
-Path Group: wb_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           soc/clknet_0_core_clk (net)
-                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_0_core_clk (net)
-                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_1_core_clk (net)
-                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_2_core_clk (net)
-                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_3_core_clk (net)
-                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_4_core_clk (net)
-                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           soc/clknet_1_1_5_core_clk (net)
-                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_1_1_6_core_clk (net)
-                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_2_3_0_core_clk (net)
-                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_2_3_1_core_clk (net)
-                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_0_core_clk (net)
-                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_1_core_clk (net)
-                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_3_7_2_core_clk (net)
-                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_4_14_0_core_clk (net)
-                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_5_28_0_core_clk (net)
-                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           soc/clknet_5_28_1_core_clk (net)
-                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           soc/clknet_leaf_243_core_clk (net)
-                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.11    0.39    6.87 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
-     1    0.01                           soc/core.grant[0] (net)
-                  0.11    0.00    6.87 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
-                  0.16    0.21    7.08 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
-    10    0.10                           soc/net3544 (net)
-                  0.16    0.00    7.08 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
-                  0.22    0.26    7.34 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
-    14    0.11                           soc/net3546 (net)
-                  0.22    0.02    7.36 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
-                  0.31    0.36    7.72 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
-    17    0.11                           soc/net3545 (net)
-                  0.32    0.02    7.75 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
-                  0.09    0.11    7.86 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
-     1    0.01                           soc/_13629_ (net)
-                  0.09    0.00    7.86 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
-                  0.05    0.16    8.02 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
-     4    0.04                           soc/net3233 (net)
-                  0.05    0.00    8.02 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
-                  0.11    0.18    8.20 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
-    16    0.14                           soc/net3235 (net)
-                  0.12    0.03    8.23 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
-                  0.06    0.19    8.42 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
-    12    0.06                           soc/net3231 (net)
-                  0.06    0.00    8.43 v soc/_14146_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.32    8.75 v soc/_14146_/X (sky130_fd_sc_hd__and2_2)
-    10    0.06                           soc/_13643_ (net)
-                  0.15    0.01    8.75 v soc/_14147_/C1 (sky130_fd_sc_hd__a221oi_4)
-                  0.73    0.63    9.38 ^ soc/_14147_/Y (sky130_fd_sc_hd__a221oi_4)
-     6    0.06                           soc/_13644_ (net)
-                  0.73    0.01    9.38 ^ soc/max_length2753/A (sky130_fd_sc_hd__buf_6)
-                  0.21    0.32    9.71 ^ soc/max_length2753/X (sky130_fd_sc_hd__buf_6)
-     9    0.10                           soc/net2753 (net)
-                  0.21    0.02    9.73 ^ soc/wire2751/A (sky130_fd_sc_hd__buf_4)
-                  0.25    0.32   10.05 ^ soc/wire2751/X (sky130_fd_sc_hd__buf_4)
-     4    0.09                           soc/net2751 (net)
-                  0.25    0.01   10.06 ^ soc/_14148_/A (sky130_fd_sc_hd__inv_12)
-                  0.09    0.09   10.15 v soc/_14148_/Y (sky130_fd_sc_hd__inv_12)
-     4    0.08                           soc/net634 (net)
-                  0.09    0.01   10.17 v soc/wire2404/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.19   10.36 v soc/wire2404/X (sky130_fd_sc_hd__buf_12)
-     7    0.17                           soc/net2404 (net)
-                  0.13    0.04   10.40 v soc/output634/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.16   10.56 v soc/output634/X (sky130_fd_sc_hd__buf_12)
-     3    0.01                           mprj_adr_o_core[2] (net)
-                  0.02    0.00   10.56 v mgmt_buffers/input410/A (sky130_fd_sc_hd__buf_6)
-                  0.04    0.13   10.69 v mgmt_buffers/input410/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mgmt_buffers/net410 (net)
-                  0.04    0.00   10.69 v mgmt_buffers/wire1596/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.16   10.85 v mgmt_buffers/wire1596/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1596 (net)
-                  0.07    0.01   10.86 v mgmt_buffers/wire1595/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.20   11.06 v mgmt_buffers/wire1595/X (sky130_fd_sc_hd__buf_6)
-     2    0.11                           mgmt_buffers/net1595 (net)
-                  0.10    0.01   11.07 v mgmt_buffers/wire1594/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19   11.25 v mgmt_buffers/wire1594/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1594 (net)
-                  0.07    0.01   11.26 v mgmt_buffers/wire1593/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.18   11.44 v mgmt_buffers/wire1593/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1593 (net)
-                  0.07    0.01   11.45 v mgmt_buffers/wire1592/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.17   11.62 v mgmt_buffers/wire1592/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1592 (net)
-                  0.07    0.01   11.63 v mgmt_buffers/_307_/B (sky130_fd_sc_hd__and2_4)
-                  0.06    0.21   11.83 v mgmt_buffers/_307_/X (sky130_fd_sc_hd__and2_4)
-     2    0.03                           mgmt_buffers/net870 (net)
-                  0.06    0.00   11.84 v mgmt_buffers/output870/A (sky130_fd_sc_hd__buf_8)
-                  0.02    0.12   11.96 v mgmt_buffers/output870/X (sky130_fd_sc_hd__buf_8)
-     2    0.00                           mprj_adr_o_user[2] (net)
-                  0.02    0.00   11.96 v mprj/u_wb_host/input53/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.05    0.11   12.06 v mprj/u_wb_host/input53/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_wb_host/net53 (net)
-                  0.05    0.00   12.06 v mprj/u_wb_host/_4056_/A (sky130_fd_sc_hd__or2_1)
-                  0.10    0.29   12.36 v mprj/u_wb_host/_4056_/X (sky130_fd_sc_hd__or2_1)
-     2    0.01                           mprj/u_wb_host/_2076_ (net)
-                  0.10    0.00   12.36 v mprj/u_wb_host/_4057_/B1 (sky130_fd_sc_hd__o21ai_4)
-                  2.08    0.76   13.12 ^ mprj/u_wb_host/_4057_/Y (sky130_fd_sc_hd__o21ai_4)
-    74    0.32                           mprj/u_wb_host/_2077_ (net)
-                  2.08    0.03   13.15 ^ mprj/u_wb_host/_4082_/A (sky130_fd_sc_hd__nand2_8)
-                  0.65    0.60   13.75 v mprj/u_wb_host/_4082_/Y (sky130_fd_sc_hd__nand2_8)
-    66    0.20                           mprj/u_wb_host/_2095_ (net)
-                  0.65    0.00   13.75 v mprj/u_wb_host/_5410_/C (sky130_fd_sc_hd__or3_4)
-                  0.34    0.87   14.62 v mprj/u_wb_host/_5410_/X (sky130_fd_sc_hd__or3_4)
-    64    0.24                           mprj/u_wb_host/_2673_ (net)
-                  0.34    0.04   14.66 v mprj/u_wb_host/_5433_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.43   15.09 v mprj/u_wb_host/_5433_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_1057_ (net)
-                  0.05    0.00   15.09 v mprj/u_wb_host/_6574_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 15.09   data arrival time
-
-                         25.00   25.00   clock wb_clk (rise edge)
-                          0.00   25.00   clock source latency
-                  1.00    0.00   25.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10   29.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00   29.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13   29.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00   29.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14   29.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00   29.51 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   29.65 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_0_0_wbm_clk_i (net)
-                  0.05    0.00   29.65 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.21    0.24   29.89 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.12                           mprj/u_wb_host/clknet_3_0_0_wbm_clk_i (net)
-                  0.21    0.00   29.89 ^ mprj/u_wb_host/clkbuf_leaf_1_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.18   30.07 ^ mprj/u_wb_host/clkbuf_leaf_1_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.03                           mprj/u_wb_host/clknet_leaf_1_wbm_clk_i (net)
-                  0.05    0.00   30.08 ^ mprj/u_wb_host/_6574_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   29.83   clock uncertainty
-                          0.95   30.78   clock reconvergence pessimism
-                         -0.12   30.66   library setup time
-                                 30.66   data required time
------------------------------------------------------------------------------
-                                 30.66   data required time
-                                -15.09   data arrival time
------------------------------------------------------------------------------
-                                 15.57   slack (MET)
-
-
-Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
-Endpoint: mprj/u_wb_host/_6572_
-          (rising edge-triggered flip-flop clocked by wb_clk)
-Path Group: wb_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           soc/clknet_0_core_clk (net)
-                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_0_core_clk (net)
-                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_1_core_clk (net)
-                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_2_core_clk (net)
-                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_3_core_clk (net)
-                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_4_core_clk (net)
-                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           soc/clknet_1_1_5_core_clk (net)
-                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_1_1_6_core_clk (net)
-                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_2_3_0_core_clk (net)
-                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_2_3_1_core_clk (net)
-                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_0_core_clk (net)
-                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_1_core_clk (net)
-                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_3_7_2_core_clk (net)
-                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_4_14_0_core_clk (net)
-                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_5_28_0_core_clk (net)
-                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           soc/clknet_5_28_1_core_clk (net)
-                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           soc/clknet_leaf_243_core_clk (net)
-                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.11    0.39    6.87 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
-     1    0.01                           soc/core.grant[0] (net)
-                  0.11    0.00    6.87 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
-                  0.16    0.21    7.08 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
-    10    0.10                           soc/net3544 (net)
-                  0.16    0.00    7.08 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
-                  0.22    0.26    7.34 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
-    14    0.11                           soc/net3546 (net)
-                  0.22    0.02    7.36 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
-                  0.31    0.36    7.72 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
-    17    0.11                           soc/net3545 (net)
-                  0.32    0.02    7.75 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
-                  0.09    0.11    7.86 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
-     1    0.01                           soc/_13629_ (net)
-                  0.09    0.00    7.86 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
-                  0.05    0.16    8.02 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
-     4    0.04                           soc/net3233 (net)
-                  0.05    0.00    8.02 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
-                  0.11    0.18    8.20 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
-    16    0.14                           soc/net3235 (net)
-                  0.12    0.03    8.23 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
-                  0.06    0.19    8.42 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
-    12    0.06                           soc/net3231 (net)
-                  0.06    0.00    8.43 v soc/_14146_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.32    8.75 v soc/_14146_/X (sky130_fd_sc_hd__and2_2)
-    10    0.06                           soc/_13643_ (net)
-                  0.15    0.01    8.75 v soc/_14147_/C1 (sky130_fd_sc_hd__a221oi_4)
-                  0.73    0.63    9.38 ^ soc/_14147_/Y (sky130_fd_sc_hd__a221oi_4)
-     6    0.06                           soc/_13644_ (net)
-                  0.73    0.01    9.38 ^ soc/max_length2753/A (sky130_fd_sc_hd__buf_6)
-                  0.21    0.32    9.71 ^ soc/max_length2753/X (sky130_fd_sc_hd__buf_6)
-     9    0.10                           soc/net2753 (net)
-                  0.21    0.02    9.73 ^ soc/wire2751/A (sky130_fd_sc_hd__buf_4)
-                  0.25    0.32   10.05 ^ soc/wire2751/X (sky130_fd_sc_hd__buf_4)
-     4    0.09                           soc/net2751 (net)
-                  0.25    0.01   10.06 ^ soc/_14148_/A (sky130_fd_sc_hd__inv_12)
-                  0.09    0.09   10.15 v soc/_14148_/Y (sky130_fd_sc_hd__inv_12)
-     4    0.08                           soc/net634 (net)
-                  0.09    0.01   10.17 v soc/wire2404/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.19   10.36 v soc/wire2404/X (sky130_fd_sc_hd__buf_12)
-     7    0.17                           soc/net2404 (net)
-                  0.13    0.04   10.40 v soc/output634/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.16   10.56 v soc/output634/X (sky130_fd_sc_hd__buf_12)
-     3    0.01                           mprj_adr_o_core[2] (net)
-                  0.02    0.00   10.56 v mgmt_buffers/input410/A (sky130_fd_sc_hd__buf_6)
-                  0.04    0.13   10.69 v mgmt_buffers/input410/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mgmt_buffers/net410 (net)
-                  0.04    0.00   10.69 v mgmt_buffers/wire1596/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.16   10.85 v mgmt_buffers/wire1596/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1596 (net)
-                  0.07    0.01   10.86 v mgmt_buffers/wire1595/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.20   11.06 v mgmt_buffers/wire1595/X (sky130_fd_sc_hd__buf_6)
-     2    0.11                           mgmt_buffers/net1595 (net)
-                  0.10    0.01   11.07 v mgmt_buffers/wire1594/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19   11.25 v mgmt_buffers/wire1594/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1594 (net)
-                  0.07    0.01   11.26 v mgmt_buffers/wire1593/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.18   11.44 v mgmt_buffers/wire1593/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1593 (net)
-                  0.07    0.01   11.45 v mgmt_buffers/wire1592/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.17   11.62 v mgmt_buffers/wire1592/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1592 (net)
-                  0.07    0.01   11.63 v mgmt_buffers/_307_/B (sky130_fd_sc_hd__and2_4)
-                  0.06    0.21   11.83 v mgmt_buffers/_307_/X (sky130_fd_sc_hd__and2_4)
-     2    0.03                           mgmt_buffers/net870 (net)
-                  0.06    0.00   11.84 v mgmt_buffers/output870/A (sky130_fd_sc_hd__buf_8)
-                  0.02    0.12   11.96 v mgmt_buffers/output870/X (sky130_fd_sc_hd__buf_8)
-     2    0.00                           mprj_adr_o_user[2] (net)
-                  0.02    0.00   11.96 v mprj/u_wb_host/input53/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.05    0.11   12.06 v mprj/u_wb_host/input53/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_wb_host/net53 (net)
-                  0.05    0.00   12.06 v mprj/u_wb_host/_4056_/A (sky130_fd_sc_hd__or2_1)
-                  0.10    0.29   12.36 v mprj/u_wb_host/_4056_/X (sky130_fd_sc_hd__or2_1)
-     2    0.01                           mprj/u_wb_host/_2076_ (net)
-                  0.10    0.00   12.36 v mprj/u_wb_host/_4057_/B1 (sky130_fd_sc_hd__o21ai_4)
-                  2.08    0.76   13.12 ^ mprj/u_wb_host/_4057_/Y (sky130_fd_sc_hd__o21ai_4)
-    74    0.32                           mprj/u_wb_host/_2077_ (net)
-                  2.08    0.03   13.15 ^ mprj/u_wb_host/_4082_/A (sky130_fd_sc_hd__nand2_8)
-                  0.65    0.60   13.75 v mprj/u_wb_host/_4082_/Y (sky130_fd_sc_hd__nand2_8)
-    66    0.20                           mprj/u_wb_host/_2095_ (net)
-                  0.65    0.00   13.75 v mprj/u_wb_host/_5410_/C (sky130_fd_sc_hd__or3_4)
-                  0.34    0.87   14.62 v mprj/u_wb_host/_5410_/X (sky130_fd_sc_hd__or3_4)
-    64    0.24                           mprj/u_wb_host/_2673_ (net)
-                  0.35    0.04   14.66 v mprj/u_wb_host/_5431_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.43   15.09 v mprj/u_wb_host/_5431_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_1055_ (net)
-                  0.05    0.00   15.09 v mprj/u_wb_host/_6572_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 15.09   data arrival time
-
-                         25.00   25.00   clock wb_clk (rise edge)
-                          0.00   25.00   clock source latency
-                  1.00    0.00   25.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10   29.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00   29.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13   29.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00   29.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14   29.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00   29.51 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   29.65 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_0_0_wbm_clk_i (net)
-                  0.05    0.00   29.65 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.21    0.24   29.89 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.12                           mprj/u_wb_host/clknet_3_0_0_wbm_clk_i (net)
-                  0.21    0.00   29.89 ^ mprj/u_wb_host/clkbuf_leaf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.18   30.08 ^ mprj/u_wb_host/clkbuf_leaf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     9    0.03                           mprj/u_wb_host/clknet_leaf_0_wbm_clk_i (net)
-                  0.06    0.00   30.08 ^ mprj/u_wb_host/_6572_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   29.83   clock uncertainty
-                          0.95   30.78   clock reconvergence pessimism
-                         -0.12   30.66   library setup time
-                                 30.66   data required time
------------------------------------------------------------------------------
-                                 30.66   data required time
-                                -15.09   data arrival time
------------------------------------------------------------------------------
-                                 15.57   slack (MET)
-
-
-Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
-Endpoint: mprj/u_wb_host/_6559_
-          (rising edge-triggered flip-flop clocked by wb_clk)
-Path Group: wb_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           soc/clknet_0_core_clk (net)
-                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_0_core_clk (net)
-                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_1_core_clk (net)
-                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_2_core_clk (net)
-                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_3_core_clk (net)
-                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_4_core_clk (net)
-                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           soc/clknet_1_1_5_core_clk (net)
-                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_1_1_6_core_clk (net)
-                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_2_3_0_core_clk (net)
-                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_2_3_1_core_clk (net)
-                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_0_core_clk (net)
-                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_1_core_clk (net)
-                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_3_7_2_core_clk (net)
-                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_4_14_0_core_clk (net)
-                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_5_28_0_core_clk (net)
-                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           soc/clknet_5_28_1_core_clk (net)
-                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           soc/clknet_leaf_243_core_clk (net)
-                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.11    0.39    6.87 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
-     1    0.01                           soc/core.grant[0] (net)
-                  0.11    0.00    6.87 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
-                  0.16    0.21    7.08 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
-    10    0.10                           soc/net3544 (net)
-                  0.16    0.00    7.08 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
-                  0.22    0.26    7.34 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
-    14    0.11                           soc/net3546 (net)
-                  0.22    0.02    7.36 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
-                  0.31    0.36    7.72 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
-    17    0.11                           soc/net3545 (net)
-                  0.32    0.02    7.75 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
-                  0.09    0.11    7.86 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
-     1    0.01                           soc/_13629_ (net)
-                  0.09    0.00    7.86 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
-                  0.05    0.16    8.02 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
-     4    0.04                           soc/net3233 (net)
-                  0.05    0.00    8.02 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
-                  0.11    0.18    8.20 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
-    16    0.14                           soc/net3235 (net)
-                  0.12    0.03    8.23 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
-                  0.06    0.19    8.42 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
-    12    0.06                           soc/net3231 (net)
-                  0.06    0.00    8.43 v soc/_14146_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.32    8.75 v soc/_14146_/X (sky130_fd_sc_hd__and2_2)
-    10    0.06                           soc/_13643_ (net)
-                  0.15    0.01    8.75 v soc/_14147_/C1 (sky130_fd_sc_hd__a221oi_4)
-                  0.73    0.63    9.38 ^ soc/_14147_/Y (sky130_fd_sc_hd__a221oi_4)
-     6    0.06                           soc/_13644_ (net)
-                  0.73    0.01    9.38 ^ soc/max_length2753/A (sky130_fd_sc_hd__buf_6)
-                  0.21    0.32    9.71 ^ soc/max_length2753/X (sky130_fd_sc_hd__buf_6)
-     9    0.10                           soc/net2753 (net)
-                  0.21    0.02    9.73 ^ soc/wire2751/A (sky130_fd_sc_hd__buf_4)
-                  0.25    0.32   10.05 ^ soc/wire2751/X (sky130_fd_sc_hd__buf_4)
-     4    0.09                           soc/net2751 (net)
-                  0.25    0.01   10.06 ^ soc/_14148_/A (sky130_fd_sc_hd__inv_12)
-                  0.09    0.09   10.15 v soc/_14148_/Y (sky130_fd_sc_hd__inv_12)
-     4    0.08                           soc/net634 (net)
-                  0.09    0.01   10.17 v soc/wire2404/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.19   10.36 v soc/wire2404/X (sky130_fd_sc_hd__buf_12)
-     7    0.17                           soc/net2404 (net)
-                  0.13    0.04   10.40 v soc/output634/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.16   10.56 v soc/output634/X (sky130_fd_sc_hd__buf_12)
-     3    0.01                           mprj_adr_o_core[2] (net)
-                  0.02    0.00   10.56 v mgmt_buffers/input410/A (sky130_fd_sc_hd__buf_6)
-                  0.04    0.13   10.69 v mgmt_buffers/input410/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mgmt_buffers/net410 (net)
-                  0.04    0.00   10.69 v mgmt_buffers/wire1596/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.16   10.85 v mgmt_buffers/wire1596/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1596 (net)
-                  0.07    0.01   10.86 v mgmt_buffers/wire1595/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.20   11.06 v mgmt_buffers/wire1595/X (sky130_fd_sc_hd__buf_6)
-     2    0.11                           mgmt_buffers/net1595 (net)
-                  0.10    0.01   11.07 v mgmt_buffers/wire1594/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19   11.25 v mgmt_buffers/wire1594/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1594 (net)
-                  0.07    0.01   11.26 v mgmt_buffers/wire1593/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.18   11.44 v mgmt_buffers/wire1593/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1593 (net)
-                  0.07    0.01   11.45 v mgmt_buffers/wire1592/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.17   11.62 v mgmt_buffers/wire1592/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1592 (net)
-                  0.07    0.01   11.63 v mgmt_buffers/_307_/B (sky130_fd_sc_hd__and2_4)
-                  0.06    0.21   11.83 v mgmt_buffers/_307_/X (sky130_fd_sc_hd__and2_4)
-     2    0.03                           mgmt_buffers/net870 (net)
-                  0.06    0.00   11.84 v mgmt_buffers/output870/A (sky130_fd_sc_hd__buf_8)
-                  0.02    0.12   11.96 v mgmt_buffers/output870/X (sky130_fd_sc_hd__buf_8)
-     2    0.00                           mprj_adr_o_user[2] (net)
-                  0.02    0.00   11.96 v mprj/u_wb_host/input53/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.05    0.11   12.06 v mprj/u_wb_host/input53/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_wb_host/net53 (net)
-                  0.05    0.00   12.06 v mprj/u_wb_host/_4056_/A (sky130_fd_sc_hd__or2_1)
-                  0.10    0.29   12.36 v mprj/u_wb_host/_4056_/X (sky130_fd_sc_hd__or2_1)
-     2    0.01                           mprj/u_wb_host/_2076_ (net)
-                  0.10    0.00   12.36 v mprj/u_wb_host/_4057_/B1 (sky130_fd_sc_hd__o21ai_4)
-                  2.08    0.76   13.12 ^ mprj/u_wb_host/_4057_/Y (sky130_fd_sc_hd__o21ai_4)
-    74    0.32                           mprj/u_wb_host/_2077_ (net)
-                  2.08    0.03   13.15 ^ mprj/u_wb_host/_4082_/A (sky130_fd_sc_hd__nand2_8)
-                  0.65    0.60   13.75 v mprj/u_wb_host/_4082_/Y (sky130_fd_sc_hd__nand2_8)
-    66    0.20                           mprj/u_wb_host/_2095_ (net)
-                  0.65    0.00   13.75 v mprj/u_wb_host/_5410_/C (sky130_fd_sc_hd__or3_4)
-                  0.34    0.87   14.62 v mprj/u_wb_host/_5410_/X (sky130_fd_sc_hd__or3_4)
-    64    0.24                           mprj/u_wb_host/_2673_ (net)
-                  0.34    0.02   14.65 v mprj/u_wb_host/_5418_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.42   15.07 v mprj/u_wb_host/_5418_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_1042_ (net)
-                  0.05    0.00   15.07 v mprj/u_wb_host/_6559_/D (sky130_fd_sc_hd__dfrtp_2)
-                                 15.07   data arrival time
-
-                         25.00   25.00   clock wb_clk (rise edge)
-                          0.00   25.00   clock source latency
-                  1.00    0.00   25.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10   29.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00   29.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13   29.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00   29.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14   29.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00   29.51 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   29.65 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_0_0_wbm_clk_i (net)
-                  0.05    0.00   29.65 ^ mprj/u_wb_host/clkbuf_3_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.19    0.23   29.88 ^ mprj/u_wb_host/clkbuf_3_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.11                           mprj/u_wb_host/clknet_3_1_0_wbm_clk_i (net)
-                  0.19    0.00   29.89 ^ mprj/u_wb_host/clkbuf_leaf_59_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.17   30.05 ^ mprj/u_wb_host/clkbuf_leaf_59_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     6    0.02                           mprj/u_wb_host/clknet_leaf_59_wbm_clk_i (net)
-                  0.04    0.00   30.05 ^ mprj/u_wb_host/_6559_/CLK (sky130_fd_sc_hd__dfrtp_2)
-                         -0.25   29.80   clock uncertainty
-                          0.95   30.76   clock reconvergence pessimism
-                         -0.12   30.64   library setup time
-                                 30.64   data required time
------------------------------------------------------------------------------
-                                 30.64   data required time
-                                -15.07   data arrival time
------------------------------------------------------------------------------
-                                 15.57   slack (MET)
-
-
-Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
-Endpoint: mprj/u_wb_host/_6566_
-          (rising edge-triggered flip-flop clocked by wb_clk)
-Path Group: wb_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           soc/clknet_0_core_clk (net)
-                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_0_core_clk (net)
-                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_1_core_clk (net)
-                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_2_core_clk (net)
-                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_3_core_clk (net)
-                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_4_core_clk (net)
-                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           soc/clknet_1_1_5_core_clk (net)
-                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_1_1_6_core_clk (net)
-                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_2_3_0_core_clk (net)
-                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_2_3_1_core_clk (net)
-                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_0_core_clk (net)
-                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_1_core_clk (net)
-                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_3_7_2_core_clk (net)
-                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_4_14_0_core_clk (net)
-                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_5_28_0_core_clk (net)
-                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           soc/clknet_5_28_1_core_clk (net)
-                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           soc/clknet_leaf_243_core_clk (net)
-                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.11    0.39    6.87 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
-     1    0.01                           soc/core.grant[0] (net)
-                  0.11    0.00    6.87 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
-                  0.16    0.21    7.08 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
-    10    0.10                           soc/net3544 (net)
-                  0.16    0.00    7.08 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
-                  0.22    0.26    7.34 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
-    14    0.11                           soc/net3546 (net)
-                  0.22    0.02    7.36 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
-                  0.31    0.36    7.72 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
-    17    0.11                           soc/net3545 (net)
-                  0.32    0.02    7.75 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
-                  0.09    0.11    7.86 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
-     1    0.01                           soc/_13629_ (net)
-                  0.09    0.00    7.86 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
-                  0.05    0.16    8.02 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
-     4    0.04                           soc/net3233 (net)
-                  0.05    0.00    8.02 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
-                  0.11    0.18    8.20 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
-    16    0.14                           soc/net3235 (net)
-                  0.12    0.03    8.23 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
-                  0.06    0.19    8.42 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
-    12    0.06                           soc/net3231 (net)
-                  0.06    0.00    8.43 v soc/_14146_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.32    8.75 v soc/_14146_/X (sky130_fd_sc_hd__and2_2)
-    10    0.06                           soc/_13643_ (net)
-                  0.15    0.01    8.75 v soc/_14147_/C1 (sky130_fd_sc_hd__a221oi_4)
-                  0.73    0.63    9.38 ^ soc/_14147_/Y (sky130_fd_sc_hd__a221oi_4)
-     6    0.06                           soc/_13644_ (net)
-                  0.73    0.01    9.38 ^ soc/max_length2753/A (sky130_fd_sc_hd__buf_6)
-                  0.21    0.32    9.71 ^ soc/max_length2753/X (sky130_fd_sc_hd__buf_6)
-     9    0.10                           soc/net2753 (net)
-                  0.21    0.02    9.73 ^ soc/wire2751/A (sky130_fd_sc_hd__buf_4)
-                  0.25    0.32   10.05 ^ soc/wire2751/X (sky130_fd_sc_hd__buf_4)
-     4    0.09                           soc/net2751 (net)
-                  0.25    0.01   10.06 ^ soc/_14148_/A (sky130_fd_sc_hd__inv_12)
-                  0.09    0.09   10.15 v soc/_14148_/Y (sky130_fd_sc_hd__inv_12)
-     4    0.08                           soc/net634 (net)
-                  0.09    0.01   10.17 v soc/wire2404/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.19   10.36 v soc/wire2404/X (sky130_fd_sc_hd__buf_12)
-     7    0.17                           soc/net2404 (net)
-                  0.13    0.04   10.40 v soc/output634/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.16   10.56 v soc/output634/X (sky130_fd_sc_hd__buf_12)
-     3    0.01                           mprj_adr_o_core[2] (net)
-                  0.02    0.00   10.56 v mgmt_buffers/input410/A (sky130_fd_sc_hd__buf_6)
-                  0.04    0.13   10.69 v mgmt_buffers/input410/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mgmt_buffers/net410 (net)
-                  0.04    0.00   10.69 v mgmt_buffers/wire1596/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.16   10.85 v mgmt_buffers/wire1596/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1596 (net)
-                  0.07    0.01   10.86 v mgmt_buffers/wire1595/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.20   11.06 v mgmt_buffers/wire1595/X (sky130_fd_sc_hd__buf_6)
-     2    0.11                           mgmt_buffers/net1595 (net)
-                  0.10    0.01   11.07 v mgmt_buffers/wire1594/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19   11.25 v mgmt_buffers/wire1594/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1594 (net)
-                  0.07    0.01   11.26 v mgmt_buffers/wire1593/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.18   11.44 v mgmt_buffers/wire1593/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1593 (net)
-                  0.07    0.01   11.45 v mgmt_buffers/wire1592/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.17   11.62 v mgmt_buffers/wire1592/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1592 (net)
-                  0.07    0.01   11.63 v mgmt_buffers/_307_/B (sky130_fd_sc_hd__and2_4)
-                  0.06    0.21   11.83 v mgmt_buffers/_307_/X (sky130_fd_sc_hd__and2_4)
-     2    0.03                           mgmt_buffers/net870 (net)
-                  0.06    0.00   11.84 v mgmt_buffers/output870/A (sky130_fd_sc_hd__buf_8)
-                  0.02    0.12   11.96 v mgmt_buffers/output870/X (sky130_fd_sc_hd__buf_8)
-     2    0.00                           mprj_adr_o_user[2] (net)
-                  0.02    0.00   11.96 v mprj/u_wb_host/input53/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.05    0.11   12.06 v mprj/u_wb_host/input53/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_wb_host/net53 (net)
-                  0.05    0.00   12.06 v mprj/u_wb_host/_4056_/A (sky130_fd_sc_hd__or2_1)
-                  0.10    0.29   12.36 v mprj/u_wb_host/_4056_/X (sky130_fd_sc_hd__or2_1)
-     2    0.01                           mprj/u_wb_host/_2076_ (net)
-                  0.10    0.00   12.36 v mprj/u_wb_host/_4057_/B1 (sky130_fd_sc_hd__o21ai_4)
-                  2.08    0.76   13.12 ^ mprj/u_wb_host/_4057_/Y (sky130_fd_sc_hd__o21ai_4)
-    74    0.32                           mprj/u_wb_host/_2077_ (net)
-                  2.08    0.03   13.15 ^ mprj/u_wb_host/_4082_/A (sky130_fd_sc_hd__nand2_8)
-                  0.65    0.60   13.75 v mprj/u_wb_host/_4082_/Y (sky130_fd_sc_hd__nand2_8)
-    66    0.20                           mprj/u_wb_host/_2095_ (net)
-                  0.65    0.00   13.75 v mprj/u_wb_host/_5410_/C (sky130_fd_sc_hd__or3_4)
-                  0.34    0.87   14.62 v mprj/u_wb_host/_5410_/X (sky130_fd_sc_hd__or3_4)
-    64    0.24                           mprj/u_wb_host/_2673_ (net)
-                  0.34    0.04   14.66 v mprj/u_wb_host/_5425_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.43   15.09 v mprj/u_wb_host/_5425_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_1049_ (net)
-                  0.05    0.00   15.09 v mprj/u_wb_host/_6566_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 15.09   data arrival time
-
-                         25.00   25.00   clock wb_clk (rise edge)
-                          0.00   25.00   clock source latency
-                  1.00    0.00   25.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10   29.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00   29.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13   29.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00   29.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14   29.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00   29.51 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   29.65 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_0_0_wbm_clk_i (net)
-                  0.05    0.00   29.65 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.21    0.24   29.89 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.12                           mprj/u_wb_host/clknet_3_0_0_wbm_clk_i (net)
-                  0.21    0.00   29.89 ^ mprj/u_wb_host/clkbuf_leaf_1_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.18   30.07 ^ mprj/u_wb_host/clkbuf_leaf_1_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.03                           mprj/u_wb_host/clknet_leaf_1_wbm_clk_i (net)
-                  0.05    0.00   30.08 ^ mprj/u_wb_host/_6566_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   29.83   clock uncertainty
-                          0.95   30.78   clock reconvergence pessimism
-                         -0.12   30.66   library setup time
-                                 30.66   data required time
------------------------------------------------------------------------------
-                                 30.66   data required time
-                                -15.09   data arrival time
------------------------------------------------------------------------------
-                                 15.57   slack (MET)
-
-
-Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
-Endpoint: mprj/u_wb_host/_6568_
-          (rising edge-triggered flip-flop clocked by wb_clk)
-Path Group: wb_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           soc/clknet_0_core_clk (net)
-                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_0_core_clk (net)
-                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_1_core_clk (net)
-                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_2_core_clk (net)
-                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_3_core_clk (net)
-                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_4_core_clk (net)
-                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           soc/clknet_1_1_5_core_clk (net)
-                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_1_1_6_core_clk (net)
-                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_2_3_0_core_clk (net)
-                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_2_3_1_core_clk (net)
-                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_0_core_clk (net)
-                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_1_core_clk (net)
-                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_3_7_2_core_clk (net)
-                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_4_14_0_core_clk (net)
-                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_5_28_0_core_clk (net)
-                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           soc/clknet_5_28_1_core_clk (net)
-                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           soc/clknet_leaf_243_core_clk (net)
-                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.11    0.39    6.87 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
-     1    0.01                           soc/core.grant[0] (net)
-                  0.11    0.00    6.87 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
-                  0.16    0.21    7.08 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
-    10    0.10                           soc/net3544 (net)
-                  0.16    0.00    7.08 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
-                  0.22    0.26    7.34 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
-    14    0.11                           soc/net3546 (net)
-                  0.22    0.02    7.36 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
-                  0.31    0.36    7.72 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
-    17    0.11                           soc/net3545 (net)
-                  0.32    0.02    7.75 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
-                  0.09    0.11    7.86 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
-     1    0.01                           soc/_13629_ (net)
-                  0.09    0.00    7.86 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
-                  0.05    0.16    8.02 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
-     4    0.04                           soc/net3233 (net)
-                  0.05    0.00    8.02 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
-                  0.11    0.18    8.20 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
-    16    0.14                           soc/net3235 (net)
-                  0.12    0.03    8.23 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
-                  0.06    0.19    8.42 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
-    12    0.06                           soc/net3231 (net)
-                  0.06    0.00    8.43 v soc/_14146_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.32    8.75 v soc/_14146_/X (sky130_fd_sc_hd__and2_2)
-    10    0.06                           soc/_13643_ (net)
-                  0.15    0.01    8.75 v soc/_14147_/C1 (sky130_fd_sc_hd__a221oi_4)
-                  0.73    0.63    9.38 ^ soc/_14147_/Y (sky130_fd_sc_hd__a221oi_4)
-     6    0.06                           soc/_13644_ (net)
-                  0.73    0.01    9.38 ^ soc/max_length2753/A (sky130_fd_sc_hd__buf_6)
-                  0.21    0.32    9.71 ^ soc/max_length2753/X (sky130_fd_sc_hd__buf_6)
-     9    0.10                           soc/net2753 (net)
-                  0.21    0.02    9.73 ^ soc/wire2751/A (sky130_fd_sc_hd__buf_4)
-                  0.25    0.32   10.05 ^ soc/wire2751/X (sky130_fd_sc_hd__buf_4)
-     4    0.09                           soc/net2751 (net)
-                  0.25    0.01   10.06 ^ soc/_14148_/A (sky130_fd_sc_hd__inv_12)
-                  0.09    0.09   10.15 v soc/_14148_/Y (sky130_fd_sc_hd__inv_12)
-     4    0.08                           soc/net634 (net)
-                  0.09    0.01   10.17 v soc/wire2404/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.19   10.36 v soc/wire2404/X (sky130_fd_sc_hd__buf_12)
-     7    0.17                           soc/net2404 (net)
-                  0.13    0.04   10.40 v soc/output634/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.16   10.56 v soc/output634/X (sky130_fd_sc_hd__buf_12)
-     3    0.01                           mprj_adr_o_core[2] (net)
-                  0.02    0.00   10.56 v mgmt_buffers/input410/A (sky130_fd_sc_hd__buf_6)
-                  0.04    0.13   10.69 v mgmt_buffers/input410/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mgmt_buffers/net410 (net)
-                  0.04    0.00   10.69 v mgmt_buffers/wire1596/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.16   10.85 v mgmt_buffers/wire1596/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1596 (net)
-                  0.07    0.01   10.86 v mgmt_buffers/wire1595/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.20   11.06 v mgmt_buffers/wire1595/X (sky130_fd_sc_hd__buf_6)
-     2    0.11                           mgmt_buffers/net1595 (net)
-                  0.10    0.01   11.07 v mgmt_buffers/wire1594/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19   11.25 v mgmt_buffers/wire1594/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1594 (net)
-                  0.07    0.01   11.26 v mgmt_buffers/wire1593/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.18   11.44 v mgmt_buffers/wire1593/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1593 (net)
-                  0.07    0.01   11.45 v mgmt_buffers/wire1592/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.17   11.62 v mgmt_buffers/wire1592/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1592 (net)
-                  0.07    0.01   11.63 v mgmt_buffers/_307_/B (sky130_fd_sc_hd__and2_4)
-                  0.06    0.21   11.83 v mgmt_buffers/_307_/X (sky130_fd_sc_hd__and2_4)
-     2    0.03                           mgmt_buffers/net870 (net)
-                  0.06    0.00   11.84 v mgmt_buffers/output870/A (sky130_fd_sc_hd__buf_8)
-                  0.02    0.12   11.96 v mgmt_buffers/output870/X (sky130_fd_sc_hd__buf_8)
-     2    0.00                           mprj_adr_o_user[2] (net)
-                  0.02    0.00   11.96 v mprj/u_wb_host/input53/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.05    0.11   12.06 v mprj/u_wb_host/input53/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_wb_host/net53 (net)
-                  0.05    0.00   12.06 v mprj/u_wb_host/_4056_/A (sky130_fd_sc_hd__or2_1)
-                  0.10    0.29   12.36 v mprj/u_wb_host/_4056_/X (sky130_fd_sc_hd__or2_1)
-     2    0.01                           mprj/u_wb_host/_2076_ (net)
-                  0.10    0.00   12.36 v mprj/u_wb_host/_4057_/B1 (sky130_fd_sc_hd__o21ai_4)
-                  2.08    0.76   13.12 ^ mprj/u_wb_host/_4057_/Y (sky130_fd_sc_hd__o21ai_4)
-    74    0.32                           mprj/u_wb_host/_2077_ (net)
-                  2.08    0.03   13.15 ^ mprj/u_wb_host/_4082_/A (sky130_fd_sc_hd__nand2_8)
-                  0.65    0.60   13.75 v mprj/u_wb_host/_4082_/Y (sky130_fd_sc_hd__nand2_8)
-    66    0.20                           mprj/u_wb_host/_2095_ (net)
-                  0.65    0.00   13.75 v mprj/u_wb_host/_5410_/C (sky130_fd_sc_hd__or3_4)
-                  0.34    0.87   14.62 v mprj/u_wb_host/_5410_/X (sky130_fd_sc_hd__or3_4)
-    64    0.24                           mprj/u_wb_host/_2673_ (net)
-                  0.35    0.05   14.67 v mprj/u_wb_host/_5427_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.42   15.09 v mprj/u_wb_host/_5427_/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00   12.91 v mprj/u_wb_host/_4045_/A (sky130_fd_sc_hd__or2_1)
+                  0.09    0.29   13.20 v mprj/u_wb_host/_4045_/X (sky130_fd_sc_hd__or2_1)
+     2    0.01                           mprj/u_wb_host/_2068_ (net)
+                  0.09    0.00   13.20 v mprj/u_wb_host/_4046_/B1 (sky130_fd_sc_hd__o21ai_4)
+                  0.35    0.16   13.37 ^ mprj/u_wb_host/_4046_/Y (sky130_fd_sc_hd__o21ai_4)
+     8    0.05                           mprj/u_wb_host/_2069_ (net)
+                  0.35    0.00   13.37 ^ mprj/u_wb_host/fanout515/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.33   13.70 ^ mprj/u_wb_host/fanout515/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net515 (net)
+                  0.21    0.00   13.70 ^ mprj/u_wb_host/_4071_/A (sky130_fd_sc_hd__nand2_2)
+                  0.23    0.24   13.95 v mprj/u_wb_host/_4071_/Y (sky130_fd_sc_hd__nand2_2)
+     6    0.05                           mprj/u_wb_host/_2087_ (net)
+                  0.23    0.00   13.95 v mprj/u_wb_host/fanout459/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.32   14.27 v mprj/u_wb_host/fanout459/X (sky130_fd_sc_hd__clkbuf_2)
+    12    0.05                           mprj/u_wb_host/net459 (net)
+                  0.19    0.00   14.27 v mprj/u_wb_host/_5404_/C (sky130_fd_sc_hd__or3_1)
+                  0.11    0.43   14.70 v mprj/u_wb_host/_5404_/X (sky130_fd_sc_hd__or3_1)
+     1    0.01                           mprj/u_wb_host/_2670_ (net)
+                  0.11    0.00   14.70 v mprj/u_wb_host/fanout363/A (sky130_fd_sc_hd__buf_2)
+                  0.12    0.24   14.94 v mprj/u_wb_host/fanout363/X (sky130_fd_sc_hd__buf_2)
+    10    0.05                           mprj/u_wb_host/net363 (net)
+                  0.12    0.00   14.95 v mprj/u_wb_host/fanout361/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.25   15.20 v mprj/u_wb_host/fanout361/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net361 (net)
+                  0.11    0.01   15.21 v mprj/u_wb_host/fanout360/A (sky130_fd_sc_hd__buf_4)
+                  0.10    0.24   15.45 v mprj/u_wb_host/fanout360/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_wb_host/net360 (net)
+                  0.10    0.01   15.45 v mprj/u_wb_host/_5421_/S (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.34   15.79 v mprj/u_wb_host/_5421_/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_wb_host/_1051_ (net)
-                  0.05    0.00   15.09 v mprj/u_wb_host/_6568_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 15.09   data arrival time
+                  0.06    0.00   15.79 v mprj/u_wb_host/_6562_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 15.79   data arrival time
 
                          25.00   25.00   clock wb_clk (rise edge)
                           0.00   25.00   clock source latency
@@ -153981,2853 +177721,42 @@
                   0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
      2    0.05                           mgmt_buffers/net1453 (net)
                   0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10   29.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00   29.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13   29.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00   29.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14   29.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.02    0.09   29.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00   29.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27   29.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03   29.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37   29.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20   30.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35   30.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01   30.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   30.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00   30.65 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15   30.80 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00   29.51 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   29.65 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.00   30.80 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   30.94 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      2    0.02                           mprj/u_wb_host/clknet_2_0_0_wbm_clk_i (net)
-                  0.05    0.00   29.65 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.21    0.24   29.89 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.12                           mprj/u_wb_host/clknet_3_0_0_wbm_clk_i (net)
-                  0.21    0.00   29.90 ^ mprj/u_wb_host/clkbuf_leaf_61_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.18   30.08 ^ mprj/u_wb_host/clkbuf_leaf_61_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     9    0.03                           mprj/u_wb_host/clknet_leaf_61_wbm_clk_i (net)
-                  0.05    0.00   30.08 ^ mprj/u_wb_host/_6568_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   29.83   clock uncertainty
-                          0.95   30.78   clock reconvergence pessimism
-                         -0.12   30.66   library setup time
-                                 30.66   data required time
+                  0.06    0.00   30.94 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.22    0.25   31.19 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    18    0.13                           mprj/u_wb_host/clknet_3_0_0_wbm_clk_i (net)
+                  0.22    0.01   31.19 ^ mprj/u_wb_host/clkbuf_leaf_63_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   31.38 ^ mprj/u_wb_host/clkbuf_leaf_63_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.04                           mprj/u_wb_host/clknet_leaf_63_wbm_clk_i (net)
+                  0.06    0.00   31.38 ^ mprj/u_wb_host/_6562_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   31.13   clock uncertainty
+                          0.95   32.09   clock reconvergence pessimism
+                         -0.12   31.97   library setup time
+                                 31.97   data required time
 -----------------------------------------------------------------------------
-                                 30.66   data required time
-                                -15.09   data arrival time
+                                 31.97   data required time
+                                -15.79   data arrival time
 -----------------------------------------------------------------------------
-                                 15.57   slack (MET)
-
-
-Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
-Endpoint: mprj/u_wb_host/_6558_
-          (rising edge-triggered flip-flop clocked by wb_clk)
-Path Group: wb_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           soc/clknet_0_core_clk (net)
-                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_0_core_clk (net)
-                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_1_core_clk (net)
-                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_2_core_clk (net)
-                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_3_core_clk (net)
-                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_4_core_clk (net)
-                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           soc/clknet_1_1_5_core_clk (net)
-                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_1_1_6_core_clk (net)
-                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_2_3_0_core_clk (net)
-                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_2_3_1_core_clk (net)
-                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_0_core_clk (net)
-                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_1_core_clk (net)
-                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_3_7_2_core_clk (net)
-                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_4_14_0_core_clk (net)
-                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_5_28_0_core_clk (net)
-                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           soc/clknet_5_28_1_core_clk (net)
-                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           soc/clknet_leaf_243_core_clk (net)
-                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.11    0.39    6.87 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
-     1    0.01                           soc/core.grant[0] (net)
-                  0.11    0.00    6.87 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
-                  0.16    0.21    7.08 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
-    10    0.10                           soc/net3544 (net)
-                  0.16    0.00    7.08 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
-                  0.22    0.26    7.34 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
-    14    0.11                           soc/net3546 (net)
-                  0.22    0.02    7.36 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
-                  0.31    0.36    7.72 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
-    17    0.11                           soc/net3545 (net)
-                  0.32    0.02    7.75 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
-                  0.09    0.11    7.86 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
-     1    0.01                           soc/_13629_ (net)
-                  0.09    0.00    7.86 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
-                  0.05    0.16    8.02 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
-     4    0.04                           soc/net3233 (net)
-                  0.05    0.00    8.02 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
-                  0.11    0.18    8.20 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
-    16    0.14                           soc/net3235 (net)
-                  0.12    0.03    8.23 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
-                  0.06    0.19    8.42 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
-    12    0.06                           soc/net3231 (net)
-                  0.06    0.00    8.43 v soc/_14146_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.32    8.75 v soc/_14146_/X (sky130_fd_sc_hd__and2_2)
-    10    0.06                           soc/_13643_ (net)
-                  0.15    0.01    8.75 v soc/_14147_/C1 (sky130_fd_sc_hd__a221oi_4)
-                  0.73    0.63    9.38 ^ soc/_14147_/Y (sky130_fd_sc_hd__a221oi_4)
-     6    0.06                           soc/_13644_ (net)
-                  0.73    0.01    9.38 ^ soc/max_length2753/A (sky130_fd_sc_hd__buf_6)
-                  0.21    0.32    9.71 ^ soc/max_length2753/X (sky130_fd_sc_hd__buf_6)
-     9    0.10                           soc/net2753 (net)
-                  0.21    0.02    9.73 ^ soc/wire2751/A (sky130_fd_sc_hd__buf_4)
-                  0.25    0.32   10.05 ^ soc/wire2751/X (sky130_fd_sc_hd__buf_4)
-     4    0.09                           soc/net2751 (net)
-                  0.25    0.01   10.06 ^ soc/_14148_/A (sky130_fd_sc_hd__inv_12)
-                  0.09    0.09   10.15 v soc/_14148_/Y (sky130_fd_sc_hd__inv_12)
-     4    0.08                           soc/net634 (net)
-                  0.09    0.01   10.17 v soc/wire2404/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.19   10.36 v soc/wire2404/X (sky130_fd_sc_hd__buf_12)
-     7    0.17                           soc/net2404 (net)
-                  0.13    0.04   10.40 v soc/output634/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.16   10.56 v soc/output634/X (sky130_fd_sc_hd__buf_12)
-     3    0.01                           mprj_adr_o_core[2] (net)
-                  0.02    0.00   10.56 v mgmt_buffers/input410/A (sky130_fd_sc_hd__buf_6)
-                  0.04    0.13   10.69 v mgmt_buffers/input410/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mgmt_buffers/net410 (net)
-                  0.04    0.00   10.69 v mgmt_buffers/wire1596/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.16   10.85 v mgmt_buffers/wire1596/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1596 (net)
-                  0.07    0.01   10.86 v mgmt_buffers/wire1595/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.20   11.06 v mgmt_buffers/wire1595/X (sky130_fd_sc_hd__buf_6)
-     2    0.11                           mgmt_buffers/net1595 (net)
-                  0.10    0.01   11.07 v mgmt_buffers/wire1594/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19   11.25 v mgmt_buffers/wire1594/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1594 (net)
-                  0.07    0.01   11.26 v mgmt_buffers/wire1593/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.18   11.44 v mgmt_buffers/wire1593/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1593 (net)
-                  0.07    0.01   11.45 v mgmt_buffers/wire1592/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.17   11.62 v mgmt_buffers/wire1592/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1592 (net)
-                  0.07    0.01   11.63 v mgmt_buffers/_307_/B (sky130_fd_sc_hd__and2_4)
-                  0.06    0.21   11.83 v mgmt_buffers/_307_/X (sky130_fd_sc_hd__and2_4)
-     2    0.03                           mgmt_buffers/net870 (net)
-                  0.06    0.00   11.84 v mgmt_buffers/output870/A (sky130_fd_sc_hd__buf_8)
-                  0.02    0.12   11.96 v mgmt_buffers/output870/X (sky130_fd_sc_hd__buf_8)
-     2    0.00                           mprj_adr_o_user[2] (net)
-                  0.02    0.00   11.96 v mprj/u_wb_host/input53/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.05    0.11   12.06 v mprj/u_wb_host/input53/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_wb_host/net53 (net)
-                  0.05    0.00   12.06 v mprj/u_wb_host/_4056_/A (sky130_fd_sc_hd__or2_1)
-                  0.10    0.29   12.36 v mprj/u_wb_host/_4056_/X (sky130_fd_sc_hd__or2_1)
-     2    0.01                           mprj/u_wb_host/_2076_ (net)
-                  0.10    0.00   12.36 v mprj/u_wb_host/_4057_/B1 (sky130_fd_sc_hd__o21ai_4)
-                  2.08    0.76   13.12 ^ mprj/u_wb_host/_4057_/Y (sky130_fd_sc_hd__o21ai_4)
-    74    0.32                           mprj/u_wb_host/_2077_ (net)
-                  2.08    0.03   13.15 ^ mprj/u_wb_host/_4082_/A (sky130_fd_sc_hd__nand2_8)
-                  0.65    0.60   13.75 v mprj/u_wb_host/_4082_/Y (sky130_fd_sc_hd__nand2_8)
-    66    0.20                           mprj/u_wb_host/_2095_ (net)
-                  0.65    0.00   13.75 v mprj/u_wb_host/_5410_/C (sky130_fd_sc_hd__or3_4)
-                  0.34    0.87   14.62 v mprj/u_wb_host/_5410_/X (sky130_fd_sc_hd__or3_4)
-    64    0.24                           mprj/u_wb_host/_2673_ (net)
-                  0.34    0.02   14.64 v mprj/u_wb_host/_5417_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.42   15.06 v mprj/u_wb_host/_5417_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_1041_ (net)
-                  0.05    0.00   15.06 v mprj/u_wb_host/_6558_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 15.06   data arrival time
-
-                         25.00   25.00   clock wb_clk (rise edge)
-                          0.00   25.00   clock source latency
-                  1.00    0.00   25.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10   29.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00   29.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13   29.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00   29.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14   29.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00   29.51 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   29.65 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_0_0_wbm_clk_i (net)
-                  0.05    0.00   29.65 ^ mprj/u_wb_host/clkbuf_3_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.19    0.23   29.88 ^ mprj/u_wb_host/clkbuf_3_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.11                           mprj/u_wb_host/clknet_3_1_0_wbm_clk_i (net)
-                  0.19    0.00   29.89 ^ mprj/u_wb_host/clkbuf_leaf_59_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.17   30.05 ^ mprj/u_wb_host/clkbuf_leaf_59_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     6    0.02                           mprj/u_wb_host/clknet_leaf_59_wbm_clk_i (net)
-                  0.04    0.00   30.05 ^ mprj/u_wb_host/_6558_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   29.80   clock uncertainty
-                          0.95   30.76   clock reconvergence pessimism
-                         -0.12   30.64   library setup time
-                                 30.64   data required time
------------------------------------------------------------------------------
-                                 30.64   data required time
-                                -15.06   data arrival time
------------------------------------------------------------------------------
-                                 15.57   slack (MET)
-
-
-Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
-Endpoint: mprj/u_wb_host/_6575_
-          (rising edge-triggered flip-flop clocked by wb_clk)
-Path Group: wb_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           soc/clknet_0_core_clk (net)
-                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_0_core_clk (net)
-                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_1_core_clk (net)
-                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_2_core_clk (net)
-                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_3_core_clk (net)
-                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_4_core_clk (net)
-                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           soc/clknet_1_1_5_core_clk (net)
-                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_1_1_6_core_clk (net)
-                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_2_3_0_core_clk (net)
-                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_2_3_1_core_clk (net)
-                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_0_core_clk (net)
-                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_1_core_clk (net)
-                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_3_7_2_core_clk (net)
-                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_4_14_0_core_clk (net)
-                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_5_28_0_core_clk (net)
-                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           soc/clknet_5_28_1_core_clk (net)
-                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           soc/clknet_leaf_243_core_clk (net)
-                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.11    0.39    6.87 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
-     1    0.01                           soc/core.grant[0] (net)
-                  0.11    0.00    6.87 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
-                  0.16    0.21    7.08 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
-    10    0.10                           soc/net3544 (net)
-                  0.16    0.00    7.08 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
-                  0.22    0.26    7.34 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
-    14    0.11                           soc/net3546 (net)
-                  0.22    0.02    7.36 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
-                  0.31    0.36    7.72 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
-    17    0.11                           soc/net3545 (net)
-                  0.32    0.02    7.75 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
-                  0.09    0.11    7.86 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
-     1    0.01                           soc/_13629_ (net)
-                  0.09    0.00    7.86 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
-                  0.05    0.16    8.02 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
-     4    0.04                           soc/net3233 (net)
-                  0.05    0.00    8.02 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
-                  0.11    0.18    8.20 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
-    16    0.14                           soc/net3235 (net)
-                  0.12    0.03    8.23 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
-                  0.06    0.19    8.42 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
-    12    0.06                           soc/net3231 (net)
-                  0.06    0.00    8.43 v soc/_14146_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.32    8.75 v soc/_14146_/X (sky130_fd_sc_hd__and2_2)
-    10    0.06                           soc/_13643_ (net)
-                  0.15    0.01    8.75 v soc/_14147_/C1 (sky130_fd_sc_hd__a221oi_4)
-                  0.73    0.63    9.38 ^ soc/_14147_/Y (sky130_fd_sc_hd__a221oi_4)
-     6    0.06                           soc/_13644_ (net)
-                  0.73    0.01    9.38 ^ soc/max_length2753/A (sky130_fd_sc_hd__buf_6)
-                  0.21    0.32    9.71 ^ soc/max_length2753/X (sky130_fd_sc_hd__buf_6)
-     9    0.10                           soc/net2753 (net)
-                  0.21    0.02    9.73 ^ soc/wire2751/A (sky130_fd_sc_hd__buf_4)
-                  0.25    0.32   10.05 ^ soc/wire2751/X (sky130_fd_sc_hd__buf_4)
-     4    0.09                           soc/net2751 (net)
-                  0.25    0.01   10.06 ^ soc/_14148_/A (sky130_fd_sc_hd__inv_12)
-                  0.09    0.09   10.15 v soc/_14148_/Y (sky130_fd_sc_hd__inv_12)
-     4    0.08                           soc/net634 (net)
-                  0.09    0.01   10.17 v soc/wire2404/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.19   10.36 v soc/wire2404/X (sky130_fd_sc_hd__buf_12)
-     7    0.17                           soc/net2404 (net)
-                  0.13    0.04   10.40 v soc/output634/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.16   10.56 v soc/output634/X (sky130_fd_sc_hd__buf_12)
-     3    0.01                           mprj_adr_o_core[2] (net)
-                  0.02    0.00   10.56 v mgmt_buffers/input410/A (sky130_fd_sc_hd__buf_6)
-                  0.04    0.13   10.69 v mgmt_buffers/input410/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mgmt_buffers/net410 (net)
-                  0.04    0.00   10.69 v mgmt_buffers/wire1596/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.16   10.85 v mgmt_buffers/wire1596/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1596 (net)
-                  0.07    0.01   10.86 v mgmt_buffers/wire1595/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.20   11.06 v mgmt_buffers/wire1595/X (sky130_fd_sc_hd__buf_6)
-     2    0.11                           mgmt_buffers/net1595 (net)
-                  0.10    0.01   11.07 v mgmt_buffers/wire1594/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19   11.25 v mgmt_buffers/wire1594/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1594 (net)
-                  0.07    0.01   11.26 v mgmt_buffers/wire1593/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.18   11.44 v mgmt_buffers/wire1593/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1593 (net)
-                  0.07    0.01   11.45 v mgmt_buffers/wire1592/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.17   11.62 v mgmt_buffers/wire1592/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1592 (net)
-                  0.07    0.01   11.63 v mgmt_buffers/_307_/B (sky130_fd_sc_hd__and2_4)
-                  0.06    0.21   11.83 v mgmt_buffers/_307_/X (sky130_fd_sc_hd__and2_4)
-     2    0.03                           mgmt_buffers/net870 (net)
-                  0.06    0.00   11.84 v mgmt_buffers/output870/A (sky130_fd_sc_hd__buf_8)
-                  0.02    0.12   11.96 v mgmt_buffers/output870/X (sky130_fd_sc_hd__buf_8)
-     2    0.00                           mprj_adr_o_user[2] (net)
-                  0.02    0.00   11.96 v mprj/u_wb_host/input53/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.05    0.11   12.06 v mprj/u_wb_host/input53/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_wb_host/net53 (net)
-                  0.05    0.00   12.06 v mprj/u_wb_host/_4056_/A (sky130_fd_sc_hd__or2_1)
-                  0.10    0.29   12.36 v mprj/u_wb_host/_4056_/X (sky130_fd_sc_hd__or2_1)
-     2    0.01                           mprj/u_wb_host/_2076_ (net)
-                  0.10    0.00   12.36 v mprj/u_wb_host/_4057_/B1 (sky130_fd_sc_hd__o21ai_4)
-                  2.08    0.76   13.12 ^ mprj/u_wb_host/_4057_/Y (sky130_fd_sc_hd__o21ai_4)
-    74    0.32                           mprj/u_wb_host/_2077_ (net)
-                  2.08    0.03   13.15 ^ mprj/u_wb_host/_4082_/A (sky130_fd_sc_hd__nand2_8)
-                  0.65    0.60   13.75 v mprj/u_wb_host/_4082_/Y (sky130_fd_sc_hd__nand2_8)
-    66    0.20                           mprj/u_wb_host/_2095_ (net)
-                  0.65    0.00   13.75 v mprj/u_wb_host/_5410_/C (sky130_fd_sc_hd__or3_4)
-                  0.34    0.87   14.62 v mprj/u_wb_host/_5410_/X (sky130_fd_sc_hd__or3_4)
-    64    0.24                           mprj/u_wb_host/_2673_ (net)
-                  0.35    0.04   14.66 v mprj/u_wb_host/_5434_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.42   15.09 v mprj/u_wb_host/_5434_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_1058_ (net)
-                  0.05    0.00   15.09 v mprj/u_wb_host/_6575_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 15.09   data arrival time
-
-                         25.00   25.00   clock wb_clk (rise edge)
-                          0.00   25.00   clock source latency
-                  1.00    0.00   25.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10   29.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00   29.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13   29.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00   29.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14   29.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00   29.51 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   29.65 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_0_0_wbm_clk_i (net)
-                  0.05    0.00   29.65 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.21    0.24   29.89 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.12                           mprj/u_wb_host/clknet_3_0_0_wbm_clk_i (net)
-                  0.21    0.00   29.89 ^ mprj/u_wb_host/clkbuf_leaf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.18   30.08 ^ mprj/u_wb_host/clkbuf_leaf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     9    0.03                           mprj/u_wb_host/clknet_leaf_0_wbm_clk_i (net)
-                  0.06    0.00   30.08 ^ mprj/u_wb_host/_6575_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   29.83   clock uncertainty
-                          0.95   30.78   clock reconvergence pessimism
-                         -0.12   30.67   library setup time
-                                 30.67   data required time
------------------------------------------------------------------------------
-                                 30.67   data required time
-                                -15.09   data arrival time
------------------------------------------------------------------------------
-                                 15.58   slack (MET)
-
-
-Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
-Endpoint: mprj/u_wb_host/_6565_
-          (rising edge-triggered flip-flop clocked by wb_clk)
-Path Group: wb_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           soc/clknet_0_core_clk (net)
-                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_0_core_clk (net)
-                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_1_core_clk (net)
-                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_2_core_clk (net)
-                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_3_core_clk (net)
-                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_4_core_clk (net)
-                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           soc/clknet_1_1_5_core_clk (net)
-                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_1_1_6_core_clk (net)
-                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_2_3_0_core_clk (net)
-                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_2_3_1_core_clk (net)
-                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_0_core_clk (net)
-                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_1_core_clk (net)
-                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_3_7_2_core_clk (net)
-                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_4_14_0_core_clk (net)
-                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_5_28_0_core_clk (net)
-                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           soc/clknet_5_28_1_core_clk (net)
-                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           soc/clknet_leaf_243_core_clk (net)
-                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.11    0.39    6.87 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
-     1    0.01                           soc/core.grant[0] (net)
-                  0.11    0.00    6.87 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
-                  0.16    0.21    7.08 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
-    10    0.10                           soc/net3544 (net)
-                  0.16    0.00    7.08 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
-                  0.22    0.26    7.34 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
-    14    0.11                           soc/net3546 (net)
-                  0.22    0.02    7.36 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
-                  0.31    0.36    7.72 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
-    17    0.11                           soc/net3545 (net)
-                  0.32    0.02    7.75 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
-                  0.09    0.11    7.86 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
-     1    0.01                           soc/_13629_ (net)
-                  0.09    0.00    7.86 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
-                  0.05    0.16    8.02 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
-     4    0.04                           soc/net3233 (net)
-                  0.05    0.00    8.02 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
-                  0.11    0.18    8.20 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
-    16    0.14                           soc/net3235 (net)
-                  0.12    0.03    8.23 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
-                  0.06    0.19    8.42 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
-    12    0.06                           soc/net3231 (net)
-                  0.06    0.00    8.43 v soc/_14146_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.32    8.75 v soc/_14146_/X (sky130_fd_sc_hd__and2_2)
-    10    0.06                           soc/_13643_ (net)
-                  0.15    0.01    8.75 v soc/_14147_/C1 (sky130_fd_sc_hd__a221oi_4)
-                  0.73    0.63    9.38 ^ soc/_14147_/Y (sky130_fd_sc_hd__a221oi_4)
-     6    0.06                           soc/_13644_ (net)
-                  0.73    0.01    9.38 ^ soc/max_length2753/A (sky130_fd_sc_hd__buf_6)
-                  0.21    0.32    9.71 ^ soc/max_length2753/X (sky130_fd_sc_hd__buf_6)
-     9    0.10                           soc/net2753 (net)
-                  0.21    0.02    9.73 ^ soc/wire2751/A (sky130_fd_sc_hd__buf_4)
-                  0.25    0.32   10.05 ^ soc/wire2751/X (sky130_fd_sc_hd__buf_4)
-     4    0.09                           soc/net2751 (net)
-                  0.25    0.01   10.06 ^ soc/_14148_/A (sky130_fd_sc_hd__inv_12)
-                  0.09    0.09   10.15 v soc/_14148_/Y (sky130_fd_sc_hd__inv_12)
-     4    0.08                           soc/net634 (net)
-                  0.09    0.01   10.17 v soc/wire2404/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.19   10.36 v soc/wire2404/X (sky130_fd_sc_hd__buf_12)
-     7    0.17                           soc/net2404 (net)
-                  0.13    0.04   10.40 v soc/output634/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.16   10.56 v soc/output634/X (sky130_fd_sc_hd__buf_12)
-     3    0.01                           mprj_adr_o_core[2] (net)
-                  0.02    0.00   10.56 v mgmt_buffers/input410/A (sky130_fd_sc_hd__buf_6)
-                  0.04    0.13   10.69 v mgmt_buffers/input410/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mgmt_buffers/net410 (net)
-                  0.04    0.00   10.69 v mgmt_buffers/wire1596/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.16   10.85 v mgmt_buffers/wire1596/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1596 (net)
-                  0.07    0.01   10.86 v mgmt_buffers/wire1595/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.20   11.06 v mgmt_buffers/wire1595/X (sky130_fd_sc_hd__buf_6)
-     2    0.11                           mgmt_buffers/net1595 (net)
-                  0.10    0.01   11.07 v mgmt_buffers/wire1594/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19   11.25 v mgmt_buffers/wire1594/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1594 (net)
-                  0.07    0.01   11.26 v mgmt_buffers/wire1593/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.18   11.44 v mgmt_buffers/wire1593/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1593 (net)
-                  0.07    0.01   11.45 v mgmt_buffers/wire1592/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.17   11.62 v mgmt_buffers/wire1592/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1592 (net)
-                  0.07    0.01   11.63 v mgmt_buffers/_307_/B (sky130_fd_sc_hd__and2_4)
-                  0.06    0.21   11.83 v mgmt_buffers/_307_/X (sky130_fd_sc_hd__and2_4)
-     2    0.03                           mgmt_buffers/net870 (net)
-                  0.06    0.00   11.84 v mgmt_buffers/output870/A (sky130_fd_sc_hd__buf_8)
-                  0.02    0.12   11.96 v mgmt_buffers/output870/X (sky130_fd_sc_hd__buf_8)
-     2    0.00                           mprj_adr_o_user[2] (net)
-                  0.02    0.00   11.96 v mprj/u_wb_host/input53/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.05    0.11   12.06 v mprj/u_wb_host/input53/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_wb_host/net53 (net)
-                  0.05    0.00   12.06 v mprj/u_wb_host/_4056_/A (sky130_fd_sc_hd__or2_1)
-                  0.10    0.29   12.36 v mprj/u_wb_host/_4056_/X (sky130_fd_sc_hd__or2_1)
-     2    0.01                           mprj/u_wb_host/_2076_ (net)
-                  0.10    0.00   12.36 v mprj/u_wb_host/_4057_/B1 (sky130_fd_sc_hd__o21ai_4)
-                  2.08    0.76   13.12 ^ mprj/u_wb_host/_4057_/Y (sky130_fd_sc_hd__o21ai_4)
-    74    0.32                           mprj/u_wb_host/_2077_ (net)
-                  2.08    0.03   13.15 ^ mprj/u_wb_host/_4082_/A (sky130_fd_sc_hd__nand2_8)
-                  0.65    0.60   13.75 v mprj/u_wb_host/_4082_/Y (sky130_fd_sc_hd__nand2_8)
-    66    0.20                           mprj/u_wb_host/_2095_ (net)
-                  0.65    0.00   13.75 v mprj/u_wb_host/_5410_/C (sky130_fd_sc_hd__or3_4)
-                  0.34    0.87   14.62 v mprj/u_wb_host/_5410_/X (sky130_fd_sc_hd__or3_4)
-    64    0.24                           mprj/u_wb_host/_2673_ (net)
-                  0.34    0.04   14.66 v mprj/u_wb_host/_5424_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.43   15.09 v mprj/u_wb_host/_5424_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_1048_ (net)
-                  0.05    0.00   15.09 v mprj/u_wb_host/_6565_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 15.09   data arrival time
-
-                         25.00   25.00   clock wb_clk (rise edge)
-                          0.00   25.00   clock source latency
-                  1.00    0.00   25.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10   29.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00   29.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13   29.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00   29.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14   29.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00   29.51 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   29.65 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_0_0_wbm_clk_i (net)
-                  0.05    0.00   29.65 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.21    0.24   29.89 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.12                           mprj/u_wb_host/clknet_3_0_0_wbm_clk_i (net)
-                  0.21    0.00   29.89 ^ mprj/u_wb_host/clkbuf_leaf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.18   30.08 ^ mprj/u_wb_host/clkbuf_leaf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     9    0.03                           mprj/u_wb_host/clknet_leaf_0_wbm_clk_i (net)
-                  0.06    0.00   30.08 ^ mprj/u_wb_host/_6565_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   29.83   clock uncertainty
-                          0.95   30.78   clock reconvergence pessimism
-                         -0.12   30.66   library setup time
-                                 30.66   data required time
------------------------------------------------------------------------------
-                                 30.66   data required time
-                                -15.09   data arrival time
------------------------------------------------------------------------------
-                                 15.58   slack (MET)
-
-
-Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
-Endpoint: mprj/u_wb_host/_6564_
-          (rising edge-triggered flip-flop clocked by wb_clk)
-Path Group: wb_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           soc/clknet_0_core_clk (net)
-                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_0_core_clk (net)
-                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_1_core_clk (net)
-                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_2_core_clk (net)
-                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_3_core_clk (net)
-                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_4_core_clk (net)
-                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           soc/clknet_1_1_5_core_clk (net)
-                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_1_1_6_core_clk (net)
-                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_2_3_0_core_clk (net)
-                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_2_3_1_core_clk (net)
-                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_0_core_clk (net)
-                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_1_core_clk (net)
-                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_3_7_2_core_clk (net)
-                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_4_14_0_core_clk (net)
-                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_5_28_0_core_clk (net)
-                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           soc/clknet_5_28_1_core_clk (net)
-                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           soc/clknet_leaf_243_core_clk (net)
-                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.11    0.39    6.87 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
-     1    0.01                           soc/core.grant[0] (net)
-                  0.11    0.00    6.87 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
-                  0.16    0.21    7.08 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
-    10    0.10                           soc/net3544 (net)
-                  0.16    0.00    7.08 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
-                  0.22    0.26    7.34 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
-    14    0.11                           soc/net3546 (net)
-                  0.22    0.02    7.36 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
-                  0.31    0.36    7.72 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
-    17    0.11                           soc/net3545 (net)
-                  0.32    0.02    7.75 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
-                  0.09    0.11    7.86 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
-     1    0.01                           soc/_13629_ (net)
-                  0.09    0.00    7.86 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
-                  0.05    0.16    8.02 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
-     4    0.04                           soc/net3233 (net)
-                  0.05    0.00    8.02 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
-                  0.11    0.18    8.20 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
-    16    0.14                           soc/net3235 (net)
-                  0.12    0.03    8.23 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
-                  0.06    0.19    8.42 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
-    12    0.06                           soc/net3231 (net)
-                  0.06    0.00    8.43 v soc/_14146_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.32    8.75 v soc/_14146_/X (sky130_fd_sc_hd__and2_2)
-    10    0.06                           soc/_13643_ (net)
-                  0.15    0.01    8.75 v soc/_14147_/C1 (sky130_fd_sc_hd__a221oi_4)
-                  0.73    0.63    9.38 ^ soc/_14147_/Y (sky130_fd_sc_hd__a221oi_4)
-     6    0.06                           soc/_13644_ (net)
-                  0.73    0.01    9.38 ^ soc/max_length2753/A (sky130_fd_sc_hd__buf_6)
-                  0.21    0.32    9.71 ^ soc/max_length2753/X (sky130_fd_sc_hd__buf_6)
-     9    0.10                           soc/net2753 (net)
-                  0.21    0.02    9.73 ^ soc/wire2751/A (sky130_fd_sc_hd__buf_4)
-                  0.25    0.32   10.05 ^ soc/wire2751/X (sky130_fd_sc_hd__buf_4)
-     4    0.09                           soc/net2751 (net)
-                  0.25    0.01   10.06 ^ soc/_14148_/A (sky130_fd_sc_hd__inv_12)
-                  0.09    0.09   10.15 v soc/_14148_/Y (sky130_fd_sc_hd__inv_12)
-     4    0.08                           soc/net634 (net)
-                  0.09    0.01   10.17 v soc/wire2404/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.19   10.36 v soc/wire2404/X (sky130_fd_sc_hd__buf_12)
-     7    0.17                           soc/net2404 (net)
-                  0.13    0.04   10.40 v soc/output634/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.16   10.56 v soc/output634/X (sky130_fd_sc_hd__buf_12)
-     3    0.01                           mprj_adr_o_core[2] (net)
-                  0.02    0.00   10.56 v mgmt_buffers/input410/A (sky130_fd_sc_hd__buf_6)
-                  0.04    0.13   10.69 v mgmt_buffers/input410/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mgmt_buffers/net410 (net)
-                  0.04    0.00   10.69 v mgmt_buffers/wire1596/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.16   10.85 v mgmt_buffers/wire1596/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1596 (net)
-                  0.07    0.01   10.86 v mgmt_buffers/wire1595/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.20   11.06 v mgmt_buffers/wire1595/X (sky130_fd_sc_hd__buf_6)
-     2    0.11                           mgmt_buffers/net1595 (net)
-                  0.10    0.01   11.07 v mgmt_buffers/wire1594/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19   11.25 v mgmt_buffers/wire1594/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1594 (net)
-                  0.07    0.01   11.26 v mgmt_buffers/wire1593/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.18   11.44 v mgmt_buffers/wire1593/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1593 (net)
-                  0.07    0.01   11.45 v mgmt_buffers/wire1592/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.17   11.62 v mgmt_buffers/wire1592/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1592 (net)
-                  0.07    0.01   11.63 v mgmt_buffers/_307_/B (sky130_fd_sc_hd__and2_4)
-                  0.06    0.21   11.83 v mgmt_buffers/_307_/X (sky130_fd_sc_hd__and2_4)
-     2    0.03                           mgmt_buffers/net870 (net)
-                  0.06    0.00   11.84 v mgmt_buffers/output870/A (sky130_fd_sc_hd__buf_8)
-                  0.02    0.12   11.96 v mgmt_buffers/output870/X (sky130_fd_sc_hd__buf_8)
-     2    0.00                           mprj_adr_o_user[2] (net)
-                  0.02    0.00   11.96 v mprj/u_wb_host/input53/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.05    0.11   12.06 v mprj/u_wb_host/input53/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_wb_host/net53 (net)
-                  0.05    0.00   12.06 v mprj/u_wb_host/_4056_/A (sky130_fd_sc_hd__or2_1)
-                  0.10    0.29   12.36 v mprj/u_wb_host/_4056_/X (sky130_fd_sc_hd__or2_1)
-     2    0.01                           mprj/u_wb_host/_2076_ (net)
-                  0.10    0.00   12.36 v mprj/u_wb_host/_4057_/B1 (sky130_fd_sc_hd__o21ai_4)
-                  2.08    0.76   13.12 ^ mprj/u_wb_host/_4057_/Y (sky130_fd_sc_hd__o21ai_4)
-    74    0.32                           mprj/u_wb_host/_2077_ (net)
-                  2.08    0.03   13.15 ^ mprj/u_wb_host/_4082_/A (sky130_fd_sc_hd__nand2_8)
-                  0.65    0.60   13.75 v mprj/u_wb_host/_4082_/Y (sky130_fd_sc_hd__nand2_8)
-    66    0.20                           mprj/u_wb_host/_2095_ (net)
-                  0.65    0.00   13.75 v mprj/u_wb_host/_5410_/C (sky130_fd_sc_hd__or3_4)
-                  0.34    0.87   14.62 v mprj/u_wb_host/_5410_/X (sky130_fd_sc_hd__or3_4)
-    64    0.24                           mprj/u_wb_host/_2673_ (net)
-                  0.34    0.04   14.66 v mprj/u_wb_host/_5423_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.42   15.08 v mprj/u_wb_host/_5423_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_1047_ (net)
-                  0.05    0.00   15.08 v mprj/u_wb_host/_6564_/D (sky130_fd_sc_hd__dfrtp_2)
-                                 15.08   data arrival time
-
-                         25.00   25.00   clock wb_clk (rise edge)
-                          0.00   25.00   clock source latency
-                  1.00    0.00   25.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10   29.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00   29.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13   29.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00   29.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14   29.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00   29.51 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   29.65 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_0_0_wbm_clk_i (net)
-                  0.05    0.00   29.65 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.21    0.24   29.89 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.12                           mprj/u_wb_host/clknet_3_0_0_wbm_clk_i (net)
-                  0.21    0.00   29.89 ^ mprj/u_wb_host/clkbuf_leaf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.18   30.08 ^ mprj/u_wb_host/clkbuf_leaf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     9    0.03                           mprj/u_wb_host/clknet_leaf_0_wbm_clk_i (net)
-                  0.06    0.00   30.08 ^ mprj/u_wb_host/_6564_/CLK (sky130_fd_sc_hd__dfrtp_2)
-                         -0.25   29.83   clock uncertainty
-                          0.95   30.78   clock reconvergence pessimism
-                         -0.12   30.66   library setup time
-                                 30.66   data required time
------------------------------------------------------------------------------
-                                 30.66   data required time
-                                -15.08   data arrival time
------------------------------------------------------------------------------
-                                 15.58   slack (MET)
-
-
-Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
-Endpoint: mprj/u_wb_host/_6555_
-          (rising edge-triggered flip-flop clocked by wb_clk)
-Path Group: wb_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           soc/clknet_0_core_clk (net)
-                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_0_core_clk (net)
-                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_1_core_clk (net)
-                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_2_core_clk (net)
-                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_3_core_clk (net)
-                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_4_core_clk (net)
-                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           soc/clknet_1_1_5_core_clk (net)
-                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_1_1_6_core_clk (net)
-                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_2_3_0_core_clk (net)
-                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_2_3_1_core_clk (net)
-                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_0_core_clk (net)
-                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_1_core_clk (net)
-                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_3_7_2_core_clk (net)
-                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_4_14_0_core_clk (net)
-                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_5_28_0_core_clk (net)
-                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           soc/clknet_5_28_1_core_clk (net)
-                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           soc/clknet_leaf_243_core_clk (net)
-                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.11    0.39    6.87 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
-     1    0.01                           soc/core.grant[0] (net)
-                  0.11    0.00    6.87 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
-                  0.16    0.21    7.08 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
-    10    0.10                           soc/net3544 (net)
-                  0.16    0.00    7.08 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
-                  0.22    0.26    7.34 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
-    14    0.11                           soc/net3546 (net)
-                  0.22    0.02    7.36 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
-                  0.31    0.36    7.72 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
-    17    0.11                           soc/net3545 (net)
-                  0.32    0.02    7.75 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
-                  0.09    0.11    7.86 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
-     1    0.01                           soc/_13629_ (net)
-                  0.09    0.00    7.86 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
-                  0.05    0.16    8.02 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
-     4    0.04                           soc/net3233 (net)
-                  0.05    0.00    8.02 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
-                  0.11    0.18    8.20 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
-    16    0.14                           soc/net3235 (net)
-                  0.12    0.03    8.23 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
-                  0.06    0.19    8.42 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
-    12    0.06                           soc/net3231 (net)
-                  0.06    0.00    8.43 v soc/_14146_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.32    8.75 v soc/_14146_/X (sky130_fd_sc_hd__and2_2)
-    10    0.06                           soc/_13643_ (net)
-                  0.15    0.01    8.75 v soc/_14147_/C1 (sky130_fd_sc_hd__a221oi_4)
-                  0.73    0.63    9.38 ^ soc/_14147_/Y (sky130_fd_sc_hd__a221oi_4)
-     6    0.06                           soc/_13644_ (net)
-                  0.73    0.01    9.38 ^ soc/max_length2753/A (sky130_fd_sc_hd__buf_6)
-                  0.21    0.32    9.71 ^ soc/max_length2753/X (sky130_fd_sc_hd__buf_6)
-     9    0.10                           soc/net2753 (net)
-                  0.21    0.02    9.73 ^ soc/wire2751/A (sky130_fd_sc_hd__buf_4)
-                  0.25    0.32   10.05 ^ soc/wire2751/X (sky130_fd_sc_hd__buf_4)
-     4    0.09                           soc/net2751 (net)
-                  0.25    0.01   10.06 ^ soc/_14148_/A (sky130_fd_sc_hd__inv_12)
-                  0.09    0.09   10.15 v soc/_14148_/Y (sky130_fd_sc_hd__inv_12)
-     4    0.08                           soc/net634 (net)
-                  0.09    0.01   10.17 v soc/wire2404/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.19   10.36 v soc/wire2404/X (sky130_fd_sc_hd__buf_12)
-     7    0.17                           soc/net2404 (net)
-                  0.13    0.04   10.40 v soc/output634/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.16   10.56 v soc/output634/X (sky130_fd_sc_hd__buf_12)
-     3    0.01                           mprj_adr_o_core[2] (net)
-                  0.02    0.00   10.56 v mgmt_buffers/input410/A (sky130_fd_sc_hd__buf_6)
-                  0.04    0.13   10.69 v mgmt_buffers/input410/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mgmt_buffers/net410 (net)
-                  0.04    0.00   10.69 v mgmt_buffers/wire1596/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.16   10.85 v mgmt_buffers/wire1596/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1596 (net)
-                  0.07    0.01   10.86 v mgmt_buffers/wire1595/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.20   11.06 v mgmt_buffers/wire1595/X (sky130_fd_sc_hd__buf_6)
-     2    0.11                           mgmt_buffers/net1595 (net)
-                  0.10    0.01   11.07 v mgmt_buffers/wire1594/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19   11.25 v mgmt_buffers/wire1594/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1594 (net)
-                  0.07    0.01   11.26 v mgmt_buffers/wire1593/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.18   11.44 v mgmt_buffers/wire1593/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1593 (net)
-                  0.07    0.01   11.45 v mgmt_buffers/wire1592/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.17   11.62 v mgmt_buffers/wire1592/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1592 (net)
-                  0.07    0.01   11.63 v mgmt_buffers/_307_/B (sky130_fd_sc_hd__and2_4)
-                  0.06    0.21   11.83 v mgmt_buffers/_307_/X (sky130_fd_sc_hd__and2_4)
-     2    0.03                           mgmt_buffers/net870 (net)
-                  0.06    0.00   11.84 v mgmt_buffers/output870/A (sky130_fd_sc_hd__buf_8)
-                  0.02    0.12   11.96 v mgmt_buffers/output870/X (sky130_fd_sc_hd__buf_8)
-     2    0.00                           mprj_adr_o_user[2] (net)
-                  0.02    0.00   11.96 v mprj/u_wb_host/input53/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.05    0.11   12.06 v mprj/u_wb_host/input53/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_wb_host/net53 (net)
-                  0.05    0.00   12.06 v mprj/u_wb_host/_4056_/A (sky130_fd_sc_hd__or2_1)
-                  0.10    0.29   12.36 v mprj/u_wb_host/_4056_/X (sky130_fd_sc_hd__or2_1)
-     2    0.01                           mprj/u_wb_host/_2076_ (net)
-                  0.10    0.00   12.36 v mprj/u_wb_host/_4057_/B1 (sky130_fd_sc_hd__o21ai_4)
-                  2.08    0.76   13.12 ^ mprj/u_wb_host/_4057_/Y (sky130_fd_sc_hd__o21ai_4)
-    74    0.32                           mprj/u_wb_host/_2077_ (net)
-                  2.08    0.03   13.15 ^ mprj/u_wb_host/_4082_/A (sky130_fd_sc_hd__nand2_8)
-                  0.65    0.60   13.75 v mprj/u_wb_host/_4082_/Y (sky130_fd_sc_hd__nand2_8)
-    66    0.20                           mprj/u_wb_host/_2095_ (net)
-                  0.65    0.00   13.75 v mprj/u_wb_host/_5410_/C (sky130_fd_sc_hd__or3_4)
-                  0.34    0.87   14.62 v mprj/u_wb_host/_5410_/X (sky130_fd_sc_hd__or3_4)
-    64    0.24                           mprj/u_wb_host/_2673_ (net)
-                  0.34    0.01   14.63 v mprj/u_wb_host/_5414_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.42   15.06 v mprj/u_wb_host/_5414_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_1038_ (net)
-                  0.05    0.00   15.06 v mprj/u_wb_host/_6555_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 15.06   data arrival time
-
-                         25.00   25.00   clock wb_clk (rise edge)
-                          0.00   25.00   clock source latency
-                  1.00    0.00   25.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10   29.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00   29.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13   29.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00   29.37 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14   29.50 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
-                  0.06    0.00   29.50 ^ mprj/u_wb_host/clkbuf_2_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14   29.64 ^ mprj/u_wb_host/clkbuf_2_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_2_0_wbm_clk_i (net)
-                  0.06    0.00   29.64 ^ mprj/u_wb_host/clkbuf_3_4_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.19    0.23   29.87 ^ mprj/u_wb_host/clkbuf_3_4_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.11                           mprj/u_wb_host/clknet_3_4_0_wbm_clk_i (net)
-                  0.19    0.00   29.88 ^ mprj/u_wb_host/clkbuf_leaf_49_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.18   30.06 ^ mprj/u_wb_host/clkbuf_leaf_49_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     9    0.03                           mprj/u_wb_host/clknet_leaf_49_wbm_clk_i (net)
-                  0.06    0.00   30.06 ^ mprj/u_wb_host/_6555_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   29.81   clock uncertainty
-                          0.95   30.76   clock reconvergence pessimism
-                         -0.12   30.65   library setup time
-                                 30.65   data required time
------------------------------------------------------------------------------
-                                 30.65   data required time
-                                -15.06   data arrival time
------------------------------------------------------------------------------
-                                 15.59   slack (MET)
-
-
-Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
-Endpoint: mprj/u_wb_host/_6553_
-          (rising edge-triggered flip-flop clocked by wb_clk)
-Path Group: wb_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           soc/clknet_0_core_clk (net)
-                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_0_core_clk (net)
-                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_1_core_clk (net)
-                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_2_core_clk (net)
-                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_3_core_clk (net)
-                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_4_core_clk (net)
-                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           soc/clknet_1_1_5_core_clk (net)
-                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_1_1_6_core_clk (net)
-                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_2_3_0_core_clk (net)
-                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_2_3_1_core_clk (net)
-                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_0_core_clk (net)
-                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_1_core_clk (net)
-                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_3_7_2_core_clk (net)
-                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_4_14_0_core_clk (net)
-                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_5_28_0_core_clk (net)
-                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           soc/clknet_5_28_1_core_clk (net)
-                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           soc/clknet_leaf_243_core_clk (net)
-                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.11    0.39    6.87 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
-     1    0.01                           soc/core.grant[0] (net)
-                  0.11    0.00    6.87 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
-                  0.16    0.21    7.08 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
-    10    0.10                           soc/net3544 (net)
-                  0.16    0.00    7.08 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
-                  0.22    0.26    7.34 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
-    14    0.11                           soc/net3546 (net)
-                  0.22    0.02    7.36 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
-                  0.31    0.36    7.72 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
-    17    0.11                           soc/net3545 (net)
-                  0.32    0.02    7.75 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
-                  0.09    0.11    7.86 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
-     1    0.01                           soc/_13629_ (net)
-                  0.09    0.00    7.86 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
-                  0.05    0.16    8.02 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
-     4    0.04                           soc/net3233 (net)
-                  0.05    0.00    8.02 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
-                  0.11    0.18    8.20 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
-    16    0.14                           soc/net3235 (net)
-                  0.12    0.03    8.23 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
-                  0.06    0.19    8.42 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
-    12    0.06                           soc/net3231 (net)
-                  0.06    0.00    8.43 v soc/_14146_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.32    8.75 v soc/_14146_/X (sky130_fd_sc_hd__and2_2)
-    10    0.06                           soc/_13643_ (net)
-                  0.15    0.01    8.75 v soc/_14147_/C1 (sky130_fd_sc_hd__a221oi_4)
-                  0.73    0.63    9.38 ^ soc/_14147_/Y (sky130_fd_sc_hd__a221oi_4)
-     6    0.06                           soc/_13644_ (net)
-                  0.73    0.01    9.38 ^ soc/max_length2753/A (sky130_fd_sc_hd__buf_6)
-                  0.21    0.32    9.71 ^ soc/max_length2753/X (sky130_fd_sc_hd__buf_6)
-     9    0.10                           soc/net2753 (net)
-                  0.21    0.02    9.73 ^ soc/wire2751/A (sky130_fd_sc_hd__buf_4)
-                  0.25    0.32   10.05 ^ soc/wire2751/X (sky130_fd_sc_hd__buf_4)
-     4    0.09                           soc/net2751 (net)
-                  0.25    0.01   10.06 ^ soc/_14148_/A (sky130_fd_sc_hd__inv_12)
-                  0.09    0.09   10.15 v soc/_14148_/Y (sky130_fd_sc_hd__inv_12)
-     4    0.08                           soc/net634 (net)
-                  0.09    0.01   10.17 v soc/wire2404/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.19   10.36 v soc/wire2404/X (sky130_fd_sc_hd__buf_12)
-     7    0.17                           soc/net2404 (net)
-                  0.13    0.04   10.40 v soc/output634/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.16   10.56 v soc/output634/X (sky130_fd_sc_hd__buf_12)
-     3    0.01                           mprj_adr_o_core[2] (net)
-                  0.02    0.00   10.56 v mgmt_buffers/input410/A (sky130_fd_sc_hd__buf_6)
-                  0.04    0.13   10.69 v mgmt_buffers/input410/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mgmt_buffers/net410 (net)
-                  0.04    0.00   10.69 v mgmt_buffers/wire1596/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.16   10.85 v mgmt_buffers/wire1596/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1596 (net)
-                  0.07    0.01   10.86 v mgmt_buffers/wire1595/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.20   11.06 v mgmt_buffers/wire1595/X (sky130_fd_sc_hd__buf_6)
-     2    0.11                           mgmt_buffers/net1595 (net)
-                  0.10    0.01   11.07 v mgmt_buffers/wire1594/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19   11.25 v mgmt_buffers/wire1594/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1594 (net)
-                  0.07    0.01   11.26 v mgmt_buffers/wire1593/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.18   11.44 v mgmt_buffers/wire1593/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1593 (net)
-                  0.07    0.01   11.45 v mgmt_buffers/wire1592/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.17   11.62 v mgmt_buffers/wire1592/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1592 (net)
-                  0.07    0.01   11.63 v mgmt_buffers/_307_/B (sky130_fd_sc_hd__and2_4)
-                  0.06    0.21   11.83 v mgmt_buffers/_307_/X (sky130_fd_sc_hd__and2_4)
-     2    0.03                           mgmt_buffers/net870 (net)
-                  0.06    0.00   11.84 v mgmt_buffers/output870/A (sky130_fd_sc_hd__buf_8)
-                  0.02    0.12   11.96 v mgmt_buffers/output870/X (sky130_fd_sc_hd__buf_8)
-     2    0.00                           mprj_adr_o_user[2] (net)
-                  0.02    0.00   11.96 v mprj/u_wb_host/input53/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.05    0.11   12.06 v mprj/u_wb_host/input53/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_wb_host/net53 (net)
-                  0.05    0.00   12.06 v mprj/u_wb_host/_4056_/A (sky130_fd_sc_hd__or2_1)
-                  0.10    0.29   12.36 v mprj/u_wb_host/_4056_/X (sky130_fd_sc_hd__or2_1)
-     2    0.01                           mprj/u_wb_host/_2076_ (net)
-                  0.10    0.00   12.36 v mprj/u_wb_host/_4057_/B1 (sky130_fd_sc_hd__o21ai_4)
-                  2.08    0.76   13.12 ^ mprj/u_wb_host/_4057_/Y (sky130_fd_sc_hd__o21ai_4)
-    74    0.32                           mprj/u_wb_host/_2077_ (net)
-                  2.08    0.03   13.15 ^ mprj/u_wb_host/_4082_/A (sky130_fd_sc_hd__nand2_8)
-                  0.65    0.60   13.75 v mprj/u_wb_host/_4082_/Y (sky130_fd_sc_hd__nand2_8)
-    66    0.20                           mprj/u_wb_host/_2095_ (net)
-                  0.65    0.00   13.75 v mprj/u_wb_host/_5410_/C (sky130_fd_sc_hd__or3_4)
-                  0.34    0.87   14.62 v mprj/u_wb_host/_5410_/X (sky130_fd_sc_hd__or3_4)
-    64    0.24                           mprj/u_wb_host/_2673_ (net)
-                  0.35    0.05   14.67 v mprj/u_wb_host/_5412_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.43   15.10 v mprj/u_wb_host/_5412_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_1036_ (net)
-                  0.05    0.00   15.10 v mprj/u_wb_host/_6553_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 15.10   data arrival time
-
-                         25.00   25.00   clock wb_clk (rise edge)
-                          0.00   25.00   clock source latency
-                  1.00    0.00   25.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10   29.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00   29.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13   29.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00   29.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14   29.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00   29.51 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   29.65 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_0_0_wbm_clk_i (net)
-                  0.05    0.00   29.65 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.21    0.24   29.89 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.12                           mprj/u_wb_host/clknet_3_0_0_wbm_clk_i (net)
-                  0.21    0.00   29.90 ^ mprj/u_wb_host/clkbuf_leaf_2_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.20   30.09 ^ mprj/u_wb_host/clkbuf_leaf_2_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    15    0.05                           mprj/u_wb_host/clknet_leaf_2_wbm_clk_i (net)
-                  0.07    0.00   30.09 ^ mprj/u_wb_host/_6553_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   29.84   clock uncertainty
-                          0.95   30.79   clock reconvergence pessimism
-                         -0.11   30.68   library setup time
-                                 30.68   data required time
------------------------------------------------------------------------------
-                                 30.68   data required time
-                                -15.10   data arrival time
------------------------------------------------------------------------------
-                                 15.59   slack (MET)
-
-
-Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
-Endpoint: mprj/u_wb_host/_6557_
-          (rising edge-triggered flip-flop clocked by wb_clk)
-Path Group: wb_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           soc/clknet_0_core_clk (net)
-                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_0_core_clk (net)
-                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_1_core_clk (net)
-                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_2_core_clk (net)
-                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_3_core_clk (net)
-                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_4_core_clk (net)
-                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           soc/clknet_1_1_5_core_clk (net)
-                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_1_1_6_core_clk (net)
-                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_2_3_0_core_clk (net)
-                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_2_3_1_core_clk (net)
-                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_0_core_clk (net)
-                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_1_core_clk (net)
-                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_3_7_2_core_clk (net)
-                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_4_14_0_core_clk (net)
-                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_5_28_0_core_clk (net)
-                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           soc/clknet_5_28_1_core_clk (net)
-                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           soc/clknet_leaf_243_core_clk (net)
-                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.11    0.39    6.87 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
-     1    0.01                           soc/core.grant[0] (net)
-                  0.11    0.00    6.87 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
-                  0.16    0.21    7.08 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
-    10    0.10                           soc/net3544 (net)
-                  0.16    0.00    7.08 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
-                  0.22    0.26    7.34 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
-    14    0.11                           soc/net3546 (net)
-                  0.22    0.02    7.36 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
-                  0.31    0.36    7.72 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
-    17    0.11                           soc/net3545 (net)
-                  0.32    0.02    7.75 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
-                  0.09    0.11    7.86 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
-     1    0.01                           soc/_13629_ (net)
-                  0.09    0.00    7.86 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
-                  0.05    0.16    8.02 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
-     4    0.04                           soc/net3233 (net)
-                  0.05    0.00    8.02 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
-                  0.11    0.18    8.20 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
-    16    0.14                           soc/net3235 (net)
-                  0.12    0.03    8.23 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
-                  0.06    0.19    8.42 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
-    12    0.06                           soc/net3231 (net)
-                  0.06    0.00    8.43 v soc/_14146_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.32    8.75 v soc/_14146_/X (sky130_fd_sc_hd__and2_2)
-    10    0.06                           soc/_13643_ (net)
-                  0.15    0.01    8.75 v soc/_14147_/C1 (sky130_fd_sc_hd__a221oi_4)
-                  0.73    0.63    9.38 ^ soc/_14147_/Y (sky130_fd_sc_hd__a221oi_4)
-     6    0.06                           soc/_13644_ (net)
-                  0.73    0.01    9.38 ^ soc/max_length2753/A (sky130_fd_sc_hd__buf_6)
-                  0.21    0.32    9.71 ^ soc/max_length2753/X (sky130_fd_sc_hd__buf_6)
-     9    0.10                           soc/net2753 (net)
-                  0.21    0.02    9.73 ^ soc/wire2751/A (sky130_fd_sc_hd__buf_4)
-                  0.25    0.32   10.05 ^ soc/wire2751/X (sky130_fd_sc_hd__buf_4)
-     4    0.09                           soc/net2751 (net)
-                  0.25    0.01   10.06 ^ soc/_14148_/A (sky130_fd_sc_hd__inv_12)
-                  0.09    0.09   10.15 v soc/_14148_/Y (sky130_fd_sc_hd__inv_12)
-     4    0.08                           soc/net634 (net)
-                  0.09    0.01   10.17 v soc/wire2404/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.19   10.36 v soc/wire2404/X (sky130_fd_sc_hd__buf_12)
-     7    0.17                           soc/net2404 (net)
-                  0.13    0.04   10.40 v soc/output634/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.16   10.56 v soc/output634/X (sky130_fd_sc_hd__buf_12)
-     3    0.01                           mprj_adr_o_core[2] (net)
-                  0.02    0.00   10.56 v mgmt_buffers/input410/A (sky130_fd_sc_hd__buf_6)
-                  0.04    0.13   10.69 v mgmt_buffers/input410/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mgmt_buffers/net410 (net)
-                  0.04    0.00   10.69 v mgmt_buffers/wire1596/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.16   10.85 v mgmt_buffers/wire1596/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1596 (net)
-                  0.07    0.01   10.86 v mgmt_buffers/wire1595/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.20   11.06 v mgmt_buffers/wire1595/X (sky130_fd_sc_hd__buf_6)
-     2    0.11                           mgmt_buffers/net1595 (net)
-                  0.10    0.01   11.07 v mgmt_buffers/wire1594/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19   11.25 v mgmt_buffers/wire1594/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1594 (net)
-                  0.07    0.01   11.26 v mgmt_buffers/wire1593/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.18   11.44 v mgmt_buffers/wire1593/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1593 (net)
-                  0.07    0.01   11.45 v mgmt_buffers/wire1592/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.17   11.62 v mgmt_buffers/wire1592/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1592 (net)
-                  0.07    0.01   11.63 v mgmt_buffers/_307_/B (sky130_fd_sc_hd__and2_4)
-                  0.06    0.21   11.83 v mgmt_buffers/_307_/X (sky130_fd_sc_hd__and2_4)
-     2    0.03                           mgmt_buffers/net870 (net)
-                  0.06    0.00   11.84 v mgmt_buffers/output870/A (sky130_fd_sc_hd__buf_8)
-                  0.02    0.12   11.96 v mgmt_buffers/output870/X (sky130_fd_sc_hd__buf_8)
-     2    0.00                           mprj_adr_o_user[2] (net)
-                  0.02    0.00   11.96 v mprj/u_wb_host/input53/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.05    0.11   12.06 v mprj/u_wb_host/input53/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_wb_host/net53 (net)
-                  0.05    0.00   12.06 v mprj/u_wb_host/_4056_/A (sky130_fd_sc_hd__or2_1)
-                  0.10    0.29   12.36 v mprj/u_wb_host/_4056_/X (sky130_fd_sc_hd__or2_1)
-     2    0.01                           mprj/u_wb_host/_2076_ (net)
-                  0.10    0.00   12.36 v mprj/u_wb_host/_4057_/B1 (sky130_fd_sc_hd__o21ai_4)
-                  2.08    0.76   13.12 ^ mprj/u_wb_host/_4057_/Y (sky130_fd_sc_hd__o21ai_4)
-    74    0.32                           mprj/u_wb_host/_2077_ (net)
-                  2.08    0.03   13.15 ^ mprj/u_wb_host/_4082_/A (sky130_fd_sc_hd__nand2_8)
-                  0.65    0.60   13.75 v mprj/u_wb_host/_4082_/Y (sky130_fd_sc_hd__nand2_8)
-    66    0.20                           mprj/u_wb_host/_2095_ (net)
-                  0.65    0.00   13.75 v mprj/u_wb_host/_5410_/C (sky130_fd_sc_hd__or3_4)
-                  0.34    0.87   14.62 v mprj/u_wb_host/_5410_/X (sky130_fd_sc_hd__or3_4)
-    64    0.24                           mprj/u_wb_host/_2673_ (net)
-                  0.34    0.01   14.63 v mprj/u_wb_host/_5416_/S (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.43   15.06 v mprj/u_wb_host/_5416_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_1040_ (net)
-                  0.06    0.00   15.06 v mprj/u_wb_host/_6557_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 15.06   data arrival time
-
-                         25.00   25.00   clock wb_clk (rise edge)
-                          0.00   25.00   clock source latency
-                  1.00    0.00   25.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10   29.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00   29.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13   29.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00   29.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14   29.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00   29.51 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   29.65 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_0_0_wbm_clk_i (net)
-                  0.05    0.00   29.65 ^ mprj/u_wb_host/clkbuf_3_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.19    0.23   29.88 ^ mprj/u_wb_host/clkbuf_3_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.11                           mprj/u_wb_host/clknet_3_1_0_wbm_clk_i (net)
-                  0.19    0.01   29.89 ^ mprj/u_wb_host/clkbuf_leaf_58_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.18   30.06 ^ mprj/u_wb_host/clkbuf_leaf_58_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.03                           mprj/u_wb_host/clknet_leaf_58_wbm_clk_i (net)
-                  0.05    0.00   30.06 ^ mprj/u_wb_host/_6557_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   29.81   clock uncertainty
-                          0.95   30.77   clock reconvergence pessimism
-                         -0.12   30.65   library setup time
-                                 30.65   data required time
------------------------------------------------------------------------------
-                                 30.65   data required time
-                                -15.06   data arrival time
------------------------------------------------------------------------------
-                                 15.59   slack (MET)
-
-
-Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
-Endpoint: mprj/u_wb_host/_6578_
-          (rising edge-triggered flip-flop clocked by wb_clk)
-Path Group: wb_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           soc/clknet_0_core_clk (net)
-                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_0_core_clk (net)
-                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_1_core_clk (net)
-                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_2_core_clk (net)
-                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_3_core_clk (net)
-                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_4_core_clk (net)
-                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           soc/clknet_1_1_5_core_clk (net)
-                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_1_1_6_core_clk (net)
-                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_2_3_0_core_clk (net)
-                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_2_3_1_core_clk (net)
-                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_0_core_clk (net)
-                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_1_core_clk (net)
-                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_3_7_2_core_clk (net)
-                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_4_14_0_core_clk (net)
-                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_5_28_0_core_clk (net)
-                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           soc/clknet_5_28_1_core_clk (net)
-                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           soc/clknet_leaf_243_core_clk (net)
-                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.11    0.39    6.87 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
-     1    0.01                           soc/core.grant[0] (net)
-                  0.11    0.00    6.87 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
-                  0.16    0.21    7.08 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
-    10    0.10                           soc/net3544 (net)
-                  0.16    0.00    7.08 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
-                  0.22    0.26    7.34 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
-    14    0.11                           soc/net3546 (net)
-                  0.22    0.02    7.36 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
-                  0.31    0.36    7.72 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
-    17    0.11                           soc/net3545 (net)
-                  0.32    0.02    7.75 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
-                  0.09    0.11    7.86 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
-     1    0.01                           soc/_13629_ (net)
-                  0.09    0.00    7.86 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
-                  0.05    0.16    8.02 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
-     4    0.04                           soc/net3233 (net)
-                  0.05    0.00    8.02 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
-                  0.11    0.18    8.20 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
-    16    0.14                           soc/net3235 (net)
-                  0.12    0.03    8.23 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
-                  0.06    0.19    8.42 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
-    12    0.06                           soc/net3231 (net)
-                  0.06    0.00    8.43 v soc/_14146_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.32    8.75 v soc/_14146_/X (sky130_fd_sc_hd__and2_2)
-    10    0.06                           soc/_13643_ (net)
-                  0.15    0.01    8.75 v soc/_14147_/C1 (sky130_fd_sc_hd__a221oi_4)
-                  0.73    0.63    9.38 ^ soc/_14147_/Y (sky130_fd_sc_hd__a221oi_4)
-     6    0.06                           soc/_13644_ (net)
-                  0.73    0.01    9.38 ^ soc/max_length2753/A (sky130_fd_sc_hd__buf_6)
-                  0.21    0.32    9.71 ^ soc/max_length2753/X (sky130_fd_sc_hd__buf_6)
-     9    0.10                           soc/net2753 (net)
-                  0.21    0.02    9.73 ^ soc/wire2751/A (sky130_fd_sc_hd__buf_4)
-                  0.25    0.32   10.05 ^ soc/wire2751/X (sky130_fd_sc_hd__buf_4)
-     4    0.09                           soc/net2751 (net)
-                  0.25    0.01   10.06 ^ soc/_14148_/A (sky130_fd_sc_hd__inv_12)
-                  0.09    0.09   10.15 v soc/_14148_/Y (sky130_fd_sc_hd__inv_12)
-     4    0.08                           soc/net634 (net)
-                  0.09    0.01   10.17 v soc/wire2404/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.19   10.36 v soc/wire2404/X (sky130_fd_sc_hd__buf_12)
-     7    0.17                           soc/net2404 (net)
-                  0.13    0.04   10.40 v soc/output634/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.16   10.56 v soc/output634/X (sky130_fd_sc_hd__buf_12)
-     3    0.01                           mprj_adr_o_core[2] (net)
-                  0.02    0.00   10.56 v mgmt_buffers/input410/A (sky130_fd_sc_hd__buf_6)
-                  0.04    0.13   10.69 v mgmt_buffers/input410/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mgmt_buffers/net410 (net)
-                  0.04    0.00   10.69 v mgmt_buffers/wire1596/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.16   10.85 v mgmt_buffers/wire1596/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1596 (net)
-                  0.07    0.01   10.86 v mgmt_buffers/wire1595/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.20   11.06 v mgmt_buffers/wire1595/X (sky130_fd_sc_hd__buf_6)
-     2    0.11                           mgmt_buffers/net1595 (net)
-                  0.10    0.01   11.07 v mgmt_buffers/wire1594/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19   11.25 v mgmt_buffers/wire1594/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1594 (net)
-                  0.07    0.01   11.26 v mgmt_buffers/wire1593/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.18   11.44 v mgmt_buffers/wire1593/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1593 (net)
-                  0.07    0.01   11.45 v mgmt_buffers/wire1592/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.17   11.62 v mgmt_buffers/wire1592/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1592 (net)
-                  0.07    0.01   11.63 v mgmt_buffers/_307_/B (sky130_fd_sc_hd__and2_4)
-                  0.06    0.21   11.83 v mgmt_buffers/_307_/X (sky130_fd_sc_hd__and2_4)
-     2    0.03                           mgmt_buffers/net870 (net)
-                  0.06    0.00   11.84 v mgmt_buffers/output870/A (sky130_fd_sc_hd__buf_8)
-                  0.02    0.12   11.96 v mgmt_buffers/output870/X (sky130_fd_sc_hd__buf_8)
-     2    0.00                           mprj_adr_o_user[2] (net)
-                  0.02    0.00   11.96 v mprj/u_wb_host/input53/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.05    0.11   12.06 v mprj/u_wb_host/input53/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_wb_host/net53 (net)
-                  0.05    0.00   12.06 v mprj/u_wb_host/_4056_/A (sky130_fd_sc_hd__or2_1)
-                  0.10    0.29   12.36 v mprj/u_wb_host/_4056_/X (sky130_fd_sc_hd__or2_1)
-     2    0.01                           mprj/u_wb_host/_2076_ (net)
-                  0.10    0.00   12.36 v mprj/u_wb_host/_4057_/B1 (sky130_fd_sc_hd__o21ai_4)
-                  2.08    0.76   13.12 ^ mprj/u_wb_host/_4057_/Y (sky130_fd_sc_hd__o21ai_4)
-    74    0.32                           mprj/u_wb_host/_2077_ (net)
-                  2.08    0.03   13.15 ^ mprj/u_wb_host/_4082_/A (sky130_fd_sc_hd__nand2_8)
-                  0.65    0.60   13.75 v mprj/u_wb_host/_4082_/Y (sky130_fd_sc_hd__nand2_8)
-    66    0.20                           mprj/u_wb_host/_2095_ (net)
-                  0.65    0.00   13.75 v mprj/u_wb_host/_5410_/C (sky130_fd_sc_hd__or3_4)
-                  0.34    0.87   14.62 v mprj/u_wb_host/_5410_/X (sky130_fd_sc_hd__or3_4)
-    64    0.24                           mprj/u_wb_host/_2673_ (net)
-                  0.35    0.05   14.67 v mprj/u_wb_host/_5437_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.43   15.09 v mprj/u_wb_host/_5437_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_1061_ (net)
-                  0.05    0.00   15.09 v mprj/u_wb_host/_6578_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 15.09   data arrival time
-
-                         25.00   25.00   clock wb_clk (rise edge)
-                          0.00   25.00   clock source latency
-                  1.00    0.00   25.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10   29.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00   29.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13   29.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00   29.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14   29.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00   29.51 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   29.65 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_0_0_wbm_clk_i (net)
-                  0.05    0.00   29.65 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.21    0.24   29.89 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.12                           mprj/u_wb_host/clknet_3_0_0_wbm_clk_i (net)
-                  0.21    0.00   29.90 ^ mprj/u_wb_host/clkbuf_leaf_2_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.20   30.09 ^ mprj/u_wb_host/clkbuf_leaf_2_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    15    0.05                           mprj/u_wb_host/clknet_leaf_2_wbm_clk_i (net)
-                  0.07    0.00   30.09 ^ mprj/u_wb_host/_6578_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   29.84   clock uncertainty
-                          0.95   30.80   clock reconvergence pessimism
-                         -0.11   30.68   library setup time
-                                 30.68   data required time
------------------------------------------------------------------------------
-                                 30.68   data required time
-                                -15.09   data arrival time
------------------------------------------------------------------------------
-                                 15.59   slack (MET)
-
-
-Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
-Endpoint: mprj/u_wb_host/_6579_
-          (rising edge-triggered flip-flop clocked by wb_clk)
-Path Group: wb_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           soc/clknet_0_core_clk (net)
-                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_0_core_clk (net)
-                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_1_core_clk (net)
-                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_2_core_clk (net)
-                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_3_core_clk (net)
-                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_4_core_clk (net)
-                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           soc/clknet_1_1_5_core_clk (net)
-                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_1_1_6_core_clk (net)
-                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_2_3_0_core_clk (net)
-                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_2_3_1_core_clk (net)
-                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_0_core_clk (net)
-                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_1_core_clk (net)
-                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_3_7_2_core_clk (net)
-                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_4_14_0_core_clk (net)
-                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_5_28_0_core_clk (net)
-                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           soc/clknet_5_28_1_core_clk (net)
-                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           soc/clknet_leaf_243_core_clk (net)
-                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.11    0.39    6.87 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
-     1    0.01                           soc/core.grant[0] (net)
-                  0.11    0.00    6.87 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
-                  0.16    0.21    7.08 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
-    10    0.10                           soc/net3544 (net)
-                  0.16    0.00    7.08 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
-                  0.22    0.26    7.34 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
-    14    0.11                           soc/net3546 (net)
-                  0.22    0.02    7.36 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
-                  0.31    0.36    7.72 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
-    17    0.11                           soc/net3545 (net)
-                  0.32    0.02    7.75 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
-                  0.09    0.11    7.86 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
-     1    0.01                           soc/_13629_ (net)
-                  0.09    0.00    7.86 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
-                  0.05    0.16    8.02 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
-     4    0.04                           soc/net3233 (net)
-                  0.05    0.00    8.02 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
-                  0.11    0.18    8.20 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
-    16    0.14                           soc/net3235 (net)
-                  0.12    0.03    8.23 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
-                  0.06    0.19    8.42 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
-    12    0.06                           soc/net3231 (net)
-                  0.06    0.00    8.43 v soc/_14146_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.32    8.75 v soc/_14146_/X (sky130_fd_sc_hd__and2_2)
-    10    0.06                           soc/_13643_ (net)
-                  0.15    0.01    8.75 v soc/_14147_/C1 (sky130_fd_sc_hd__a221oi_4)
-                  0.73    0.63    9.38 ^ soc/_14147_/Y (sky130_fd_sc_hd__a221oi_4)
-     6    0.06                           soc/_13644_ (net)
-                  0.73    0.01    9.38 ^ soc/max_length2753/A (sky130_fd_sc_hd__buf_6)
-                  0.21    0.32    9.71 ^ soc/max_length2753/X (sky130_fd_sc_hd__buf_6)
-     9    0.10                           soc/net2753 (net)
-                  0.21    0.02    9.73 ^ soc/wire2751/A (sky130_fd_sc_hd__buf_4)
-                  0.25    0.32   10.05 ^ soc/wire2751/X (sky130_fd_sc_hd__buf_4)
-     4    0.09                           soc/net2751 (net)
-                  0.25    0.01   10.06 ^ soc/_14148_/A (sky130_fd_sc_hd__inv_12)
-                  0.09    0.09   10.15 v soc/_14148_/Y (sky130_fd_sc_hd__inv_12)
-     4    0.08                           soc/net634 (net)
-                  0.09    0.01   10.17 v soc/wire2404/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.19   10.36 v soc/wire2404/X (sky130_fd_sc_hd__buf_12)
-     7    0.17                           soc/net2404 (net)
-                  0.13    0.04   10.40 v soc/output634/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.16   10.56 v soc/output634/X (sky130_fd_sc_hd__buf_12)
-     3    0.01                           mprj_adr_o_core[2] (net)
-                  0.02    0.00   10.56 v mgmt_buffers/input410/A (sky130_fd_sc_hd__buf_6)
-                  0.04    0.13   10.69 v mgmt_buffers/input410/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mgmt_buffers/net410 (net)
-                  0.04    0.00   10.69 v mgmt_buffers/wire1596/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.16   10.85 v mgmt_buffers/wire1596/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1596 (net)
-                  0.07    0.01   10.86 v mgmt_buffers/wire1595/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.20   11.06 v mgmt_buffers/wire1595/X (sky130_fd_sc_hd__buf_6)
-     2    0.11                           mgmt_buffers/net1595 (net)
-                  0.10    0.01   11.07 v mgmt_buffers/wire1594/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19   11.25 v mgmt_buffers/wire1594/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1594 (net)
-                  0.07    0.01   11.26 v mgmt_buffers/wire1593/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.18   11.44 v mgmt_buffers/wire1593/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1593 (net)
-                  0.07    0.01   11.45 v mgmt_buffers/wire1592/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.17   11.62 v mgmt_buffers/wire1592/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1592 (net)
-                  0.07    0.01   11.63 v mgmt_buffers/_307_/B (sky130_fd_sc_hd__and2_4)
-                  0.06    0.21   11.83 v mgmt_buffers/_307_/X (sky130_fd_sc_hd__and2_4)
-     2    0.03                           mgmt_buffers/net870 (net)
-                  0.06    0.00   11.84 v mgmt_buffers/output870/A (sky130_fd_sc_hd__buf_8)
-                  0.02    0.12   11.96 v mgmt_buffers/output870/X (sky130_fd_sc_hd__buf_8)
-     2    0.00                           mprj_adr_o_user[2] (net)
-                  0.02    0.00   11.96 v mprj/u_wb_host/input53/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.05    0.11   12.06 v mprj/u_wb_host/input53/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_wb_host/net53 (net)
-                  0.05    0.00   12.06 v mprj/u_wb_host/_4056_/A (sky130_fd_sc_hd__or2_1)
-                  0.10    0.29   12.36 v mprj/u_wb_host/_4056_/X (sky130_fd_sc_hd__or2_1)
-     2    0.01                           mprj/u_wb_host/_2076_ (net)
-                  0.10    0.00   12.36 v mprj/u_wb_host/_4057_/B1 (sky130_fd_sc_hd__o21ai_4)
-                  2.08    0.76   13.12 ^ mprj/u_wb_host/_4057_/Y (sky130_fd_sc_hd__o21ai_4)
-    74    0.32                           mprj/u_wb_host/_2077_ (net)
-                  2.08    0.03   13.15 ^ mprj/u_wb_host/_4082_/A (sky130_fd_sc_hd__nand2_8)
-                  0.65    0.60   13.75 v mprj/u_wb_host/_4082_/Y (sky130_fd_sc_hd__nand2_8)
-    66    0.20                           mprj/u_wb_host/_2095_ (net)
-                  0.65    0.00   13.75 v mprj/u_wb_host/_5410_/C (sky130_fd_sc_hd__or3_4)
-                  0.34    0.87   14.62 v mprj/u_wb_host/_5410_/X (sky130_fd_sc_hd__or3_4)
-    64    0.24                           mprj/u_wb_host/_2673_ (net)
-                  0.35    0.05   14.67 v mprj/u_wb_host/_5438_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.43   15.09 v mprj/u_wb_host/_5438_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_1062_ (net)
-                  0.05    0.00   15.09 v mprj/u_wb_host/_6579_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 15.09   data arrival time
-
-                         25.00   25.00   clock wb_clk (rise edge)
-                          0.00   25.00   clock source latency
-                  1.00    0.00   25.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10   29.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00   29.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13   29.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00   29.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14   29.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00   29.51 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   29.65 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_0_0_wbm_clk_i (net)
-                  0.05    0.00   29.65 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.21    0.24   29.89 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.12                           mprj/u_wb_host/clknet_3_0_0_wbm_clk_i (net)
-                  0.21    0.00   29.90 ^ mprj/u_wb_host/clkbuf_leaf_2_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.20   30.09 ^ mprj/u_wb_host/clkbuf_leaf_2_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    15    0.05                           mprj/u_wb_host/clknet_leaf_2_wbm_clk_i (net)
-                  0.07    0.00   30.09 ^ mprj/u_wb_host/_6579_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   29.84   clock uncertainty
-                          0.95   30.79   clock reconvergence pessimism
-                         -0.11   30.68   library setup time
-                                 30.68   data required time
------------------------------------------------------------------------------
-                                 30.68   data required time
-                                -15.09   data arrival time
------------------------------------------------------------------------------
-                                 15.59   slack (MET)
-
-
-Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
-Endpoint: mprj/u_wb_host/_6556_
-          (rising edge-triggered flip-flop clocked by wb_clk)
-Path Group: wb_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           soc/clknet_0_core_clk (net)
-                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_0_core_clk (net)
-                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_1_core_clk (net)
-                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_2_core_clk (net)
-                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_3_core_clk (net)
-                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_4_core_clk (net)
-                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           soc/clknet_1_1_5_core_clk (net)
-                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_1_1_6_core_clk (net)
-                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_2_3_0_core_clk (net)
-                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_2_3_1_core_clk (net)
-                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_0_core_clk (net)
-                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_1_core_clk (net)
-                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_3_7_2_core_clk (net)
-                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_4_14_0_core_clk (net)
-                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_5_28_0_core_clk (net)
-                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           soc/clknet_5_28_1_core_clk (net)
-                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           soc/clknet_leaf_243_core_clk (net)
-                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.11    0.39    6.87 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
-     1    0.01                           soc/core.grant[0] (net)
-                  0.11    0.00    6.87 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
-                  0.16    0.21    7.08 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
-    10    0.10                           soc/net3544 (net)
-                  0.16    0.00    7.08 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
-                  0.22    0.26    7.34 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
-    14    0.11                           soc/net3546 (net)
-                  0.22    0.02    7.36 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
-                  0.31    0.36    7.72 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
-    17    0.11                           soc/net3545 (net)
-                  0.32    0.02    7.75 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
-                  0.09    0.11    7.86 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
-     1    0.01                           soc/_13629_ (net)
-                  0.09    0.00    7.86 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
-                  0.05    0.16    8.02 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
-     4    0.04                           soc/net3233 (net)
-                  0.05    0.00    8.02 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
-                  0.11    0.18    8.20 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
-    16    0.14                           soc/net3235 (net)
-                  0.12    0.03    8.23 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
-                  0.06    0.19    8.42 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
-    12    0.06                           soc/net3231 (net)
-                  0.06    0.00    8.43 v soc/_14146_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.32    8.75 v soc/_14146_/X (sky130_fd_sc_hd__and2_2)
-    10    0.06                           soc/_13643_ (net)
-                  0.15    0.01    8.75 v soc/_14147_/C1 (sky130_fd_sc_hd__a221oi_4)
-                  0.73    0.63    9.38 ^ soc/_14147_/Y (sky130_fd_sc_hd__a221oi_4)
-     6    0.06                           soc/_13644_ (net)
-                  0.73    0.01    9.38 ^ soc/max_length2753/A (sky130_fd_sc_hd__buf_6)
-                  0.21    0.32    9.71 ^ soc/max_length2753/X (sky130_fd_sc_hd__buf_6)
-     9    0.10                           soc/net2753 (net)
-                  0.21    0.02    9.73 ^ soc/wire2751/A (sky130_fd_sc_hd__buf_4)
-                  0.25    0.32   10.05 ^ soc/wire2751/X (sky130_fd_sc_hd__buf_4)
-     4    0.09                           soc/net2751 (net)
-                  0.25    0.01   10.06 ^ soc/_14148_/A (sky130_fd_sc_hd__inv_12)
-                  0.09    0.09   10.15 v soc/_14148_/Y (sky130_fd_sc_hd__inv_12)
-     4    0.08                           soc/net634 (net)
-                  0.09    0.01   10.17 v soc/wire2404/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.19   10.36 v soc/wire2404/X (sky130_fd_sc_hd__buf_12)
-     7    0.17                           soc/net2404 (net)
-                  0.13    0.04   10.40 v soc/output634/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.16   10.56 v soc/output634/X (sky130_fd_sc_hd__buf_12)
-     3    0.01                           mprj_adr_o_core[2] (net)
-                  0.02    0.00   10.56 v mgmt_buffers/input410/A (sky130_fd_sc_hd__buf_6)
-                  0.04    0.13   10.69 v mgmt_buffers/input410/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mgmt_buffers/net410 (net)
-                  0.04    0.00   10.69 v mgmt_buffers/wire1596/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.16   10.85 v mgmt_buffers/wire1596/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1596 (net)
-                  0.07    0.01   10.86 v mgmt_buffers/wire1595/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.20   11.06 v mgmt_buffers/wire1595/X (sky130_fd_sc_hd__buf_6)
-     2    0.11                           mgmt_buffers/net1595 (net)
-                  0.10    0.01   11.07 v mgmt_buffers/wire1594/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19   11.25 v mgmt_buffers/wire1594/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1594 (net)
-                  0.07    0.01   11.26 v mgmt_buffers/wire1593/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.18   11.44 v mgmt_buffers/wire1593/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1593 (net)
-                  0.07    0.01   11.45 v mgmt_buffers/wire1592/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.17   11.62 v mgmt_buffers/wire1592/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1592 (net)
-                  0.07    0.01   11.63 v mgmt_buffers/_307_/B (sky130_fd_sc_hd__and2_4)
-                  0.06    0.21   11.83 v mgmt_buffers/_307_/X (sky130_fd_sc_hd__and2_4)
-     2    0.03                           mgmt_buffers/net870 (net)
-                  0.06    0.00   11.84 v mgmt_buffers/output870/A (sky130_fd_sc_hd__buf_8)
-                  0.02    0.12   11.96 v mgmt_buffers/output870/X (sky130_fd_sc_hd__buf_8)
-     2    0.00                           mprj_adr_o_user[2] (net)
-                  0.02    0.00   11.96 v mprj/u_wb_host/input53/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.05    0.11   12.06 v mprj/u_wb_host/input53/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_wb_host/net53 (net)
-                  0.05    0.00   12.06 v mprj/u_wb_host/_4056_/A (sky130_fd_sc_hd__or2_1)
-                  0.10    0.29   12.36 v mprj/u_wb_host/_4056_/X (sky130_fd_sc_hd__or2_1)
-     2    0.01                           mprj/u_wb_host/_2076_ (net)
-                  0.10    0.00   12.36 v mprj/u_wb_host/_4057_/B1 (sky130_fd_sc_hd__o21ai_4)
-                  2.08    0.76   13.12 ^ mprj/u_wb_host/_4057_/Y (sky130_fd_sc_hd__o21ai_4)
-    74    0.32                           mprj/u_wb_host/_2077_ (net)
-                  2.08    0.03   13.15 ^ mprj/u_wb_host/_4082_/A (sky130_fd_sc_hd__nand2_8)
-                  0.65    0.60   13.75 v mprj/u_wb_host/_4082_/Y (sky130_fd_sc_hd__nand2_8)
-    66    0.20                           mprj/u_wb_host/_2095_ (net)
-                  0.65    0.00   13.75 v mprj/u_wb_host/_5410_/C (sky130_fd_sc_hd__or3_4)
-                  0.34    0.87   14.62 v mprj/u_wb_host/_5410_/X (sky130_fd_sc_hd__or3_4)
-    64    0.24                           mprj/u_wb_host/_2673_ (net)
-                  0.34    0.01   14.63 v mprj/u_wb_host/_5415_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.42   15.06 v mprj/u_wb_host/_5415_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_1039_ (net)
-                  0.05    0.00   15.06 v mprj/u_wb_host/_6556_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 15.06   data arrival time
-
-                         25.00   25.00   clock wb_clk (rise edge)
-                          0.00   25.00   clock source latency
-                  1.00    0.00   25.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10   29.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00   29.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13   29.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00   29.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14   29.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00   29.51 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   29.65 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_0_0_wbm_clk_i (net)
-                  0.05    0.00   29.65 ^ mprj/u_wb_host/clkbuf_3_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.19    0.23   29.88 ^ mprj/u_wb_host/clkbuf_3_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.11                           mprj/u_wb_host/clknet_3_1_0_wbm_clk_i (net)
-                  0.19    0.01   29.89 ^ mprj/u_wb_host/clkbuf_leaf_58_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.18   30.06 ^ mprj/u_wb_host/clkbuf_leaf_58_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.03                           mprj/u_wb_host/clknet_leaf_58_wbm_clk_i (net)
-                  0.05    0.00   30.06 ^ mprj/u_wb_host/_6556_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   29.81   clock uncertainty
-                          0.95   30.77   clock reconvergence pessimism
-                         -0.12   30.65   library setup time
-                                 30.65   data required time
------------------------------------------------------------------------------
-                                 30.65   data required time
-                                -15.06   data arrival time
------------------------------------------------------------------------------
-                                 15.59   slack (MET)
+                                 16.17   slack (MET)
 
 
 Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
@@ -156996,26 +177925,47 @@
                   0.06    0.00   11.84 v mgmt_buffers/output870/A (sky130_fd_sc_hd__buf_8)
                   0.02    0.12   11.96 v mgmt_buffers/output870/X (sky130_fd_sc_hd__buf_8)
      2    0.00                           mprj_adr_o_user[2] (net)
-                  0.02    0.00   11.96 v mprj/u_wb_host/input53/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.05    0.11   12.06 v mprj/u_wb_host/input53/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.02    0.00   11.96 v mprj/u_rp_south/u_rp[14].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.16    0.23   12.19 v mprj/u_rp_south/u_rp[14].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.08                           mprj/u_rp_south/net70 (net)
+                  0.17    0.02   12.21 v mprj/u_rp_south/wire70/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.24   12.45 v mprj/u_rp_south/wire70/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[14] (net)
+                  0.44    0.21   12.66 v mprj/u_wb_host/input53/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.25   12.91 v mprj/u_wb_host/input53/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/net53 (net)
-                  0.05    0.00   12.06 v mprj/u_wb_host/_4056_/A (sky130_fd_sc_hd__or2_1)
-                  0.10    0.29   12.36 v mprj/u_wb_host/_4056_/X (sky130_fd_sc_hd__or2_1)
-     2    0.01                           mprj/u_wb_host/_2076_ (net)
-                  0.10    0.00   12.36 v mprj/u_wb_host/_4057_/B1 (sky130_fd_sc_hd__o21ai_4)
-                  2.08    0.76   13.12 ^ mprj/u_wb_host/_4057_/Y (sky130_fd_sc_hd__o21ai_4)
-    74    0.32                           mprj/u_wb_host/_2077_ (net)
-                  2.08    0.03   13.15 ^ mprj/u_wb_host/_4082_/A (sky130_fd_sc_hd__nand2_8)
-                  0.65    0.60   13.75 v mprj/u_wb_host/_4082_/Y (sky130_fd_sc_hd__nand2_8)
-    66    0.20                           mprj/u_wb_host/_2095_ (net)
-                  0.65    0.00   13.75 v mprj/u_wb_host/_5410_/C (sky130_fd_sc_hd__or3_4)
-                  0.34    0.87   14.62 v mprj/u_wb_host/_5410_/X (sky130_fd_sc_hd__or3_4)
-    64    0.24                           mprj/u_wb_host/_2673_ (net)
-                  0.35    0.05   14.67 v mprj/u_wb_host/_5422_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.43   15.09 v mprj/u_wb_host/_5422_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_1046_ (net)
-                  0.05    0.00   15.09 v mprj/u_wb_host/_6563_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 15.09   data arrival time
+                  0.07    0.00   12.91 v mprj/u_wb_host/_4045_/A (sky130_fd_sc_hd__or2_1)
+                  0.09    0.29   13.20 v mprj/u_wb_host/_4045_/X (sky130_fd_sc_hd__or2_1)
+     2    0.01                           mprj/u_wb_host/_2068_ (net)
+                  0.09    0.00   13.20 v mprj/u_wb_host/_4046_/B1 (sky130_fd_sc_hd__o21ai_4)
+                  0.35    0.16   13.37 ^ mprj/u_wb_host/_4046_/Y (sky130_fd_sc_hd__o21ai_4)
+     8    0.05                           mprj/u_wb_host/_2069_ (net)
+                  0.35    0.00   13.37 ^ mprj/u_wb_host/fanout515/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.33   13.70 ^ mprj/u_wb_host/fanout515/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net515 (net)
+                  0.21    0.00   13.70 ^ mprj/u_wb_host/_4071_/A (sky130_fd_sc_hd__nand2_2)
+                  0.23    0.24   13.95 v mprj/u_wb_host/_4071_/Y (sky130_fd_sc_hd__nand2_2)
+     6    0.05                           mprj/u_wb_host/_2087_ (net)
+                  0.23    0.00   13.95 v mprj/u_wb_host/fanout459/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.32   14.27 v mprj/u_wb_host/fanout459/X (sky130_fd_sc_hd__clkbuf_2)
+    12    0.05                           mprj/u_wb_host/net459 (net)
+                  0.19    0.00   14.27 v mprj/u_wb_host/_5404_/C (sky130_fd_sc_hd__or3_1)
+                  0.11    0.43   14.70 v mprj/u_wb_host/_5404_/X (sky130_fd_sc_hd__or3_1)
+     1    0.01                           mprj/u_wb_host/_2670_ (net)
+                  0.11    0.00   14.70 v mprj/u_wb_host/fanout363/A (sky130_fd_sc_hd__buf_2)
+                  0.12    0.24   14.94 v mprj/u_wb_host/fanout363/X (sky130_fd_sc_hd__buf_2)
+    10    0.05                           mprj/u_wb_host/net363 (net)
+                  0.12    0.00   14.95 v mprj/u_wb_host/fanout361/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.25   15.20 v mprj/u_wb_host/fanout361/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net361 (net)
+                  0.11    0.01   15.21 v mprj/u_wb_host/fanout360/A (sky130_fd_sc_hd__buf_4)
+                  0.10    0.24   15.45 v mprj/u_wb_host/fanout360/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_wb_host/net360 (net)
+                  0.10    0.01   15.45 v mprj/u_wb_host/_5422_/S (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.34   15.79 v mprj/u_wb_host/_5422_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_1052_ (net)
+                  0.06    0.00   15.79 v mprj/u_wb_host/_6563_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 15.79   data arrival time
 
                          25.00   25.00   clock wb_clk (rise edge)
                           0.00   25.00   clock source latency
@@ -157083,37 +178033,46 @@
                   0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
      2    0.05                           mgmt_buffers/net1453 (net)
                   0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10   29.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00   29.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13   29.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00   29.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14   29.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.02    0.09   29.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00   29.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27   29.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03   29.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37   29.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20   30.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35   30.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01   30.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   30.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00   30.65 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15   30.80 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00   29.51 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   29.65 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.00   30.80 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   30.94 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      2    0.02                           mprj/u_wb_host/clknet_2_0_0_wbm_clk_i (net)
-                  0.05    0.00   29.65 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.21    0.24   29.89 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.12                           mprj/u_wb_host/clknet_3_0_0_wbm_clk_i (net)
-                  0.21    0.00   29.90 ^ mprj/u_wb_host/clkbuf_leaf_2_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.20   30.09 ^ mprj/u_wb_host/clkbuf_leaf_2_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    15    0.05                           mprj/u_wb_host/clknet_leaf_2_wbm_clk_i (net)
-                  0.07    0.00   30.09 ^ mprj/u_wb_host/_6563_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   29.84   clock uncertainty
-                          0.95   30.80   clock reconvergence pessimism
-                         -0.11   30.68   library setup time
-                                 30.68   data required time
+                  0.06    0.00   30.94 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.22    0.25   31.19 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    18    0.13                           mprj/u_wb_host/clknet_3_0_0_wbm_clk_i (net)
+                  0.22    0.01   31.19 ^ mprj/u_wb_host/clkbuf_leaf_63_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   31.38 ^ mprj/u_wb_host/clkbuf_leaf_63_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.04                           mprj/u_wb_host/clknet_leaf_63_wbm_clk_i (net)
+                  0.06    0.00   31.38 ^ mprj/u_wb_host/_6563_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   31.13   clock uncertainty
+                          0.95   32.09   clock reconvergence pessimism
+                         -0.12   31.97   library setup time
+                                 31.97   data required time
 -----------------------------------------------------------------------------
-                                 30.68   data required time
-                                -15.09   data arrival time
+                                 31.97   data required time
+                                -15.79   data arrival time
 -----------------------------------------------------------------------------
-                                 15.59   slack (MET)
+                                 16.18   slack (MET)
 
 
 Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
-Endpoint: mprj/u_wb_host/_6577_
+Endpoint: mprj/u_wb_host/_6609_
           (rising edge-triggered flip-flop clocked by wb_clk)
 Path Group: wb_clk
 Path Type: max
@@ -157278,26 +178237,359 @@
                   0.06    0.00   11.84 v mgmt_buffers/output870/A (sky130_fd_sc_hd__buf_8)
                   0.02    0.12   11.96 v mgmt_buffers/output870/X (sky130_fd_sc_hd__buf_8)
      2    0.00                           mprj_adr_o_user[2] (net)
-                  0.02    0.00   11.96 v mprj/u_wb_host/input53/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.05    0.11   12.06 v mprj/u_wb_host/input53/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.02    0.00   11.96 v mprj/u_rp_south/u_rp[14].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.16    0.23   12.19 v mprj/u_rp_south/u_rp[14].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.08                           mprj/u_rp_south/net70 (net)
+                  0.17    0.02   12.21 v mprj/u_rp_south/wire70/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.24   12.45 v mprj/u_rp_south/wire70/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[14] (net)
+                  0.44    0.21   12.66 v mprj/u_wb_host/input53/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.25   12.91 v mprj/u_wb_host/input53/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/net53 (net)
-                  0.05    0.00   12.06 v mprj/u_wb_host/_4056_/A (sky130_fd_sc_hd__or2_1)
-                  0.10    0.29   12.36 v mprj/u_wb_host/_4056_/X (sky130_fd_sc_hd__or2_1)
-     2    0.01                           mprj/u_wb_host/_2076_ (net)
-                  0.10    0.00   12.36 v mprj/u_wb_host/_4057_/B1 (sky130_fd_sc_hd__o21ai_4)
-                  2.08    0.76   13.12 ^ mprj/u_wb_host/_4057_/Y (sky130_fd_sc_hd__o21ai_4)
-    74    0.32                           mprj/u_wb_host/_2077_ (net)
-                  2.08    0.03   13.15 ^ mprj/u_wb_host/_4082_/A (sky130_fd_sc_hd__nand2_8)
-                  0.65    0.60   13.75 v mprj/u_wb_host/_4082_/Y (sky130_fd_sc_hd__nand2_8)
-    66    0.20                           mprj/u_wb_host/_2095_ (net)
-                  0.65    0.00   13.75 v mprj/u_wb_host/_5410_/C (sky130_fd_sc_hd__or3_4)
-                  0.34    0.87   14.62 v mprj/u_wb_host/_5410_/X (sky130_fd_sc_hd__or3_4)
-    64    0.24                           mprj/u_wb_host/_2673_ (net)
-                  0.35    0.05   14.67 v mprj/u_wb_host/_5436_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.42   15.09 v mprj/u_wb_host/_5436_/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00   12.91 v mprj/u_wb_host/_4045_/A (sky130_fd_sc_hd__or2_1)
+                  0.09    0.29   13.20 v mprj/u_wb_host/_4045_/X (sky130_fd_sc_hd__or2_1)
+     2    0.01                           mprj/u_wb_host/_2068_ (net)
+                  0.09    0.00   13.20 v mprj/u_wb_host/_4046_/B1 (sky130_fd_sc_hd__o21ai_4)
+                  0.35    0.16   13.37 ^ mprj/u_wb_host/_4046_/Y (sky130_fd_sc_hd__o21ai_4)
+     8    0.05                           mprj/u_wb_host/_2069_ (net)
+                  0.35    0.00   13.37 ^ mprj/u_wb_host/fanout515/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.33   13.70 ^ mprj/u_wb_host/fanout515/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net515 (net)
+                  0.21    0.00   13.70 ^ mprj/u_wb_host/_4071_/A (sky130_fd_sc_hd__nand2_2)
+                  0.23    0.24   13.95 v mprj/u_wb_host/_4071_/Y (sky130_fd_sc_hd__nand2_2)
+     6    0.05                           mprj/u_wb_host/_2087_ (net)
+                  0.23    0.00   13.95 v mprj/u_wb_host/fanout459/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.32   14.27 v mprj/u_wb_host/fanout459/X (sky130_fd_sc_hd__clkbuf_2)
+    12    0.05                           mprj/u_wb_host/net459 (net)
+                  0.19    0.00   14.27 v mprj/u_wb_host/_5404_/C (sky130_fd_sc_hd__or3_1)
+                  0.11    0.43   14.70 v mprj/u_wb_host/_5404_/X (sky130_fd_sc_hd__or3_1)
+     1    0.01                           mprj/u_wb_host/_2670_ (net)
+                  0.11    0.00   14.70 v mprj/u_wb_host/fanout363/A (sky130_fd_sc_hd__buf_2)
+                  0.12    0.24   14.94 v mprj/u_wb_host/fanout363/X (sky130_fd_sc_hd__buf_2)
+    10    0.05                           mprj/u_wb_host/net363 (net)
+                  0.12    0.00   14.95 v mprj/u_wb_host/fanout361/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.25   15.20 v mprj/u_wb_host/fanout361/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net361 (net)
+                  0.11    0.01   15.21 v mprj/u_wb_host/fanout360/A (sky130_fd_sc_hd__buf_4)
+                  0.10    0.24   15.45 v mprj/u_wb_host/fanout360/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_wb_host/net360 (net)
+                  0.10    0.00   15.45 v mprj/u_wb_host/_5468_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.33   15.79 v mprj/u_wb_host/_5468_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_1098_ (net)
+                  0.05    0.00   15.79 v mprj/u_wb_host/_6609_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 15.79   data arrival time
+
+                         25.00   25.00   clock wb_clk (rise edge)
+                          0.00   25.00   clock source latency
+                  1.00    0.00   25.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09   29.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00   29.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27   29.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03   29.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37   29.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20   30.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35   30.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01   30.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   30.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00   30.65 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15   30.80 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00   30.80 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   30.94 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_0_0_wbm_clk_i (net)
+                  0.06    0.00   30.94 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.22    0.25   31.19 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    18    0.13                           mprj/u_wb_host/clknet_3_0_0_wbm_clk_i (net)
+                  0.22    0.00   31.19 ^ mprj/u_wb_host/clkbuf_leaf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   31.38 ^ mprj/u_wb_host/clkbuf_leaf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_wb_host/clknet_leaf_0_wbm_clk_i (net)
+                  0.06    0.00   31.38 ^ mprj/u_wb_host/_6609_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   31.13   clock uncertainty
+                          0.95   32.08   clock reconvergence pessimism
+                         -0.12   31.97   library setup time
+                                 31.97   data required time
+-----------------------------------------------------------------------------
+                                 31.97   data required time
+                                -15.79   data arrival time
+-----------------------------------------------------------------------------
+                                 16.18   slack (MET)
+
+
+Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
+Endpoint: mprj/u_wb_host/_6571_
+          (rising edge-triggered flip-flop clocked by wb_clk)
+Path Group: wb_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           soc/clknet_0_core_clk (net)
+                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_0_core_clk (net)
+                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_1_core_clk (net)
+                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_2_core_clk (net)
+                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_3_core_clk (net)
+                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_4_core_clk (net)
+                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           soc/clknet_1_1_5_core_clk (net)
+                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_1_1_6_core_clk (net)
+                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_2_3_0_core_clk (net)
+                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_2_3_1_core_clk (net)
+                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_0_core_clk (net)
+                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_1_core_clk (net)
+                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_3_7_2_core_clk (net)
+                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_4_14_0_core_clk (net)
+                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_5_28_0_core_clk (net)
+                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.26                           soc/clknet_5_28_1_core_clk (net)
+                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           soc/clknet_leaf_243_core_clk (net)
+                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.11    0.39    6.87 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
+     1    0.01                           soc/core.grant[0] (net)
+                  0.11    0.00    6.87 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
+                  0.16    0.21    7.08 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
+    10    0.10                           soc/net3544 (net)
+                  0.16    0.00    7.08 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
+                  0.22    0.26    7.34 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
+    14    0.11                           soc/net3546 (net)
+                  0.22    0.02    7.36 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
+                  0.31    0.36    7.72 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
+    17    0.11                           soc/net3545 (net)
+                  0.32    0.02    7.75 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
+                  0.09    0.11    7.86 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.01                           soc/_13629_ (net)
+                  0.09    0.00    7.86 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
+                  0.05    0.16    8.02 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
+     4    0.04                           soc/net3233 (net)
+                  0.05    0.00    8.02 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
+                  0.11    0.18    8.20 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
+    16    0.14                           soc/net3235 (net)
+                  0.12    0.03    8.23 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.19    8.42 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
+    12    0.06                           soc/net3231 (net)
+                  0.06    0.00    8.43 v soc/_14146_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.32    8.75 v soc/_14146_/X (sky130_fd_sc_hd__and2_2)
+    10    0.06                           soc/_13643_ (net)
+                  0.15    0.01    8.75 v soc/_14147_/C1 (sky130_fd_sc_hd__a221oi_4)
+                  0.73    0.63    9.38 ^ soc/_14147_/Y (sky130_fd_sc_hd__a221oi_4)
+     6    0.06                           soc/_13644_ (net)
+                  0.73    0.01    9.38 ^ soc/max_length2753/A (sky130_fd_sc_hd__buf_6)
+                  0.21    0.32    9.71 ^ soc/max_length2753/X (sky130_fd_sc_hd__buf_6)
+     9    0.10                           soc/net2753 (net)
+                  0.21    0.02    9.73 ^ soc/wire2751/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.32   10.05 ^ soc/wire2751/X (sky130_fd_sc_hd__buf_4)
+     4    0.09                           soc/net2751 (net)
+                  0.25    0.01   10.06 ^ soc/_14148_/A (sky130_fd_sc_hd__inv_12)
+                  0.09    0.09   10.15 v soc/_14148_/Y (sky130_fd_sc_hd__inv_12)
+     4    0.08                           soc/net634 (net)
+                  0.09    0.01   10.17 v soc/wire2404/A (sky130_fd_sc_hd__buf_12)
+                  0.10    0.19   10.36 v soc/wire2404/X (sky130_fd_sc_hd__buf_12)
+     7    0.17                           soc/net2404 (net)
+                  0.13    0.04   10.40 v soc/output634/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.16   10.56 v soc/output634/X (sky130_fd_sc_hd__buf_12)
+     3    0.01                           mprj_adr_o_core[2] (net)
+                  0.02    0.00   10.56 v mgmt_buffers/input410/A (sky130_fd_sc_hd__buf_6)
+                  0.04    0.13   10.69 v mgmt_buffers/input410/X (sky130_fd_sc_hd__buf_6)
+     2    0.03                           mgmt_buffers/net410 (net)
+                  0.04    0.00   10.69 v mgmt_buffers/wire1596/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.16   10.85 v mgmt_buffers/wire1596/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1596 (net)
+                  0.07    0.01   10.86 v mgmt_buffers/wire1595/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.20   11.06 v mgmt_buffers/wire1595/X (sky130_fd_sc_hd__buf_6)
+     2    0.11                           mgmt_buffers/net1595 (net)
+                  0.10    0.01   11.07 v mgmt_buffers/wire1594/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.19   11.25 v mgmt_buffers/wire1594/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1594 (net)
+                  0.07    0.01   11.26 v mgmt_buffers/wire1593/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   11.44 v mgmt_buffers/wire1593/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1593 (net)
+                  0.07    0.01   11.45 v mgmt_buffers/wire1592/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.17   11.62 v mgmt_buffers/wire1592/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1592 (net)
+                  0.07    0.01   11.63 v mgmt_buffers/_307_/B (sky130_fd_sc_hd__and2_4)
+                  0.06    0.21   11.83 v mgmt_buffers/_307_/X (sky130_fd_sc_hd__and2_4)
+     2    0.03                           mgmt_buffers/net870 (net)
+                  0.06    0.00   11.84 v mgmt_buffers/output870/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.12   11.96 v mgmt_buffers/output870/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_adr_o_user[2] (net)
+                  0.02    0.00   11.96 v mprj/u_rp_south/u_rp[14].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.16    0.23   12.19 v mprj/u_rp_south/u_rp[14].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.08                           mprj/u_rp_south/net70 (net)
+                  0.17    0.02   12.21 v mprj/u_rp_south/wire70/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.24   12.45 v mprj/u_rp_south/wire70/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[14] (net)
+                  0.44    0.21   12.66 v mprj/u_wb_host/input53/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.25   12.91 v mprj/u_wb_host/input53/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/net53 (net)
+                  0.07    0.00   12.91 v mprj/u_wb_host/_4045_/A (sky130_fd_sc_hd__or2_1)
+                  0.09    0.29   13.20 v mprj/u_wb_host/_4045_/X (sky130_fd_sc_hd__or2_1)
+     2    0.01                           mprj/u_wb_host/_2068_ (net)
+                  0.09    0.00   13.20 v mprj/u_wb_host/_4046_/B1 (sky130_fd_sc_hd__o21ai_4)
+                  0.35    0.16   13.37 ^ mprj/u_wb_host/_4046_/Y (sky130_fd_sc_hd__o21ai_4)
+     8    0.05                           mprj/u_wb_host/_2069_ (net)
+                  0.35    0.00   13.37 ^ mprj/u_wb_host/fanout515/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.33   13.70 ^ mprj/u_wb_host/fanout515/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net515 (net)
+                  0.21    0.00   13.70 ^ mprj/u_wb_host/_4071_/A (sky130_fd_sc_hd__nand2_2)
+                  0.23    0.24   13.95 v mprj/u_wb_host/_4071_/Y (sky130_fd_sc_hd__nand2_2)
+     6    0.05                           mprj/u_wb_host/_2087_ (net)
+                  0.23    0.00   13.95 v mprj/u_wb_host/fanout459/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.32   14.27 v mprj/u_wb_host/fanout459/X (sky130_fd_sc_hd__clkbuf_2)
+    12    0.05                           mprj/u_wb_host/net459 (net)
+                  0.19    0.00   14.27 v mprj/u_wb_host/_5404_/C (sky130_fd_sc_hd__or3_1)
+                  0.11    0.43   14.70 v mprj/u_wb_host/_5404_/X (sky130_fd_sc_hd__or3_1)
+     1    0.01                           mprj/u_wb_host/_2670_ (net)
+                  0.11    0.00   14.70 v mprj/u_wb_host/fanout363/A (sky130_fd_sc_hd__buf_2)
+                  0.12    0.24   14.94 v mprj/u_wb_host/fanout363/X (sky130_fd_sc_hd__buf_2)
+    10    0.05                           mprj/u_wb_host/net363 (net)
+                  0.12    0.00   14.95 v mprj/u_wb_host/fanout361/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.25   15.20 v mprj/u_wb_host/fanout361/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net361 (net)
+                  0.11    0.01   15.21 v mprj/u_wb_host/fanout360/A (sky130_fd_sc_hd__buf_4)
+                  0.10    0.24   15.45 v mprj/u_wb_host/fanout360/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_wb_host/net360 (net)
+                  0.10    0.01   15.45 v mprj/u_wb_host/_5430_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.33   15.79 v mprj/u_wb_host/_5430_/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_wb_host/_1060_ (net)
-                  0.05    0.00   15.09 v mprj/u_wb_host/_6577_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 15.09   data arrival time
+                  0.05    0.00   15.79 v mprj/u_wb_host/_6571_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 15.79   data arrival time
 
                          25.00   25.00   clock wb_clk (rise edge)
                           0.00   25.00   clock source latency
@@ -157365,37 +178657,355 @@
                   0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
      2    0.05                           mgmt_buffers/net1453 (net)
                   0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10   29.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00   29.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13   29.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00   29.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14   29.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.02    0.09   29.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00   29.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27   29.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03   29.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37   29.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20   30.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35   30.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01   30.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   30.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00   30.65 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15   30.80 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00   29.51 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   29.65 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.00   30.80 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   30.94 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      2    0.02                           mprj/u_wb_host/clknet_2_0_0_wbm_clk_i (net)
-                  0.05    0.00   29.65 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.21    0.24   29.89 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.12                           mprj/u_wb_host/clknet_3_0_0_wbm_clk_i (net)
-                  0.21    0.00   29.90 ^ mprj/u_wb_host/clkbuf_leaf_2_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.20   30.09 ^ mprj/u_wb_host/clkbuf_leaf_2_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    15    0.05                           mprj/u_wb_host/clknet_leaf_2_wbm_clk_i (net)
-                  0.07    0.00   30.09 ^ mprj/u_wb_host/_6577_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   29.84   clock uncertainty
-                          0.95   30.80   clock reconvergence pessimism
-                         -0.11   30.68   library setup time
-                                 30.68   data required time
+                  0.06    0.00   30.94 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.22    0.25   31.19 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    18    0.13                           mprj/u_wb_host/clknet_3_0_0_wbm_clk_i (net)
+                  0.22    0.01   31.19 ^ mprj/u_wb_host/clkbuf_leaf_63_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   31.38 ^ mprj/u_wb_host/clkbuf_leaf_63_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.04                           mprj/u_wb_host/clknet_leaf_63_wbm_clk_i (net)
+                  0.06    0.00   31.38 ^ mprj/u_wb_host/_6571_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   31.13   clock uncertainty
+                          0.95   32.08   clock reconvergence pessimism
+                         -0.11   31.97   library setup time
+                                 31.97   data required time
 -----------------------------------------------------------------------------
-                                 30.68   data required time
-                                -15.09   data arrival time
+                                 31.97   data required time
+                                -15.79   data arrival time
 -----------------------------------------------------------------------------
-                                 15.59   slack (MET)
+                                 16.18   slack (MET)
 
 
 Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
-Endpoint: mprj/u_wb_host/_6573_
+Endpoint: mprj/u_wb_host/_5528_
+          (rising edge-triggered flip-flop clocked by wb_clk)
+Path Group: wb_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           soc/clknet_0_core_clk (net)
+                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_0_core_clk (net)
+                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_1_core_clk (net)
+                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_2_core_clk (net)
+                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_3_core_clk (net)
+                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_4_core_clk (net)
+                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           soc/clknet_1_1_5_core_clk (net)
+                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_1_1_6_core_clk (net)
+                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_2_3_0_core_clk (net)
+                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_2_3_1_core_clk (net)
+                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_0_core_clk (net)
+                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_1_core_clk (net)
+                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_3_7_2_core_clk (net)
+                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_4_14_0_core_clk (net)
+                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_5_28_0_core_clk (net)
+                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.26                           soc/clknet_5_28_1_core_clk (net)
+                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           soc/clknet_leaf_243_core_clk (net)
+                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.36    6.84 v soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
+     1    0.01                           soc/core.grant[0] (net)
+                  0.06    0.00    6.84 v soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
+                  0.08    0.18    7.02 v soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
+    10    0.09                           soc/net3544 (net)
+                  0.08    0.00    7.02 v soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.20    7.21 v soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
+    14    0.11                           soc/net3546 (net)
+                  0.10    0.02    7.23 v soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
+                  0.14    0.27    7.50 v soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
+    17    0.11                           soc/net3545 (net)
+                  0.15    0.02    7.52 v soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
+                  0.15    0.18    7.70 ^ soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.01                           soc/_13629_ (net)
+                  0.15    0.00    7.70 ^ soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.17    7.87 ^ soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
+     4    0.04                           soc/net3233 (net)
+                  0.09    0.00    7.87 ^ soc/wire3235/A (sky130_fd_sc_hd__buf_8)
+                  0.24    0.25    8.12 ^ soc/wire3235/X (sky130_fd_sc_hd__buf_8)
+    16    0.15                           soc/net3235 (net)
+                  0.25    0.03    8.15 ^ soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.22    8.37 ^ soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
+    12    0.06                           soc/net3231 (net)
+                  0.13    0.00    8.38 ^ soc/wire3232/A (sky130_fd_sc_hd__buf_12)
+                  0.24    0.27    8.64 ^ soc/wire3232/X (sky130_fd_sc_hd__buf_12)
+    30    0.21                           soc/net3232 (net)
+                  0.25    0.02    8.66 ^ soc/_14220_/B2 (sky130_fd_sc_hd__a221oi_2)
+                  0.11    0.14    8.80 v soc/_14220_/Y (sky130_fd_sc_hd__a221oi_2)
+     1    0.02                           soc/_13683_ (net)
+                  0.11    0.00    8.80 v soc/wire2621/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.26    9.06 v soc/wire2621/X (sky130_fd_sc_hd__buf_4)
+    12    0.10                           soc/net2621 (net)
+                  0.13    0.02    9.07 v soc/_14221_/A (sky130_fd_sc_hd__inv_2)
+                  0.28    0.27    9.34 ^ soc/_14221_/Y (sky130_fd_sc_hd__inv_2)
+     6    0.06                           soc/net623 (net)
+                  0.28    0.01    9.35 ^ soc/output623/A (sky130_fd_sc_hd__buf_12)
+                  0.03    0.14    9.50 ^ soc/output623/X (sky130_fd_sc_hd__buf_12)
+     3    0.01                           mprj_adr_o_core[19] (net)
+                  0.03    0.00    9.50 ^ mgmt_buffers/input398/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.16    9.66 ^ mgmt_buffers/input398/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net398 (net)
+                  0.13    0.01    9.67 ^ mgmt_buffers/wire1647/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.21    9.87 ^ mgmt_buffers/wire1647/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1647 (net)
+                  0.15    0.01    9.89 ^ mgmt_buffers/wire1646/A (sky130_fd_sc_hd__buf_6)
+                  0.14    0.21   10.09 ^ mgmt_buffers/wire1646/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1646 (net)
+                  0.14    0.01   10.10 ^ mgmt_buffers/wire1645/A (sky130_fd_sc_hd__buf_6)
+                  0.14    0.21   10.31 ^ mgmt_buffers/wire1645/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1645 (net)
+                  0.14    0.01   10.32 ^ mgmt_buffers/_324_/B (sky130_fd_sc_hd__and2_4)
+                  0.16    0.27   10.59 ^ mgmt_buffers/_324_/X (sky130_fd_sc_hd__and2_4)
+     2    0.05                           mgmt_buffers/net858 (net)
+                  0.16    0.00   10.59 ^ mgmt_buffers/wire1402/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.20   10.79 ^ mgmt_buffers/wire1402/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1402 (net)
+                  0.13    0.01   10.80 ^ mgmt_buffers/output858/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.11   10.90 ^ mgmt_buffers/output858/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_adr_o_user[19] (net)
+                  0.02    0.00   10.90 ^ mprj/u_rp_south/u_rp[67].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.26    0.25   11.16 ^ mprj/u_rp_south/u_rp[67].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.05                           mprj/u_rp_south/net25 (net)
+                  0.26    0.01   11.17 ^ mprj/u_rp_south/wire25/A (sky130_fd_sc_hd__buf_4)
+                  0.77    0.57   11.73 ^ mprj/u_rp_south/wire25/X (sky130_fd_sc_hd__buf_4)
+     2    0.28                           mprj/ch_out_south[67] (net)
+                  0.87    0.23   11.96 ^ mprj/u_wb_host/input51/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.24   12.20 ^ mprj/u_wb_host/input51/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/net51 (net)
+                  0.15    0.00   12.20 ^ mprj/u_wb_host/_2786_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.27    0.33   12.53 ^ mprj/u_wb_host/_2786_/X (sky130_fd_sc_hd__mux2_1)
+     4    0.03                           mprj/u_wb_host/_1192_ (net)
+                  0.27    0.00   12.53 ^ mprj/u_wb_host/_2787_/B (sky130_fd_sc_hd__and2_2)
+                  0.21    0.34   12.87 ^ mprj/u_wb_host/_2787_/X (sky130_fd_sc_hd__and2_2)
+     8    0.04                           mprj/u_wb_host/_1193_ (net)
+                  0.21    0.00   12.87 ^ mprj/u_wb_host/fanout486/A (sky130_fd_sc_hd__buf_2)
+                  0.27    0.34   13.21 ^ mprj/u_wb_host/fanout486/X (sky130_fd_sc_hd__buf_2)
+    20    0.06                           mprj/u_wb_host/net486 (net)
+                  0.27    0.00   13.21 ^ mprj/u_wb_host/_2788_/A (sky130_fd_sc_hd__inv_2)
+                  0.07    0.08   13.29 v mprj/u_wb_host/_2788_/Y (sky130_fd_sc_hd__inv_2)
+     2    0.01                           mprj/u_wb_host/_1194_ (net)
+                  0.07    0.00   13.29 v mprj/u_wb_host/_2790_/A (sky130_fd_sc_hd__or2_1)
+                  0.12    0.32   13.61 v mprj/u_wb_host/_2790_/X (sky130_fd_sc_hd__or2_1)
+     3    0.02                           mprj/u_wb_host/_1196_ (net)
+                  0.12    0.00   13.61 v mprj/u_wb_host/fanout444/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.11    0.26   13.87 v mprj/u_wb_host/fanout444/X (sky130_fd_sc_hd__clkbuf_4)
+    18    0.06                           mprj/u_wb_host/net444 (net)
+                  0.11    0.00   13.87 v mprj/u_wb_host/fanout443/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.13    0.27   14.14 v mprj/u_wb_host/fanout443/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.07                           mprj/u_wb_host/net443 (net)
+                  0.13    0.00   14.15 v mprj/u_wb_host/_2791_/B (sky130_fd_sc_hd__nor2_1)
+                  0.27    0.27   14.42 ^ mprj/u_wb_host/_2791_/Y (sky130_fd_sc_hd__nor2_1)
+     3    0.01                           mprj/u_wb_host/_0000_ (net)
+                  0.27    0.00   14.42 ^ mprj/u_wb_host/_2815_/B1 (sky130_fd_sc_hd__a41o_2)
+                  0.18    0.27   14.69 ^ mprj/u_wb_host/_2815_/X (sky130_fd_sc_hd__a41o_2)
+     6    0.03                           mprj/u_wb_host/_1220_ (net)
+                  0.18    0.00   14.69 ^ mprj/u_wb_host/fanout359/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.21    0.32   15.00 ^ mprj/u_wb_host/fanout359/X (sky130_fd_sc_hd__clkbuf_4)
+    18    0.07                           mprj/u_wb_host/net359 (net)
+                  0.21    0.00   15.00 ^ mprj/u_wb_host/fanout358/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.29   15.30 ^ mprj/u_wb_host/fanout358/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_wb_host/net358 (net)
+                  0.21    0.01   15.30 ^ mprj/u_wb_host/_3239_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.35   15.65 v mprj/u_wb_host/_3239_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0066_ (net)
+                  0.05    0.00   15.65 v mprj/u_wb_host/_5528_/D (sky130_fd_sc_hd__dfrtp_2)
+                                 15.65   data arrival time
+
+                         25.00   25.00   clock wb_clk (rise edge)
+                          0.00   25.00   clock source latency
+                  1.00    0.00   25.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09   29.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00   29.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27   29.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03   29.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37   29.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20   30.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35   30.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01   30.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   30.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00   30.65 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   30.79 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
+                  0.06    0.00   30.79 ^ mprj/u_wb_host/clkbuf_2_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   30.92 ^ mprj/u_wb_host/clkbuf_2_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_2_0_wbm_clk_i (net)
+                  0.06    0.00   30.92 ^ mprj/u_wb_host/clkbuf_3_5_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.16    0.21   31.13 ^ mprj/u_wb_host/clkbuf_3_5_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.09                           mprj/u_wb_host/clknet_3_5_0_wbm_clk_i (net)
+                  0.16    0.00   31.14 ^ mprj/u_wb_host/clkbuf_leaf_52_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.15   31.28 ^ mprj/u_wb_host/clkbuf_leaf_52_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.01                           mprj/u_wb_host/clknet_leaf_52_wbm_clk_i (net)
+                  0.03    0.00   31.28 ^ mprj/u_wb_host/_5528_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                         -0.25   31.03   clock uncertainty
+                          0.95   31.99   clock reconvergence pessimism
+                         -0.12   31.86   library setup time
+                                 31.86   data required time
+-----------------------------------------------------------------------------
+                                 31.86   data required time
+                                -15.65   data arrival time
+-----------------------------------------------------------------------------
+                                 16.21   slack (MET)
+
+
+Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
+Endpoint: mprj/u_wb_host/_6566_
           (rising edge-triggered flip-flop clocked by wb_clk)
 Path Group: wb_clk
 Path Type: max
@@ -157560,26 +179170,1607 @@
                   0.06    0.00   11.84 v mgmt_buffers/output870/A (sky130_fd_sc_hd__buf_8)
                   0.02    0.12   11.96 v mgmt_buffers/output870/X (sky130_fd_sc_hd__buf_8)
      2    0.00                           mprj_adr_o_user[2] (net)
-                  0.02    0.00   11.96 v mprj/u_wb_host/input53/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.05    0.11   12.06 v mprj/u_wb_host/input53/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.02    0.00   11.96 v mprj/u_rp_south/u_rp[14].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.16    0.23   12.19 v mprj/u_rp_south/u_rp[14].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.08                           mprj/u_rp_south/net70 (net)
+                  0.17    0.02   12.21 v mprj/u_rp_south/wire70/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.24   12.45 v mprj/u_rp_south/wire70/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[14] (net)
+                  0.44    0.21   12.66 v mprj/u_wb_host/input53/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.25   12.91 v mprj/u_wb_host/input53/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/net53 (net)
-                  0.05    0.00   12.06 v mprj/u_wb_host/_4056_/A (sky130_fd_sc_hd__or2_1)
-                  0.10    0.29   12.36 v mprj/u_wb_host/_4056_/X (sky130_fd_sc_hd__or2_1)
-     2    0.01                           mprj/u_wb_host/_2076_ (net)
-                  0.10    0.00   12.36 v mprj/u_wb_host/_4057_/B1 (sky130_fd_sc_hd__o21ai_4)
-                  2.08    0.76   13.12 ^ mprj/u_wb_host/_4057_/Y (sky130_fd_sc_hd__o21ai_4)
-    74    0.32                           mprj/u_wb_host/_2077_ (net)
-                  2.08    0.03   13.15 ^ mprj/u_wb_host/_4082_/A (sky130_fd_sc_hd__nand2_8)
-                  0.65    0.60   13.75 v mprj/u_wb_host/_4082_/Y (sky130_fd_sc_hd__nand2_8)
-    66    0.20                           mprj/u_wb_host/_2095_ (net)
-                  0.65    0.00   13.75 v mprj/u_wb_host/_5410_/C (sky130_fd_sc_hd__or3_4)
-                  0.34    0.87   14.62 v mprj/u_wb_host/_5410_/X (sky130_fd_sc_hd__or3_4)
-    64    0.24                           mprj/u_wb_host/_2673_ (net)
-                  0.35    0.05   14.67 v mprj/u_wb_host/_5432_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.42   15.09 v mprj/u_wb_host/_5432_/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00   12.91 v mprj/u_wb_host/_4045_/A (sky130_fd_sc_hd__or2_1)
+                  0.09    0.29   13.20 v mprj/u_wb_host/_4045_/X (sky130_fd_sc_hd__or2_1)
+     2    0.01                           mprj/u_wb_host/_2068_ (net)
+                  0.09    0.00   13.20 v mprj/u_wb_host/_4046_/B1 (sky130_fd_sc_hd__o21ai_4)
+                  0.35    0.16   13.37 ^ mprj/u_wb_host/_4046_/Y (sky130_fd_sc_hd__o21ai_4)
+     8    0.05                           mprj/u_wb_host/_2069_ (net)
+                  0.35    0.00   13.37 ^ mprj/u_wb_host/fanout515/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.33   13.70 ^ mprj/u_wb_host/fanout515/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net515 (net)
+                  0.21    0.00   13.70 ^ mprj/u_wb_host/_4071_/A (sky130_fd_sc_hd__nand2_2)
+                  0.23    0.24   13.95 v mprj/u_wb_host/_4071_/Y (sky130_fd_sc_hd__nand2_2)
+     6    0.05                           mprj/u_wb_host/_2087_ (net)
+                  0.23    0.00   13.95 v mprj/u_wb_host/fanout459/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.32   14.27 v mprj/u_wb_host/fanout459/X (sky130_fd_sc_hd__clkbuf_2)
+    12    0.05                           mprj/u_wb_host/net459 (net)
+                  0.19    0.00   14.27 v mprj/u_wb_host/_5404_/C (sky130_fd_sc_hd__or3_1)
+                  0.11    0.43   14.70 v mprj/u_wb_host/_5404_/X (sky130_fd_sc_hd__or3_1)
+     1    0.01                           mprj/u_wb_host/_2670_ (net)
+                  0.11    0.00   14.70 v mprj/u_wb_host/fanout363/A (sky130_fd_sc_hd__buf_2)
+                  0.12    0.24   14.94 v mprj/u_wb_host/fanout363/X (sky130_fd_sc_hd__buf_2)
+    10    0.05                           mprj/u_wb_host/net363 (net)
+                  0.12    0.00   14.95 v mprj/u_wb_host/fanout361/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.25   15.20 v mprj/u_wb_host/fanout361/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net361 (net)
+                  0.11    0.01   15.21 v mprj/u_wb_host/fanout360/A (sky130_fd_sc_hd__buf_4)
+                  0.10    0.24   15.45 v mprj/u_wb_host/fanout360/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_wb_host/net360 (net)
+                  0.10    0.01   15.45 v mprj/u_wb_host/_5425_/S (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.34   15.80 v mprj/u_wb_host/_5425_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_1055_ (net)
+                  0.06    0.00   15.80 v mprj/u_wb_host/_6566_/D (sky130_fd_sc_hd__dfstp_1)
+                                 15.80   data arrival time
+
+                         25.00   25.00   clock wb_clk (rise edge)
+                          0.00   25.00   clock source latency
+                  1.00    0.00   25.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09   29.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00   29.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27   29.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03   29.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37   29.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20   30.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35   30.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01   30.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   30.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00   30.65 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15   30.80 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00   30.80 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   30.94 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_0_0_wbm_clk_i (net)
+                  0.06    0.00   30.94 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.22    0.25   31.19 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    18    0.13                           mprj/u_wb_host/clknet_3_0_0_wbm_clk_i (net)
+                  0.22    0.01   31.19 ^ mprj/u_wb_host/clkbuf_leaf_63_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   31.38 ^ mprj/u_wb_host/clkbuf_leaf_63_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.04                           mprj/u_wb_host/clknet_leaf_63_wbm_clk_i (net)
+                  0.06    0.00   31.38 ^ mprj/u_wb_host/_6566_/CLK (sky130_fd_sc_hd__dfstp_1)
+                         -0.25   31.13   clock uncertainty
+                          0.95   32.09   clock reconvergence pessimism
+                         -0.07   32.01   library setup time
+                                 32.01   data required time
+-----------------------------------------------------------------------------
+                                 32.01   data required time
+                                -15.80   data arrival time
+-----------------------------------------------------------------------------
+                                 16.22   slack (MET)
+
+
+Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
+Endpoint: mprj/u_wb_host/_6561_
+          (rising edge-triggered flip-flop clocked by wb_clk)
+Path Group: wb_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           soc/clknet_0_core_clk (net)
+                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_0_core_clk (net)
+                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_1_core_clk (net)
+                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_2_core_clk (net)
+                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_3_core_clk (net)
+                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_4_core_clk (net)
+                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           soc/clknet_1_1_5_core_clk (net)
+                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_1_1_6_core_clk (net)
+                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_2_3_0_core_clk (net)
+                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_2_3_1_core_clk (net)
+                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_0_core_clk (net)
+                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_1_core_clk (net)
+                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_3_7_2_core_clk (net)
+                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_4_14_0_core_clk (net)
+                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_5_28_0_core_clk (net)
+                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.26                           soc/clknet_5_28_1_core_clk (net)
+                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           soc/clknet_leaf_243_core_clk (net)
+                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.11    0.39    6.87 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
+     1    0.01                           soc/core.grant[0] (net)
+                  0.11    0.00    6.87 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
+                  0.16    0.21    7.08 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
+    10    0.10                           soc/net3544 (net)
+                  0.16    0.00    7.08 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
+                  0.22    0.26    7.34 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
+    14    0.11                           soc/net3546 (net)
+                  0.22    0.02    7.36 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
+                  0.31    0.36    7.72 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
+    17    0.11                           soc/net3545 (net)
+                  0.32    0.02    7.75 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
+                  0.09    0.11    7.86 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.01                           soc/_13629_ (net)
+                  0.09    0.00    7.86 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
+                  0.05    0.16    8.02 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
+     4    0.04                           soc/net3233 (net)
+                  0.05    0.00    8.02 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
+                  0.11    0.18    8.20 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
+    16    0.14                           soc/net3235 (net)
+                  0.12    0.03    8.23 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.19    8.42 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
+    12    0.06                           soc/net3231 (net)
+                  0.06    0.00    8.43 v soc/_14146_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.32    8.75 v soc/_14146_/X (sky130_fd_sc_hd__and2_2)
+    10    0.06                           soc/_13643_ (net)
+                  0.15    0.01    8.75 v soc/_14147_/C1 (sky130_fd_sc_hd__a221oi_4)
+                  0.73    0.63    9.38 ^ soc/_14147_/Y (sky130_fd_sc_hd__a221oi_4)
+     6    0.06                           soc/_13644_ (net)
+                  0.73    0.01    9.38 ^ soc/max_length2753/A (sky130_fd_sc_hd__buf_6)
+                  0.21    0.32    9.71 ^ soc/max_length2753/X (sky130_fd_sc_hd__buf_6)
+     9    0.10                           soc/net2753 (net)
+                  0.21    0.02    9.73 ^ soc/wire2751/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.32   10.05 ^ soc/wire2751/X (sky130_fd_sc_hd__buf_4)
+     4    0.09                           soc/net2751 (net)
+                  0.25    0.01   10.06 ^ soc/_14148_/A (sky130_fd_sc_hd__inv_12)
+                  0.09    0.09   10.15 v soc/_14148_/Y (sky130_fd_sc_hd__inv_12)
+     4    0.08                           soc/net634 (net)
+                  0.09    0.01   10.17 v soc/wire2404/A (sky130_fd_sc_hd__buf_12)
+                  0.10    0.19   10.36 v soc/wire2404/X (sky130_fd_sc_hd__buf_12)
+     7    0.17                           soc/net2404 (net)
+                  0.13    0.04   10.40 v soc/output634/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.16   10.56 v soc/output634/X (sky130_fd_sc_hd__buf_12)
+     3    0.01                           mprj_adr_o_core[2] (net)
+                  0.02    0.00   10.56 v mgmt_buffers/input410/A (sky130_fd_sc_hd__buf_6)
+                  0.04    0.13   10.69 v mgmt_buffers/input410/X (sky130_fd_sc_hd__buf_6)
+     2    0.03                           mgmt_buffers/net410 (net)
+                  0.04    0.00   10.69 v mgmt_buffers/wire1596/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.16   10.85 v mgmt_buffers/wire1596/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1596 (net)
+                  0.07    0.01   10.86 v mgmt_buffers/wire1595/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.20   11.06 v mgmt_buffers/wire1595/X (sky130_fd_sc_hd__buf_6)
+     2    0.11                           mgmt_buffers/net1595 (net)
+                  0.10    0.01   11.07 v mgmt_buffers/wire1594/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.19   11.25 v mgmt_buffers/wire1594/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1594 (net)
+                  0.07    0.01   11.26 v mgmt_buffers/wire1593/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   11.44 v mgmt_buffers/wire1593/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1593 (net)
+                  0.07    0.01   11.45 v mgmt_buffers/wire1592/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.17   11.62 v mgmt_buffers/wire1592/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1592 (net)
+                  0.07    0.01   11.63 v mgmt_buffers/_307_/B (sky130_fd_sc_hd__and2_4)
+                  0.06    0.21   11.83 v mgmt_buffers/_307_/X (sky130_fd_sc_hd__and2_4)
+     2    0.03                           mgmt_buffers/net870 (net)
+                  0.06    0.00   11.84 v mgmt_buffers/output870/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.12   11.96 v mgmt_buffers/output870/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_adr_o_user[2] (net)
+                  0.02    0.00   11.96 v mprj/u_rp_south/u_rp[14].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.16    0.23   12.19 v mprj/u_rp_south/u_rp[14].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.08                           mprj/u_rp_south/net70 (net)
+                  0.17    0.02   12.21 v mprj/u_rp_south/wire70/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.24   12.45 v mprj/u_rp_south/wire70/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[14] (net)
+                  0.44    0.21   12.66 v mprj/u_wb_host/input53/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.25   12.91 v mprj/u_wb_host/input53/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/net53 (net)
+                  0.07    0.00   12.91 v mprj/u_wb_host/_4045_/A (sky130_fd_sc_hd__or2_1)
+                  0.09    0.29   13.20 v mprj/u_wb_host/_4045_/X (sky130_fd_sc_hd__or2_1)
+     2    0.01                           mprj/u_wb_host/_2068_ (net)
+                  0.09    0.00   13.20 v mprj/u_wb_host/_4046_/B1 (sky130_fd_sc_hd__o21ai_4)
+                  0.35    0.16   13.37 ^ mprj/u_wb_host/_4046_/Y (sky130_fd_sc_hd__o21ai_4)
+     8    0.05                           mprj/u_wb_host/_2069_ (net)
+                  0.35    0.00   13.37 ^ mprj/u_wb_host/fanout515/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.33   13.70 ^ mprj/u_wb_host/fanout515/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net515 (net)
+                  0.21    0.00   13.70 ^ mprj/u_wb_host/_4071_/A (sky130_fd_sc_hd__nand2_2)
+                  0.23    0.24   13.95 v mprj/u_wb_host/_4071_/Y (sky130_fd_sc_hd__nand2_2)
+     6    0.05                           mprj/u_wb_host/_2087_ (net)
+                  0.23    0.00   13.95 v mprj/u_wb_host/fanout459/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.32   14.27 v mprj/u_wb_host/fanout459/X (sky130_fd_sc_hd__clkbuf_2)
+    12    0.05                           mprj/u_wb_host/net459 (net)
+                  0.19    0.00   14.27 v mprj/u_wb_host/_5404_/C (sky130_fd_sc_hd__or3_1)
+                  0.11    0.43   14.70 v mprj/u_wb_host/_5404_/X (sky130_fd_sc_hd__or3_1)
+     1    0.01                           mprj/u_wb_host/_2670_ (net)
+                  0.11    0.00   14.70 v mprj/u_wb_host/fanout363/A (sky130_fd_sc_hd__buf_2)
+                  0.12    0.24   14.94 v mprj/u_wb_host/fanout363/X (sky130_fd_sc_hd__buf_2)
+    10    0.05                           mprj/u_wb_host/net363 (net)
+                  0.12    0.00   14.95 v mprj/u_wb_host/fanout361/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.25   15.20 v mprj/u_wb_host/fanout361/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net361 (net)
+                  0.11    0.01   15.21 v mprj/u_wb_host/fanout360/A (sky130_fd_sc_hd__buf_4)
+                  0.10    0.24   15.45 v mprj/u_wb_host/fanout360/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_wb_host/net360 (net)
+                  0.10    0.01   15.45 v mprj/u_wb_host/_5420_/S (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.34   15.79 v mprj/u_wb_host/_5420_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_1050_ (net)
+                  0.06    0.00   15.79 v mprj/u_wb_host/_6561_/D (sky130_fd_sc_hd__dfstp_1)
+                                 15.79   data arrival time
+
+                         25.00   25.00   clock wb_clk (rise edge)
+                          0.00   25.00   clock source latency
+                  1.00    0.00   25.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09   29.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00   29.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27   29.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03   29.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37   29.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20   30.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35   30.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01   30.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   30.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00   30.65 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15   30.80 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00   30.80 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   30.94 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_0_0_wbm_clk_i (net)
+                  0.06    0.00   30.94 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.22    0.25   31.19 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    18    0.13                           mprj/u_wb_host/clknet_3_0_0_wbm_clk_i (net)
+                  0.22    0.01   31.19 ^ mprj/u_wb_host/clkbuf_leaf_63_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   31.38 ^ mprj/u_wb_host/clkbuf_leaf_63_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.04                           mprj/u_wb_host/clknet_leaf_63_wbm_clk_i (net)
+                  0.06    0.00   31.38 ^ mprj/u_wb_host/_6561_/CLK (sky130_fd_sc_hd__dfstp_1)
+                         -0.25   31.13   clock uncertainty
+                          0.95   32.09   clock reconvergence pessimism
+                         -0.07   32.01   library setup time
+                                 32.01   data required time
+-----------------------------------------------------------------------------
+                                 32.01   data required time
+                                -15.79   data arrival time
+-----------------------------------------------------------------------------
+                                 16.22   slack (MET)
+
+
+Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
+Endpoint: mprj/u_wb_host/_6547_
+          (rising edge-triggered flip-flop clocked by wb_clk)
+Path Group: wb_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           soc/clknet_0_core_clk (net)
+                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_0_core_clk (net)
+                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_1_core_clk (net)
+                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_2_core_clk (net)
+                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_3_core_clk (net)
+                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_4_core_clk (net)
+                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           soc/clknet_1_1_5_core_clk (net)
+                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_1_1_6_core_clk (net)
+                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_2_3_0_core_clk (net)
+                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_2_3_1_core_clk (net)
+                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_0_core_clk (net)
+                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_1_core_clk (net)
+                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_3_7_2_core_clk (net)
+                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_4_14_0_core_clk (net)
+                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_5_28_0_core_clk (net)
+                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.26                           soc/clknet_5_28_1_core_clk (net)
+                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           soc/clknet_leaf_243_core_clk (net)
+                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.11    0.39    6.87 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
+     1    0.01                           soc/core.grant[0] (net)
+                  0.11    0.00    6.87 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
+                  0.16    0.21    7.08 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
+    10    0.10                           soc/net3544 (net)
+                  0.16    0.00    7.08 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
+                  0.22    0.26    7.34 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
+    14    0.11                           soc/net3546 (net)
+                  0.22    0.02    7.36 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
+                  0.31    0.36    7.72 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
+    17    0.11                           soc/net3545 (net)
+                  0.32    0.02    7.75 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
+                  0.09    0.11    7.86 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.01                           soc/_13629_ (net)
+                  0.09    0.00    7.86 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
+                  0.05    0.16    8.02 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
+     4    0.04                           soc/net3233 (net)
+                  0.05    0.00    8.02 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
+                  0.11    0.18    8.20 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
+    16    0.14                           soc/net3235 (net)
+                  0.12    0.03    8.23 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.19    8.42 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
+    12    0.06                           soc/net3231 (net)
+                  0.06    0.00    8.43 v soc/_14146_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.32    8.75 v soc/_14146_/X (sky130_fd_sc_hd__and2_2)
+    10    0.06                           soc/_13643_ (net)
+                  0.15    0.01    8.75 v soc/_14147_/C1 (sky130_fd_sc_hd__a221oi_4)
+                  0.73    0.63    9.38 ^ soc/_14147_/Y (sky130_fd_sc_hd__a221oi_4)
+     6    0.06                           soc/_13644_ (net)
+                  0.73    0.01    9.38 ^ soc/max_length2753/A (sky130_fd_sc_hd__buf_6)
+                  0.21    0.32    9.71 ^ soc/max_length2753/X (sky130_fd_sc_hd__buf_6)
+     9    0.10                           soc/net2753 (net)
+                  0.21    0.02    9.73 ^ soc/wire2751/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.32   10.05 ^ soc/wire2751/X (sky130_fd_sc_hd__buf_4)
+     4    0.09                           soc/net2751 (net)
+                  0.25    0.01   10.06 ^ soc/_14148_/A (sky130_fd_sc_hd__inv_12)
+                  0.09    0.09   10.15 v soc/_14148_/Y (sky130_fd_sc_hd__inv_12)
+     4    0.08                           soc/net634 (net)
+                  0.09    0.01   10.17 v soc/wire2404/A (sky130_fd_sc_hd__buf_12)
+                  0.10    0.19   10.36 v soc/wire2404/X (sky130_fd_sc_hd__buf_12)
+     7    0.17                           soc/net2404 (net)
+                  0.13    0.04   10.40 v soc/output634/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.16   10.56 v soc/output634/X (sky130_fd_sc_hd__buf_12)
+     3    0.01                           mprj_adr_o_core[2] (net)
+                  0.02    0.00   10.56 v mgmt_buffers/input410/A (sky130_fd_sc_hd__buf_6)
+                  0.04    0.13   10.69 v mgmt_buffers/input410/X (sky130_fd_sc_hd__buf_6)
+     2    0.03                           mgmt_buffers/net410 (net)
+                  0.04    0.00   10.69 v mgmt_buffers/wire1596/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.16   10.85 v mgmt_buffers/wire1596/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1596 (net)
+                  0.07    0.01   10.86 v mgmt_buffers/wire1595/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.20   11.06 v mgmt_buffers/wire1595/X (sky130_fd_sc_hd__buf_6)
+     2    0.11                           mgmt_buffers/net1595 (net)
+                  0.10    0.01   11.07 v mgmt_buffers/wire1594/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.19   11.25 v mgmt_buffers/wire1594/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1594 (net)
+                  0.07    0.01   11.26 v mgmt_buffers/wire1593/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   11.44 v mgmt_buffers/wire1593/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1593 (net)
+                  0.07    0.01   11.45 v mgmt_buffers/wire1592/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.17   11.62 v mgmt_buffers/wire1592/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1592 (net)
+                  0.07    0.01   11.63 v mgmt_buffers/_307_/B (sky130_fd_sc_hd__and2_4)
+                  0.06    0.21   11.83 v mgmt_buffers/_307_/X (sky130_fd_sc_hd__and2_4)
+     2    0.03                           mgmt_buffers/net870 (net)
+                  0.06    0.00   11.84 v mgmt_buffers/output870/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.12   11.96 v mgmt_buffers/output870/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_adr_o_user[2] (net)
+                  0.02    0.00   11.96 v mprj/u_rp_south/u_rp[14].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.16    0.23   12.19 v mprj/u_rp_south/u_rp[14].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.08                           mprj/u_rp_south/net70 (net)
+                  0.17    0.02   12.21 v mprj/u_rp_south/wire70/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.24   12.45 v mprj/u_rp_south/wire70/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[14] (net)
+                  0.44    0.21   12.66 v mprj/u_wb_host/input53/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.25   12.91 v mprj/u_wb_host/input53/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/net53 (net)
+                  0.07    0.00   12.91 v mprj/u_wb_host/_4045_/A (sky130_fd_sc_hd__or2_1)
+                  0.09    0.29   13.20 v mprj/u_wb_host/_4045_/X (sky130_fd_sc_hd__or2_1)
+     2    0.01                           mprj/u_wb_host/_2068_ (net)
+                  0.09    0.00   13.20 v mprj/u_wb_host/_4046_/B1 (sky130_fd_sc_hd__o21ai_4)
+                  0.35    0.16   13.37 ^ mprj/u_wb_host/_4046_/Y (sky130_fd_sc_hd__o21ai_4)
+     8    0.05                           mprj/u_wb_host/_2069_ (net)
+                  0.35    0.00   13.37 ^ mprj/u_wb_host/fanout515/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.33   13.70 ^ mprj/u_wb_host/fanout515/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net515 (net)
+                  0.21    0.00   13.70 ^ mprj/u_wb_host/_4071_/A (sky130_fd_sc_hd__nand2_2)
+                  0.23    0.24   13.95 v mprj/u_wb_host/_4071_/Y (sky130_fd_sc_hd__nand2_2)
+     6    0.05                           mprj/u_wb_host/_2087_ (net)
+                  0.23    0.00   13.95 v mprj/u_wb_host/fanout459/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.32   14.27 v mprj/u_wb_host/fanout459/X (sky130_fd_sc_hd__clkbuf_2)
+    12    0.05                           mprj/u_wb_host/net459 (net)
+                  0.19    0.00   14.27 v mprj/u_wb_host/_5404_/C (sky130_fd_sc_hd__or3_1)
+                  0.11    0.43   14.70 v mprj/u_wb_host/_5404_/X (sky130_fd_sc_hd__or3_1)
+     1    0.01                           mprj/u_wb_host/_2670_ (net)
+                  0.11    0.00   14.70 v mprj/u_wb_host/fanout363/A (sky130_fd_sc_hd__buf_2)
+                  0.12    0.24   14.94 v mprj/u_wb_host/fanout363/X (sky130_fd_sc_hd__buf_2)
+    10    0.05                           mprj/u_wb_host/net363 (net)
+                  0.12    0.00   14.95 v mprj/u_wb_host/fanout361/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.25   15.20 v mprj/u_wb_host/fanout361/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net361 (net)
+                  0.11    0.01   15.21 v mprj/u_wb_host/fanout360/A (sky130_fd_sc_hd__buf_4)
+                  0.10    0.24   15.45 v mprj/u_wb_host/fanout360/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_wb_host/net360 (net)
+                  0.10    0.01   15.45 v mprj/u_wb_host/_5406_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.33   15.79 v mprj/u_wb_host/_5406_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_1036_ (net)
+                  0.05    0.00   15.79 v mprj/u_wb_host/_6547_/D (sky130_fd_sc_hd__dfstp_1)
+                                 15.79   data arrival time
+
+                         25.00   25.00   clock wb_clk (rise edge)
+                          0.00   25.00   clock source latency
+                  1.00    0.00   25.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09   29.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00   29.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27   29.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03   29.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37   29.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20   30.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35   30.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01   30.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   30.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00   30.65 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15   30.80 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00   30.80 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   30.94 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_0_0_wbm_clk_i (net)
+                  0.06    0.00   30.94 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.22    0.25   31.19 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    18    0.13                           mprj/u_wb_host/clknet_3_0_0_wbm_clk_i (net)
+                  0.22    0.00   31.19 ^ mprj/u_wb_host/clkbuf_leaf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   31.38 ^ mprj/u_wb_host/clkbuf_leaf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_wb_host/clknet_leaf_0_wbm_clk_i (net)
+                  0.06    0.00   31.38 ^ mprj/u_wb_host/_6547_/CLK (sky130_fd_sc_hd__dfstp_1)
+                         -0.25   31.13   clock uncertainty
+                          0.95   32.08   clock reconvergence pessimism
+                         -0.07   32.01   library setup time
+                                 32.01   data required time
+-----------------------------------------------------------------------------
+                                 32.01   data required time
+                                -15.79   data arrival time
+-----------------------------------------------------------------------------
+                                 16.22   slack (MET)
+
+
+Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
+Endpoint: mprj/u_wb_host/_6564_
+          (rising edge-triggered flip-flop clocked by wb_clk)
+Path Group: wb_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           soc/clknet_0_core_clk (net)
+                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_0_core_clk (net)
+                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_1_core_clk (net)
+                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_2_core_clk (net)
+                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_3_core_clk (net)
+                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_4_core_clk (net)
+                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           soc/clknet_1_1_5_core_clk (net)
+                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_1_1_6_core_clk (net)
+                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_2_3_0_core_clk (net)
+                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_2_3_1_core_clk (net)
+                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_0_core_clk (net)
+                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_1_core_clk (net)
+                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_3_7_2_core_clk (net)
+                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_4_14_0_core_clk (net)
+                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_5_28_0_core_clk (net)
+                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.26                           soc/clknet_5_28_1_core_clk (net)
+                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           soc/clknet_leaf_243_core_clk (net)
+                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.11    0.39    6.87 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
+     1    0.01                           soc/core.grant[0] (net)
+                  0.11    0.00    6.87 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
+                  0.16    0.21    7.08 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
+    10    0.10                           soc/net3544 (net)
+                  0.16    0.00    7.08 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
+                  0.22    0.26    7.34 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
+    14    0.11                           soc/net3546 (net)
+                  0.22    0.02    7.36 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
+                  0.31    0.36    7.72 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
+    17    0.11                           soc/net3545 (net)
+                  0.32    0.02    7.75 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
+                  0.09    0.11    7.86 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.01                           soc/_13629_ (net)
+                  0.09    0.00    7.86 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
+                  0.05    0.16    8.02 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
+     4    0.04                           soc/net3233 (net)
+                  0.05    0.00    8.02 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
+                  0.11    0.18    8.20 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
+    16    0.14                           soc/net3235 (net)
+                  0.12    0.03    8.23 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.19    8.42 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
+    12    0.06                           soc/net3231 (net)
+                  0.06    0.00    8.43 v soc/_14146_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.32    8.75 v soc/_14146_/X (sky130_fd_sc_hd__and2_2)
+    10    0.06                           soc/_13643_ (net)
+                  0.15    0.01    8.75 v soc/_14147_/C1 (sky130_fd_sc_hd__a221oi_4)
+                  0.73    0.63    9.38 ^ soc/_14147_/Y (sky130_fd_sc_hd__a221oi_4)
+     6    0.06                           soc/_13644_ (net)
+                  0.73    0.01    9.38 ^ soc/max_length2753/A (sky130_fd_sc_hd__buf_6)
+                  0.21    0.32    9.71 ^ soc/max_length2753/X (sky130_fd_sc_hd__buf_6)
+     9    0.10                           soc/net2753 (net)
+                  0.21    0.02    9.73 ^ soc/wire2751/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.32   10.05 ^ soc/wire2751/X (sky130_fd_sc_hd__buf_4)
+     4    0.09                           soc/net2751 (net)
+                  0.25    0.01   10.06 ^ soc/_14148_/A (sky130_fd_sc_hd__inv_12)
+                  0.09    0.09   10.15 v soc/_14148_/Y (sky130_fd_sc_hd__inv_12)
+     4    0.08                           soc/net634 (net)
+                  0.09    0.01   10.17 v soc/wire2404/A (sky130_fd_sc_hd__buf_12)
+                  0.10    0.19   10.36 v soc/wire2404/X (sky130_fd_sc_hd__buf_12)
+     7    0.17                           soc/net2404 (net)
+                  0.13    0.04   10.40 v soc/output634/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.16   10.56 v soc/output634/X (sky130_fd_sc_hd__buf_12)
+     3    0.01                           mprj_adr_o_core[2] (net)
+                  0.02    0.00   10.56 v mgmt_buffers/input410/A (sky130_fd_sc_hd__buf_6)
+                  0.04    0.13   10.69 v mgmt_buffers/input410/X (sky130_fd_sc_hd__buf_6)
+     2    0.03                           mgmt_buffers/net410 (net)
+                  0.04    0.00   10.69 v mgmt_buffers/wire1596/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.16   10.85 v mgmt_buffers/wire1596/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1596 (net)
+                  0.07    0.01   10.86 v mgmt_buffers/wire1595/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.20   11.06 v mgmt_buffers/wire1595/X (sky130_fd_sc_hd__buf_6)
+     2    0.11                           mgmt_buffers/net1595 (net)
+                  0.10    0.01   11.07 v mgmt_buffers/wire1594/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.19   11.25 v mgmt_buffers/wire1594/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1594 (net)
+                  0.07    0.01   11.26 v mgmt_buffers/wire1593/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   11.44 v mgmt_buffers/wire1593/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1593 (net)
+                  0.07    0.01   11.45 v mgmt_buffers/wire1592/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.17   11.62 v mgmt_buffers/wire1592/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1592 (net)
+                  0.07    0.01   11.63 v mgmt_buffers/_307_/B (sky130_fd_sc_hd__and2_4)
+                  0.06    0.21   11.83 v mgmt_buffers/_307_/X (sky130_fd_sc_hd__and2_4)
+     2    0.03                           mgmt_buffers/net870 (net)
+                  0.06    0.00   11.84 v mgmt_buffers/output870/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.12   11.96 v mgmt_buffers/output870/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_adr_o_user[2] (net)
+                  0.02    0.00   11.96 v mprj/u_rp_south/u_rp[14].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.16    0.23   12.19 v mprj/u_rp_south/u_rp[14].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.08                           mprj/u_rp_south/net70 (net)
+                  0.17    0.02   12.21 v mprj/u_rp_south/wire70/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.24   12.45 v mprj/u_rp_south/wire70/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[14] (net)
+                  0.44    0.21   12.66 v mprj/u_wb_host/input53/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.25   12.91 v mprj/u_wb_host/input53/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/net53 (net)
+                  0.07    0.00   12.91 v mprj/u_wb_host/_4045_/A (sky130_fd_sc_hd__or2_1)
+                  0.09    0.29   13.20 v mprj/u_wb_host/_4045_/X (sky130_fd_sc_hd__or2_1)
+     2    0.01                           mprj/u_wb_host/_2068_ (net)
+                  0.09    0.00   13.20 v mprj/u_wb_host/_4046_/B1 (sky130_fd_sc_hd__o21ai_4)
+                  0.35    0.16   13.37 ^ mprj/u_wb_host/_4046_/Y (sky130_fd_sc_hd__o21ai_4)
+     8    0.05                           mprj/u_wb_host/_2069_ (net)
+                  0.35    0.00   13.37 ^ mprj/u_wb_host/fanout515/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.33   13.70 ^ mprj/u_wb_host/fanout515/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net515 (net)
+                  0.21    0.00   13.70 ^ mprj/u_wb_host/_4071_/A (sky130_fd_sc_hd__nand2_2)
+                  0.23    0.24   13.95 v mprj/u_wb_host/_4071_/Y (sky130_fd_sc_hd__nand2_2)
+     6    0.05                           mprj/u_wb_host/_2087_ (net)
+                  0.23    0.00   13.95 v mprj/u_wb_host/fanout459/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.32   14.27 v mprj/u_wb_host/fanout459/X (sky130_fd_sc_hd__clkbuf_2)
+    12    0.05                           mprj/u_wb_host/net459 (net)
+                  0.19    0.00   14.27 v mprj/u_wb_host/_5404_/C (sky130_fd_sc_hd__or3_1)
+                  0.11    0.43   14.70 v mprj/u_wb_host/_5404_/X (sky130_fd_sc_hd__or3_1)
+     1    0.01                           mprj/u_wb_host/_2670_ (net)
+                  0.11    0.00   14.70 v mprj/u_wb_host/fanout363/A (sky130_fd_sc_hd__buf_2)
+                  0.12    0.24   14.94 v mprj/u_wb_host/fanout363/X (sky130_fd_sc_hd__buf_2)
+    10    0.05                           mprj/u_wb_host/net363 (net)
+                  0.12    0.00   14.95 v mprj/u_wb_host/fanout361/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.25   15.20 v mprj/u_wb_host/fanout361/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net361 (net)
+                  0.11    0.01   15.21 v mprj/u_wb_host/fanout360/A (sky130_fd_sc_hd__buf_4)
+                  0.10    0.24   15.45 v mprj/u_wb_host/fanout360/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_wb_host/net360 (net)
+                  0.10    0.01   15.45 v mprj/u_wb_host/_5423_/S (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.34   15.79 v mprj/u_wb_host/_5423_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_1053_ (net)
+                  0.06    0.00   15.79 v mprj/u_wb_host/_6564_/D (sky130_fd_sc_hd__dfstp_1)
+                                 15.79   data arrival time
+
+                         25.00   25.00   clock wb_clk (rise edge)
+                          0.00   25.00   clock source latency
+                  1.00    0.00   25.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09   29.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00   29.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27   29.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03   29.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37   29.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20   30.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35   30.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01   30.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   30.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00   30.65 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15   30.80 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00   30.80 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   30.94 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_0_0_wbm_clk_i (net)
+                  0.06    0.00   30.94 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.22    0.25   31.19 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    18    0.13                           mprj/u_wb_host/clknet_3_0_0_wbm_clk_i (net)
+                  0.22    0.01   31.19 ^ mprj/u_wb_host/clkbuf_leaf_63_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   31.38 ^ mprj/u_wb_host/clkbuf_leaf_63_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.04                           mprj/u_wb_host/clknet_leaf_63_wbm_clk_i (net)
+                  0.06    0.00   31.38 ^ mprj/u_wb_host/_6564_/CLK (sky130_fd_sc_hd__dfstp_1)
+                         -0.25   31.13   clock uncertainty
+                          0.95   32.08   clock reconvergence pessimism
+                         -0.07   32.02   library setup time
+                                 32.02   data required time
+-----------------------------------------------------------------------------
+                                 32.02   data required time
+                                -15.79   data arrival time
+-----------------------------------------------------------------------------
+                                 16.23   slack (MET)
+
+
+Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
+Endpoint: mprj/u_wb_host/_6565_
+          (rising edge-triggered flip-flop clocked by wb_clk)
+Path Group: wb_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           soc/clknet_0_core_clk (net)
+                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_0_core_clk (net)
+                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_1_core_clk (net)
+                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_2_core_clk (net)
+                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_3_core_clk (net)
+                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_4_core_clk (net)
+                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           soc/clknet_1_1_5_core_clk (net)
+                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_1_1_6_core_clk (net)
+                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_2_3_0_core_clk (net)
+                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_2_3_1_core_clk (net)
+                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_0_core_clk (net)
+                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_1_core_clk (net)
+                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_3_7_2_core_clk (net)
+                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_4_14_0_core_clk (net)
+                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_5_28_0_core_clk (net)
+                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.26                           soc/clknet_5_28_1_core_clk (net)
+                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           soc/clknet_leaf_243_core_clk (net)
+                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.11    0.39    6.87 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
+     1    0.01                           soc/core.grant[0] (net)
+                  0.11    0.00    6.87 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
+                  0.16    0.21    7.08 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
+    10    0.10                           soc/net3544 (net)
+                  0.16    0.00    7.08 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
+                  0.22    0.26    7.34 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
+    14    0.11                           soc/net3546 (net)
+                  0.22    0.02    7.36 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
+                  0.31    0.36    7.72 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
+    17    0.11                           soc/net3545 (net)
+                  0.32    0.02    7.75 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
+                  0.09    0.11    7.86 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.01                           soc/_13629_ (net)
+                  0.09    0.00    7.86 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
+                  0.05    0.16    8.02 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
+     4    0.04                           soc/net3233 (net)
+                  0.05    0.00    8.02 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
+                  0.11    0.18    8.20 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
+    16    0.14                           soc/net3235 (net)
+                  0.12    0.03    8.23 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.19    8.42 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
+    12    0.06                           soc/net3231 (net)
+                  0.06    0.00    8.43 v soc/_14146_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.32    8.75 v soc/_14146_/X (sky130_fd_sc_hd__and2_2)
+    10    0.06                           soc/_13643_ (net)
+                  0.15    0.01    8.75 v soc/_14147_/C1 (sky130_fd_sc_hd__a221oi_4)
+                  0.73    0.63    9.38 ^ soc/_14147_/Y (sky130_fd_sc_hd__a221oi_4)
+     6    0.06                           soc/_13644_ (net)
+                  0.73    0.01    9.38 ^ soc/max_length2753/A (sky130_fd_sc_hd__buf_6)
+                  0.21    0.32    9.71 ^ soc/max_length2753/X (sky130_fd_sc_hd__buf_6)
+     9    0.10                           soc/net2753 (net)
+                  0.21    0.02    9.73 ^ soc/wire2751/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.32   10.05 ^ soc/wire2751/X (sky130_fd_sc_hd__buf_4)
+     4    0.09                           soc/net2751 (net)
+                  0.25    0.01   10.06 ^ soc/_14148_/A (sky130_fd_sc_hd__inv_12)
+                  0.09    0.09   10.15 v soc/_14148_/Y (sky130_fd_sc_hd__inv_12)
+     4    0.08                           soc/net634 (net)
+                  0.09    0.01   10.17 v soc/wire2404/A (sky130_fd_sc_hd__buf_12)
+                  0.10    0.19   10.36 v soc/wire2404/X (sky130_fd_sc_hd__buf_12)
+     7    0.17                           soc/net2404 (net)
+                  0.13    0.04   10.40 v soc/output634/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.16   10.56 v soc/output634/X (sky130_fd_sc_hd__buf_12)
+     3    0.01                           mprj_adr_o_core[2] (net)
+                  0.02    0.00   10.56 v mgmt_buffers/input410/A (sky130_fd_sc_hd__buf_6)
+                  0.04    0.13   10.69 v mgmt_buffers/input410/X (sky130_fd_sc_hd__buf_6)
+     2    0.03                           mgmt_buffers/net410 (net)
+                  0.04    0.00   10.69 v mgmt_buffers/wire1596/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.16   10.85 v mgmt_buffers/wire1596/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1596 (net)
+                  0.07    0.01   10.86 v mgmt_buffers/wire1595/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.20   11.06 v mgmt_buffers/wire1595/X (sky130_fd_sc_hd__buf_6)
+     2    0.11                           mgmt_buffers/net1595 (net)
+                  0.10    0.01   11.07 v mgmt_buffers/wire1594/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.19   11.25 v mgmt_buffers/wire1594/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1594 (net)
+                  0.07    0.01   11.26 v mgmt_buffers/wire1593/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   11.44 v mgmt_buffers/wire1593/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1593 (net)
+                  0.07    0.01   11.45 v mgmt_buffers/wire1592/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.17   11.62 v mgmt_buffers/wire1592/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1592 (net)
+                  0.07    0.01   11.63 v mgmt_buffers/_307_/B (sky130_fd_sc_hd__and2_4)
+                  0.06    0.21   11.83 v mgmt_buffers/_307_/X (sky130_fd_sc_hd__and2_4)
+     2    0.03                           mgmt_buffers/net870 (net)
+                  0.06    0.00   11.84 v mgmt_buffers/output870/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.12   11.96 v mgmt_buffers/output870/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_adr_o_user[2] (net)
+                  0.02    0.00   11.96 v mprj/u_rp_south/u_rp[14].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.16    0.23   12.19 v mprj/u_rp_south/u_rp[14].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.08                           mprj/u_rp_south/net70 (net)
+                  0.17    0.02   12.21 v mprj/u_rp_south/wire70/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.24   12.45 v mprj/u_rp_south/wire70/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[14] (net)
+                  0.44    0.21   12.66 v mprj/u_wb_host/input53/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.25   12.91 v mprj/u_wb_host/input53/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/net53 (net)
+                  0.07    0.00   12.91 v mprj/u_wb_host/_4045_/A (sky130_fd_sc_hd__or2_1)
+                  0.09    0.29   13.20 v mprj/u_wb_host/_4045_/X (sky130_fd_sc_hd__or2_1)
+     2    0.01                           mprj/u_wb_host/_2068_ (net)
+                  0.09    0.00   13.20 v mprj/u_wb_host/_4046_/B1 (sky130_fd_sc_hd__o21ai_4)
+                  0.35    0.16   13.37 ^ mprj/u_wb_host/_4046_/Y (sky130_fd_sc_hd__o21ai_4)
+     8    0.05                           mprj/u_wb_host/_2069_ (net)
+                  0.35    0.00   13.37 ^ mprj/u_wb_host/fanout515/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.33   13.70 ^ mprj/u_wb_host/fanout515/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net515 (net)
+                  0.21    0.00   13.70 ^ mprj/u_wb_host/_4071_/A (sky130_fd_sc_hd__nand2_2)
+                  0.23    0.24   13.95 v mprj/u_wb_host/_4071_/Y (sky130_fd_sc_hd__nand2_2)
+     6    0.05                           mprj/u_wb_host/_2087_ (net)
+                  0.23    0.00   13.95 v mprj/u_wb_host/fanout459/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.32   14.27 v mprj/u_wb_host/fanout459/X (sky130_fd_sc_hd__clkbuf_2)
+    12    0.05                           mprj/u_wb_host/net459 (net)
+                  0.19    0.00   14.27 v mprj/u_wb_host/_5404_/C (sky130_fd_sc_hd__or3_1)
+                  0.11    0.43   14.70 v mprj/u_wb_host/_5404_/X (sky130_fd_sc_hd__or3_1)
+     1    0.01                           mprj/u_wb_host/_2670_ (net)
+                  0.11    0.00   14.70 v mprj/u_wb_host/fanout363/A (sky130_fd_sc_hd__buf_2)
+                  0.12    0.24   14.94 v mprj/u_wb_host/fanout363/X (sky130_fd_sc_hd__buf_2)
+    10    0.05                           mprj/u_wb_host/net363 (net)
+                  0.12    0.00   14.95 v mprj/u_wb_host/fanout361/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.25   15.20 v mprj/u_wb_host/fanout361/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net361 (net)
+                  0.11    0.01   15.21 v mprj/u_wb_host/fanout360/A (sky130_fd_sc_hd__buf_4)
+                  0.10    0.24   15.45 v mprj/u_wb_host/fanout360/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_wb_host/net360 (net)
+                  0.10    0.01   15.45 v mprj/u_wb_host/_5424_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.33   15.79 v mprj/u_wb_host/_5424_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_1054_ (net)
+                  0.05    0.00   15.79 v mprj/u_wb_host/_6565_/D (sky130_fd_sc_hd__dfstp_1)
+                                 15.79   data arrival time
+
+                         25.00   25.00   clock wb_clk (rise edge)
+                          0.00   25.00   clock source latency
+                  1.00    0.00   25.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09   29.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00   29.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27   29.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03   29.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37   29.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20   30.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35   30.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01   30.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   30.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00   30.65 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15   30.80 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00   30.80 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   30.94 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_0_0_wbm_clk_i (net)
+                  0.06    0.00   30.94 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.22    0.25   31.19 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    18    0.13                           mprj/u_wb_host/clknet_3_0_0_wbm_clk_i (net)
+                  0.22    0.01   31.19 ^ mprj/u_wb_host/clkbuf_leaf_63_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   31.38 ^ mprj/u_wb_host/clkbuf_leaf_63_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.04                           mprj/u_wb_host/clknet_leaf_63_wbm_clk_i (net)
+                  0.06    0.00   31.38 ^ mprj/u_wb_host/_6565_/CLK (sky130_fd_sc_hd__dfstp_1)
+                         -0.25   31.13   clock uncertainty
+                          0.95   32.09   clock reconvergence pessimism
+                         -0.07   32.02   library setup time
+                                 32.02   data required time
+-----------------------------------------------------------------------------
+                                 32.02   data required time
+                                -15.79   data arrival time
+-----------------------------------------------------------------------------
+                                 16.23   slack (MET)
+
+
+Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
+Endpoint: mprj/u_wb_host/_6567_
+          (rising edge-triggered flip-flop clocked by wb_clk)
+Path Group: wb_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           soc/clknet_0_core_clk (net)
+                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_0_core_clk (net)
+                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_1_core_clk (net)
+                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_2_core_clk (net)
+                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_3_core_clk (net)
+                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_4_core_clk (net)
+                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           soc/clknet_1_1_5_core_clk (net)
+                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_1_1_6_core_clk (net)
+                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_2_3_0_core_clk (net)
+                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_2_3_1_core_clk (net)
+                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_0_core_clk (net)
+                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_1_core_clk (net)
+                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_3_7_2_core_clk (net)
+                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_4_14_0_core_clk (net)
+                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_5_28_0_core_clk (net)
+                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.26                           soc/clknet_5_28_1_core_clk (net)
+                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           soc/clknet_leaf_243_core_clk (net)
+                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.11    0.39    6.87 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
+     1    0.01                           soc/core.grant[0] (net)
+                  0.11    0.00    6.87 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
+                  0.16    0.21    7.08 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
+    10    0.10                           soc/net3544 (net)
+                  0.16    0.00    7.08 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
+                  0.22    0.26    7.34 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
+    14    0.11                           soc/net3546 (net)
+                  0.22    0.02    7.36 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
+                  0.31    0.36    7.72 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
+    17    0.11                           soc/net3545 (net)
+                  0.32    0.02    7.75 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
+                  0.09    0.11    7.86 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.01                           soc/_13629_ (net)
+                  0.09    0.00    7.86 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
+                  0.05    0.16    8.02 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
+     4    0.04                           soc/net3233 (net)
+                  0.05    0.00    8.02 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
+                  0.11    0.18    8.20 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
+    16    0.14                           soc/net3235 (net)
+                  0.12    0.03    8.23 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.19    8.42 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
+    12    0.06                           soc/net3231 (net)
+                  0.06    0.00    8.43 v soc/_14146_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.32    8.75 v soc/_14146_/X (sky130_fd_sc_hd__and2_2)
+    10    0.06                           soc/_13643_ (net)
+                  0.15    0.01    8.75 v soc/_14147_/C1 (sky130_fd_sc_hd__a221oi_4)
+                  0.73    0.63    9.38 ^ soc/_14147_/Y (sky130_fd_sc_hd__a221oi_4)
+     6    0.06                           soc/_13644_ (net)
+                  0.73    0.01    9.38 ^ soc/max_length2753/A (sky130_fd_sc_hd__buf_6)
+                  0.21    0.32    9.71 ^ soc/max_length2753/X (sky130_fd_sc_hd__buf_6)
+     9    0.10                           soc/net2753 (net)
+                  0.21    0.02    9.73 ^ soc/wire2751/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.32   10.05 ^ soc/wire2751/X (sky130_fd_sc_hd__buf_4)
+     4    0.09                           soc/net2751 (net)
+                  0.25    0.01   10.06 ^ soc/_14148_/A (sky130_fd_sc_hd__inv_12)
+                  0.09    0.09   10.15 v soc/_14148_/Y (sky130_fd_sc_hd__inv_12)
+     4    0.08                           soc/net634 (net)
+                  0.09    0.01   10.17 v soc/wire2404/A (sky130_fd_sc_hd__buf_12)
+                  0.10    0.19   10.36 v soc/wire2404/X (sky130_fd_sc_hd__buf_12)
+     7    0.17                           soc/net2404 (net)
+                  0.13    0.04   10.40 v soc/output634/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.16   10.56 v soc/output634/X (sky130_fd_sc_hd__buf_12)
+     3    0.01                           mprj_adr_o_core[2] (net)
+                  0.02    0.00   10.56 v mgmt_buffers/input410/A (sky130_fd_sc_hd__buf_6)
+                  0.04    0.13   10.69 v mgmt_buffers/input410/X (sky130_fd_sc_hd__buf_6)
+     2    0.03                           mgmt_buffers/net410 (net)
+                  0.04    0.00   10.69 v mgmt_buffers/wire1596/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.16   10.85 v mgmt_buffers/wire1596/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1596 (net)
+                  0.07    0.01   10.86 v mgmt_buffers/wire1595/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.20   11.06 v mgmt_buffers/wire1595/X (sky130_fd_sc_hd__buf_6)
+     2    0.11                           mgmt_buffers/net1595 (net)
+                  0.10    0.01   11.07 v mgmt_buffers/wire1594/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.19   11.25 v mgmt_buffers/wire1594/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1594 (net)
+                  0.07    0.01   11.26 v mgmt_buffers/wire1593/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   11.44 v mgmt_buffers/wire1593/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1593 (net)
+                  0.07    0.01   11.45 v mgmt_buffers/wire1592/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.17   11.62 v mgmt_buffers/wire1592/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1592 (net)
+                  0.07    0.01   11.63 v mgmt_buffers/_307_/B (sky130_fd_sc_hd__and2_4)
+                  0.06    0.21   11.83 v mgmt_buffers/_307_/X (sky130_fd_sc_hd__and2_4)
+     2    0.03                           mgmt_buffers/net870 (net)
+                  0.06    0.00   11.84 v mgmt_buffers/output870/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.12   11.96 v mgmt_buffers/output870/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_adr_o_user[2] (net)
+                  0.02    0.00   11.96 v mprj/u_rp_south/u_rp[14].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.16    0.23   12.19 v mprj/u_rp_south/u_rp[14].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.08                           mprj/u_rp_south/net70 (net)
+                  0.17    0.02   12.21 v mprj/u_rp_south/wire70/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.24   12.45 v mprj/u_rp_south/wire70/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[14] (net)
+                  0.44    0.21   12.66 v mprj/u_wb_host/input53/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.25   12.91 v mprj/u_wb_host/input53/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/net53 (net)
+                  0.07    0.00   12.91 v mprj/u_wb_host/_4045_/A (sky130_fd_sc_hd__or2_1)
+                  0.09    0.29   13.20 v mprj/u_wb_host/_4045_/X (sky130_fd_sc_hd__or2_1)
+     2    0.01                           mprj/u_wb_host/_2068_ (net)
+                  0.09    0.00   13.20 v mprj/u_wb_host/_4046_/B1 (sky130_fd_sc_hd__o21ai_4)
+                  0.35    0.16   13.37 ^ mprj/u_wb_host/_4046_/Y (sky130_fd_sc_hd__o21ai_4)
+     8    0.05                           mprj/u_wb_host/_2069_ (net)
+                  0.35    0.00   13.37 ^ mprj/u_wb_host/fanout515/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.33   13.70 ^ mprj/u_wb_host/fanout515/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net515 (net)
+                  0.21    0.00   13.70 ^ mprj/u_wb_host/_4071_/A (sky130_fd_sc_hd__nand2_2)
+                  0.23    0.24   13.95 v mprj/u_wb_host/_4071_/Y (sky130_fd_sc_hd__nand2_2)
+     6    0.05                           mprj/u_wb_host/_2087_ (net)
+                  0.23    0.00   13.95 v mprj/u_wb_host/fanout459/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.32   14.27 v mprj/u_wb_host/fanout459/X (sky130_fd_sc_hd__clkbuf_2)
+    12    0.05                           mprj/u_wb_host/net459 (net)
+                  0.19    0.00   14.27 v mprj/u_wb_host/_5404_/C (sky130_fd_sc_hd__or3_1)
+                  0.11    0.43   14.70 v mprj/u_wb_host/_5404_/X (sky130_fd_sc_hd__or3_1)
+     1    0.01                           mprj/u_wb_host/_2670_ (net)
+                  0.11    0.00   14.70 v mprj/u_wb_host/fanout363/A (sky130_fd_sc_hd__buf_2)
+                  0.12    0.24   14.94 v mprj/u_wb_host/fanout363/X (sky130_fd_sc_hd__buf_2)
+    10    0.05                           mprj/u_wb_host/net363 (net)
+                  0.12    0.00   14.95 v mprj/u_wb_host/fanout361/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.25   15.20 v mprj/u_wb_host/fanout361/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net361 (net)
+                  0.11    0.01   15.21 v mprj/u_wb_host/fanout360/A (sky130_fd_sc_hd__buf_4)
+                  0.10    0.24   15.45 v mprj/u_wb_host/fanout360/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_wb_host/net360 (net)
+                  0.10    0.00   15.45 v mprj/u_wb_host/_5426_/S (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.34   15.79 v mprj/u_wb_host/_5426_/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_wb_host/_1056_ (net)
-                  0.05    0.00   15.09 v mprj/u_wb_host/_6573_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 15.09   data arrival time
+                  0.06    0.00   15.79 v mprj/u_wb_host/_6567_/D (sky130_fd_sc_hd__dfstp_1)
+                                 15.79   data arrival time
 
                          25.00   25.00   clock wb_clk (rise edge)
                           0.00   25.00   clock source latency
@@ -157647,37 +180838,2209 @@
                   0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
      2    0.05                           mgmt_buffers/net1453 (net)
                   0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10   29.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00   29.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13   29.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00   29.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14   29.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.02    0.09   29.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00   29.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27   29.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03   29.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37   29.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20   30.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35   30.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01   30.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   30.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00   30.65 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15   30.80 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00   29.51 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   29.65 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.00   30.80 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   30.94 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      2    0.02                           mprj/u_wb_host/clknet_2_0_0_wbm_clk_i (net)
-                  0.05    0.00   29.65 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.21    0.24   29.89 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.12                           mprj/u_wb_host/clknet_3_0_0_wbm_clk_i (net)
-                  0.21    0.00   29.90 ^ mprj/u_wb_host/clkbuf_leaf_2_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.20   30.09 ^ mprj/u_wb_host/clkbuf_leaf_2_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    15    0.05                           mprj/u_wb_host/clknet_leaf_2_wbm_clk_i (net)
-                  0.07    0.00   30.09 ^ mprj/u_wb_host/_6573_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   29.84   clock uncertainty
-                          0.95   30.79   clock reconvergence pessimism
-                         -0.11   30.68   library setup time
-                                 30.68   data required time
+                  0.06    0.00   30.94 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.22    0.25   31.19 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    18    0.13                           mprj/u_wb_host/clknet_3_0_0_wbm_clk_i (net)
+                  0.22    0.01   31.19 ^ mprj/u_wb_host/clkbuf_leaf_2_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20   31.39 ^ mprj/u_wb_host/clkbuf_leaf_2_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    14    0.05                           mprj/u_wb_host/clknet_leaf_2_wbm_clk_i (net)
+                  0.07    0.00   31.39 ^ mprj/u_wb_host/_6567_/CLK (sky130_fd_sc_hd__dfstp_1)
+                         -0.25   31.14   clock uncertainty
+                          0.95   32.09   clock reconvergence pessimism
+                         -0.07   32.03   library setup time
+                                 32.03   data required time
 -----------------------------------------------------------------------------
-                                 30.68   data required time
-                                -15.09   data arrival time
+                                 32.03   data required time
+                                -15.79   data arrival time
 -----------------------------------------------------------------------------
-                                 15.59   slack (MET)
+                                 16.24   slack (MET)
 
 
 Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
-Endpoint: mprj/u_wb_host/_6554_
+Endpoint: mprj/u_wb_host/_5514_
+          (rising edge-triggered flip-flop clocked by wb_clk)
+Path Group: wb_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           soc/clknet_0_core_clk (net)
+                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_0_core_clk (net)
+                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_1_core_clk (net)
+                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_2_core_clk (net)
+                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_3_core_clk (net)
+                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_4_core_clk (net)
+                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           soc/clknet_1_1_5_core_clk (net)
+                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_1_1_6_core_clk (net)
+                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_2_3_0_core_clk (net)
+                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_2_3_1_core_clk (net)
+                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_0_core_clk (net)
+                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_1_core_clk (net)
+                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_3_7_2_core_clk (net)
+                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_4_14_0_core_clk (net)
+                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_5_28_0_core_clk (net)
+                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.26                           soc/clknet_5_28_1_core_clk (net)
+                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           soc/clknet_leaf_243_core_clk (net)
+                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.36    6.84 v soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
+     1    0.01                           soc/core.grant[0] (net)
+                  0.06    0.00    6.84 v soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
+                  0.08    0.18    7.02 v soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
+    10    0.09                           soc/net3544 (net)
+                  0.08    0.00    7.02 v soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.20    7.21 v soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
+    14    0.11                           soc/net3546 (net)
+                  0.10    0.02    7.23 v soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
+                  0.14    0.27    7.50 v soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
+    17    0.11                           soc/net3545 (net)
+                  0.15    0.02    7.52 v soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
+                  0.15    0.18    7.70 ^ soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.01                           soc/_13629_ (net)
+                  0.15    0.00    7.70 ^ soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.17    7.87 ^ soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
+     4    0.04                           soc/net3233 (net)
+                  0.09    0.00    7.87 ^ soc/wire3235/A (sky130_fd_sc_hd__buf_8)
+                  0.24    0.25    8.12 ^ soc/wire3235/X (sky130_fd_sc_hd__buf_8)
+    16    0.15                           soc/net3235 (net)
+                  0.25    0.03    8.15 ^ soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.22    8.37 ^ soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
+    12    0.06                           soc/net3231 (net)
+                  0.13    0.00    8.38 ^ soc/wire3232/A (sky130_fd_sc_hd__buf_12)
+                  0.24    0.27    8.64 ^ soc/wire3232/X (sky130_fd_sc_hd__buf_12)
+    30    0.21                           soc/net3232 (net)
+                  0.25    0.02    8.66 ^ soc/_14220_/B2 (sky130_fd_sc_hd__a221oi_2)
+                  0.11    0.14    8.80 v soc/_14220_/Y (sky130_fd_sc_hd__a221oi_2)
+     1    0.02                           soc/_13683_ (net)
+                  0.11    0.00    8.80 v soc/wire2621/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.26    9.06 v soc/wire2621/X (sky130_fd_sc_hd__buf_4)
+    12    0.10                           soc/net2621 (net)
+                  0.13    0.02    9.07 v soc/_14221_/A (sky130_fd_sc_hd__inv_2)
+                  0.28    0.27    9.34 ^ soc/_14221_/Y (sky130_fd_sc_hd__inv_2)
+     6    0.06                           soc/net623 (net)
+                  0.28    0.01    9.35 ^ soc/output623/A (sky130_fd_sc_hd__buf_12)
+                  0.03    0.14    9.50 ^ soc/output623/X (sky130_fd_sc_hd__buf_12)
+     3    0.01                           mprj_adr_o_core[19] (net)
+                  0.03    0.00    9.50 ^ mgmt_buffers/input398/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.16    9.66 ^ mgmt_buffers/input398/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net398 (net)
+                  0.13    0.01    9.67 ^ mgmt_buffers/wire1647/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.21    9.87 ^ mgmt_buffers/wire1647/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1647 (net)
+                  0.15    0.01    9.89 ^ mgmt_buffers/wire1646/A (sky130_fd_sc_hd__buf_6)
+                  0.14    0.21   10.09 ^ mgmt_buffers/wire1646/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1646 (net)
+                  0.14    0.01   10.10 ^ mgmt_buffers/wire1645/A (sky130_fd_sc_hd__buf_6)
+                  0.14    0.21   10.31 ^ mgmt_buffers/wire1645/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1645 (net)
+                  0.14    0.01   10.32 ^ mgmt_buffers/_324_/B (sky130_fd_sc_hd__and2_4)
+                  0.16    0.27   10.59 ^ mgmt_buffers/_324_/X (sky130_fd_sc_hd__and2_4)
+     2    0.05                           mgmt_buffers/net858 (net)
+                  0.16    0.00   10.59 ^ mgmt_buffers/wire1402/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.20   10.79 ^ mgmt_buffers/wire1402/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1402 (net)
+                  0.13    0.01   10.80 ^ mgmt_buffers/output858/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.11   10.90 ^ mgmt_buffers/output858/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_adr_o_user[19] (net)
+                  0.02    0.00   10.90 ^ mprj/u_rp_south/u_rp[67].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.26    0.25   11.16 ^ mprj/u_rp_south/u_rp[67].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.05                           mprj/u_rp_south/net25 (net)
+                  0.26    0.01   11.17 ^ mprj/u_rp_south/wire25/A (sky130_fd_sc_hd__buf_4)
+                  0.77    0.57   11.73 ^ mprj/u_rp_south/wire25/X (sky130_fd_sc_hd__buf_4)
+     2    0.28                           mprj/ch_out_south[67] (net)
+                  0.87    0.23   11.96 ^ mprj/u_wb_host/input51/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.24   12.20 ^ mprj/u_wb_host/input51/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/net51 (net)
+                  0.15    0.00   12.20 ^ mprj/u_wb_host/_2786_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.27    0.33   12.53 ^ mprj/u_wb_host/_2786_/X (sky130_fd_sc_hd__mux2_1)
+     4    0.03                           mprj/u_wb_host/_1192_ (net)
+                  0.27    0.00   12.53 ^ mprj/u_wb_host/_2787_/B (sky130_fd_sc_hd__and2_2)
+                  0.21    0.34   12.87 ^ mprj/u_wb_host/_2787_/X (sky130_fd_sc_hd__and2_2)
+     8    0.04                           mprj/u_wb_host/_1193_ (net)
+                  0.21    0.00   12.87 ^ mprj/u_wb_host/fanout486/A (sky130_fd_sc_hd__buf_2)
+                  0.27    0.34   13.21 ^ mprj/u_wb_host/fanout486/X (sky130_fd_sc_hd__buf_2)
+    20    0.06                           mprj/u_wb_host/net486 (net)
+                  0.27    0.00   13.21 ^ mprj/u_wb_host/_2788_/A (sky130_fd_sc_hd__inv_2)
+                  0.07    0.08   13.29 v mprj/u_wb_host/_2788_/Y (sky130_fd_sc_hd__inv_2)
+     2    0.01                           mprj/u_wb_host/_1194_ (net)
+                  0.07    0.00   13.29 v mprj/u_wb_host/_2790_/A (sky130_fd_sc_hd__or2_1)
+                  0.12    0.32   13.61 v mprj/u_wb_host/_2790_/X (sky130_fd_sc_hd__or2_1)
+     3    0.02                           mprj/u_wb_host/_1196_ (net)
+                  0.12    0.00   13.61 v mprj/u_wb_host/fanout444/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.11    0.26   13.87 v mprj/u_wb_host/fanout444/X (sky130_fd_sc_hd__clkbuf_4)
+    18    0.06                           mprj/u_wb_host/net444 (net)
+                  0.11    0.00   13.87 v mprj/u_wb_host/fanout443/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.13    0.27   14.14 v mprj/u_wb_host/fanout443/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.07                           mprj/u_wb_host/net443 (net)
+                  0.13    0.00   14.15 v mprj/u_wb_host/_2791_/B (sky130_fd_sc_hd__nor2_1)
+                  0.27    0.27   14.42 ^ mprj/u_wb_host/_2791_/Y (sky130_fd_sc_hd__nor2_1)
+     3    0.01                           mprj/u_wb_host/_0000_ (net)
+                  0.27    0.00   14.42 ^ mprj/u_wb_host/_2815_/B1 (sky130_fd_sc_hd__a41o_2)
+                  0.18    0.27   14.69 ^ mprj/u_wb_host/_2815_/X (sky130_fd_sc_hd__a41o_2)
+     6    0.03                           mprj/u_wb_host/_1220_ (net)
+                  0.18    0.00   14.69 ^ mprj/u_wb_host/fanout359/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.21    0.32   15.00 ^ mprj/u_wb_host/fanout359/X (sky130_fd_sc_hd__clkbuf_4)
+    18    0.07                           mprj/u_wb_host/net359 (net)
+                  0.21    0.00   15.00 ^ mprj/u_wb_host/fanout358/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.29   15.30 ^ mprj/u_wb_host/fanout358/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_wb_host/net358 (net)
+                  0.21    0.00   15.30 ^ mprj/u_wb_host/_3183_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.35   15.65 v mprj/u_wb_host/_3183_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0052_ (net)
+                  0.05    0.00   15.65 v mprj/u_wb_host/_5514_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 15.65   data arrival time
+
+                         25.00   25.00   clock wb_clk (rise edge)
+                          0.00   25.00   clock source latency
+                  1.00    0.00   25.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09   29.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00   29.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27   29.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03   29.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37   29.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20   30.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35   30.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01   30.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   30.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00   30.65 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   30.79 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
+                  0.06    0.00   30.79 ^ mprj/u_wb_host/clkbuf_2_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   30.92 ^ mprj/u_wb_host/clkbuf_2_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_2_0_wbm_clk_i (net)
+                  0.06    0.00   30.92 ^ mprj/u_wb_host/clkbuf_3_4_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.19    0.23   31.16 ^ mprj/u_wb_host/clkbuf_3_4_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_wb_host/clknet_3_4_0_wbm_clk_i (net)
+                  0.19    0.00   31.16 ^ mprj/u_wb_host/clkbuf_leaf_54_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17   31.33 ^ mprj/u_wb_host/clkbuf_leaf_54_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.02                           mprj/u_wb_host/clknet_leaf_54_wbm_clk_i (net)
+                  0.04    0.00   31.33 ^ mprj/u_wb_host/_5514_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   31.08   clock uncertainty
+                          0.95   32.03   clock reconvergence pessimism
+                         -0.12   31.91   library setup time
+                                 31.91   data required time
+-----------------------------------------------------------------------------
+                                 31.91   data required time
+                                -15.65   data arrival time
+-----------------------------------------------------------------------------
+                                 16.26   slack (MET)
+
+
+Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
+Endpoint: mprj/u_wb_host/_5536_
+          (rising edge-triggered flip-flop clocked by wb_clk)
+Path Group: wb_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           soc/clknet_0_core_clk (net)
+                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_0_core_clk (net)
+                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_1_core_clk (net)
+                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_2_core_clk (net)
+                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_3_core_clk (net)
+                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_4_core_clk (net)
+                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           soc/clknet_1_1_5_core_clk (net)
+                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_1_1_6_core_clk (net)
+                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_2_3_0_core_clk (net)
+                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_2_3_1_core_clk (net)
+                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_0_core_clk (net)
+                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_1_core_clk (net)
+                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_3_7_2_core_clk (net)
+                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_4_14_0_core_clk (net)
+                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_5_28_0_core_clk (net)
+                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.26                           soc/clknet_5_28_1_core_clk (net)
+                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           soc/clknet_leaf_243_core_clk (net)
+                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.36    6.84 v soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
+     1    0.01                           soc/core.grant[0] (net)
+                  0.06    0.00    6.84 v soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
+                  0.08    0.18    7.02 v soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
+    10    0.09                           soc/net3544 (net)
+                  0.08    0.00    7.02 v soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.20    7.21 v soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
+    14    0.11                           soc/net3546 (net)
+                  0.10    0.02    7.23 v soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
+                  0.14    0.27    7.50 v soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
+    17    0.11                           soc/net3545 (net)
+                  0.15    0.02    7.52 v soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
+                  0.15    0.18    7.70 ^ soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.01                           soc/_13629_ (net)
+                  0.15    0.00    7.70 ^ soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.17    7.87 ^ soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
+     4    0.04                           soc/net3233 (net)
+                  0.09    0.00    7.87 ^ soc/wire3235/A (sky130_fd_sc_hd__buf_8)
+                  0.24    0.25    8.12 ^ soc/wire3235/X (sky130_fd_sc_hd__buf_8)
+    16    0.15                           soc/net3235 (net)
+                  0.25    0.03    8.15 ^ soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.22    8.37 ^ soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
+    12    0.06                           soc/net3231 (net)
+                  0.13    0.00    8.38 ^ soc/wire3232/A (sky130_fd_sc_hd__buf_12)
+                  0.24    0.27    8.64 ^ soc/wire3232/X (sky130_fd_sc_hd__buf_12)
+    30    0.21                           soc/net3232 (net)
+                  0.25    0.02    8.66 ^ soc/_14220_/B2 (sky130_fd_sc_hd__a221oi_2)
+                  0.11    0.14    8.80 v soc/_14220_/Y (sky130_fd_sc_hd__a221oi_2)
+     1    0.02                           soc/_13683_ (net)
+                  0.11    0.00    8.80 v soc/wire2621/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.26    9.06 v soc/wire2621/X (sky130_fd_sc_hd__buf_4)
+    12    0.10                           soc/net2621 (net)
+                  0.13    0.02    9.07 v soc/_14221_/A (sky130_fd_sc_hd__inv_2)
+                  0.28    0.27    9.34 ^ soc/_14221_/Y (sky130_fd_sc_hd__inv_2)
+     6    0.06                           soc/net623 (net)
+                  0.28    0.01    9.35 ^ soc/output623/A (sky130_fd_sc_hd__buf_12)
+                  0.03    0.14    9.50 ^ soc/output623/X (sky130_fd_sc_hd__buf_12)
+     3    0.01                           mprj_adr_o_core[19] (net)
+                  0.03    0.00    9.50 ^ mgmt_buffers/input398/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.16    9.66 ^ mgmt_buffers/input398/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net398 (net)
+                  0.13    0.01    9.67 ^ mgmt_buffers/wire1647/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.21    9.87 ^ mgmt_buffers/wire1647/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1647 (net)
+                  0.15    0.01    9.89 ^ mgmt_buffers/wire1646/A (sky130_fd_sc_hd__buf_6)
+                  0.14    0.21   10.09 ^ mgmt_buffers/wire1646/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1646 (net)
+                  0.14    0.01   10.10 ^ mgmt_buffers/wire1645/A (sky130_fd_sc_hd__buf_6)
+                  0.14    0.21   10.31 ^ mgmt_buffers/wire1645/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1645 (net)
+                  0.14    0.01   10.32 ^ mgmt_buffers/_324_/B (sky130_fd_sc_hd__and2_4)
+                  0.16    0.27   10.59 ^ mgmt_buffers/_324_/X (sky130_fd_sc_hd__and2_4)
+     2    0.05                           mgmt_buffers/net858 (net)
+                  0.16    0.00   10.59 ^ mgmt_buffers/wire1402/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.20   10.79 ^ mgmt_buffers/wire1402/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1402 (net)
+                  0.13    0.01   10.80 ^ mgmt_buffers/output858/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.11   10.90 ^ mgmt_buffers/output858/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_adr_o_user[19] (net)
+                  0.02    0.00   10.90 ^ mprj/u_rp_south/u_rp[67].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.26    0.25   11.16 ^ mprj/u_rp_south/u_rp[67].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.05                           mprj/u_rp_south/net25 (net)
+                  0.26    0.01   11.17 ^ mprj/u_rp_south/wire25/A (sky130_fd_sc_hd__buf_4)
+                  0.77    0.57   11.73 ^ mprj/u_rp_south/wire25/X (sky130_fd_sc_hd__buf_4)
+     2    0.28                           mprj/ch_out_south[67] (net)
+                  0.87    0.23   11.96 ^ mprj/u_wb_host/input51/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.24   12.20 ^ mprj/u_wb_host/input51/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/net51 (net)
+                  0.15    0.00   12.20 ^ mprj/u_wb_host/_2786_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.27    0.33   12.53 ^ mprj/u_wb_host/_2786_/X (sky130_fd_sc_hd__mux2_1)
+     4    0.03                           mprj/u_wb_host/_1192_ (net)
+                  0.27    0.00   12.53 ^ mprj/u_wb_host/_2787_/B (sky130_fd_sc_hd__and2_2)
+                  0.21    0.34   12.87 ^ mprj/u_wb_host/_2787_/X (sky130_fd_sc_hd__and2_2)
+     8    0.04                           mprj/u_wb_host/_1193_ (net)
+                  0.21    0.00   12.87 ^ mprj/u_wb_host/fanout486/A (sky130_fd_sc_hd__buf_2)
+                  0.27    0.34   13.21 ^ mprj/u_wb_host/fanout486/X (sky130_fd_sc_hd__buf_2)
+    20    0.06                           mprj/u_wb_host/net486 (net)
+                  0.27    0.00   13.21 ^ mprj/u_wb_host/_2788_/A (sky130_fd_sc_hd__inv_2)
+                  0.07    0.08   13.29 v mprj/u_wb_host/_2788_/Y (sky130_fd_sc_hd__inv_2)
+     2    0.01                           mprj/u_wb_host/_1194_ (net)
+                  0.07    0.00   13.29 v mprj/u_wb_host/_2790_/A (sky130_fd_sc_hd__or2_1)
+                  0.12    0.32   13.61 v mprj/u_wb_host/_2790_/X (sky130_fd_sc_hd__or2_1)
+     3    0.02                           mprj/u_wb_host/_1196_ (net)
+                  0.12    0.00   13.61 v mprj/u_wb_host/fanout444/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.11    0.26   13.87 v mprj/u_wb_host/fanout444/X (sky130_fd_sc_hd__clkbuf_4)
+    18    0.06                           mprj/u_wb_host/net444 (net)
+                  0.11    0.00   13.87 v mprj/u_wb_host/fanout443/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.13    0.27   14.14 v mprj/u_wb_host/fanout443/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.07                           mprj/u_wb_host/net443 (net)
+                  0.13    0.00   14.15 v mprj/u_wb_host/_2791_/B (sky130_fd_sc_hd__nor2_1)
+                  0.27    0.27   14.42 ^ mprj/u_wb_host/_2791_/Y (sky130_fd_sc_hd__nor2_1)
+     3    0.01                           mprj/u_wb_host/_0000_ (net)
+                  0.27    0.00   14.42 ^ mprj/u_wb_host/_2815_/B1 (sky130_fd_sc_hd__a41o_2)
+                  0.18    0.27   14.69 ^ mprj/u_wb_host/_2815_/X (sky130_fd_sc_hd__a41o_2)
+     6    0.03                           mprj/u_wb_host/_1220_ (net)
+                  0.18    0.00   14.69 ^ mprj/u_wb_host/fanout359/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.21    0.32   15.00 ^ mprj/u_wb_host/fanout359/X (sky130_fd_sc_hd__clkbuf_4)
+    18    0.07                           mprj/u_wb_host/net359 (net)
+                  0.21    0.00   15.00 ^ mprj/u_wb_host/fanout358/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.29   15.30 ^ mprj/u_wb_host/fanout358/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_wb_host/net358 (net)
+                  0.21    0.00   15.30 ^ mprj/u_wb_host/_3271_/S (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.35   15.65 v mprj/u_wb_host/_3271_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0074_ (net)
+                  0.06    0.00   15.65 v mprj/u_wb_host/_5536_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 15.65   data arrival time
+
+                         25.00   25.00   clock wb_clk (rise edge)
+                          0.00   25.00   clock source latency
+                  1.00    0.00   25.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09   29.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00   29.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27   29.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03   29.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37   29.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20   30.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35   30.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01   30.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   30.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00   30.65 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   30.79 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
+                  0.06    0.00   30.79 ^ mprj/u_wb_host/clkbuf_2_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   30.92 ^ mprj/u_wb_host/clkbuf_2_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_2_0_wbm_clk_i (net)
+                  0.06    0.00   30.92 ^ mprj/u_wb_host/clkbuf_3_4_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.19    0.23   31.16 ^ mprj/u_wb_host/clkbuf_3_4_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_wb_host/clknet_3_4_0_wbm_clk_i (net)
+                  0.19    0.00   31.16 ^ mprj/u_wb_host/clkbuf_leaf_51_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17   31.33 ^ mprj/u_wb_host/clkbuf_leaf_51_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     7    0.03                           mprj/u_wb_host/clknet_leaf_51_wbm_clk_i (net)
+                  0.05    0.00   31.33 ^ mprj/u_wb_host/_5536_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   31.08   clock uncertainty
+                          0.95   32.03   clock reconvergence pessimism
+                         -0.12   31.91   library setup time
+                                 31.91   data required time
+-----------------------------------------------------------------------------
+                                 31.91   data required time
+                                -15.65   data arrival time
+-----------------------------------------------------------------------------
+                                 16.26   slack (MET)
+
+
+Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
+Endpoint: mprj/u_wb_host/_5511_
+          (rising edge-triggered flip-flop clocked by wb_clk)
+Path Group: wb_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           soc/clknet_0_core_clk (net)
+                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_0_core_clk (net)
+                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_1_core_clk (net)
+                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_2_core_clk (net)
+                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_3_core_clk (net)
+                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_4_core_clk (net)
+                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           soc/clknet_1_1_5_core_clk (net)
+                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_1_1_6_core_clk (net)
+                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_2_3_0_core_clk (net)
+                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_2_3_1_core_clk (net)
+                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_0_core_clk (net)
+                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_1_core_clk (net)
+                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_3_7_2_core_clk (net)
+                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_4_14_0_core_clk (net)
+                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_5_28_0_core_clk (net)
+                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.26                           soc/clknet_5_28_1_core_clk (net)
+                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           soc/clknet_leaf_243_core_clk (net)
+                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.36    6.84 v soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
+     1    0.01                           soc/core.grant[0] (net)
+                  0.06    0.00    6.84 v soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
+                  0.08    0.18    7.02 v soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
+    10    0.09                           soc/net3544 (net)
+                  0.08    0.00    7.02 v soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.20    7.21 v soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
+    14    0.11                           soc/net3546 (net)
+                  0.10    0.02    7.23 v soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
+                  0.14    0.27    7.50 v soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
+    17    0.11                           soc/net3545 (net)
+                  0.15    0.02    7.52 v soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
+                  0.15    0.18    7.70 ^ soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.01                           soc/_13629_ (net)
+                  0.15    0.00    7.70 ^ soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.17    7.87 ^ soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
+     4    0.04                           soc/net3233 (net)
+                  0.09    0.00    7.87 ^ soc/wire3235/A (sky130_fd_sc_hd__buf_8)
+                  0.24    0.25    8.12 ^ soc/wire3235/X (sky130_fd_sc_hd__buf_8)
+    16    0.15                           soc/net3235 (net)
+                  0.25    0.03    8.15 ^ soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.22    8.37 ^ soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
+    12    0.06                           soc/net3231 (net)
+                  0.13    0.00    8.38 ^ soc/wire3232/A (sky130_fd_sc_hd__buf_12)
+                  0.24    0.27    8.64 ^ soc/wire3232/X (sky130_fd_sc_hd__buf_12)
+    30    0.21                           soc/net3232 (net)
+                  0.25    0.02    8.66 ^ soc/_14220_/B2 (sky130_fd_sc_hd__a221oi_2)
+                  0.11    0.14    8.80 v soc/_14220_/Y (sky130_fd_sc_hd__a221oi_2)
+     1    0.02                           soc/_13683_ (net)
+                  0.11    0.00    8.80 v soc/wire2621/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.26    9.06 v soc/wire2621/X (sky130_fd_sc_hd__buf_4)
+    12    0.10                           soc/net2621 (net)
+                  0.13    0.02    9.07 v soc/_14221_/A (sky130_fd_sc_hd__inv_2)
+                  0.28    0.27    9.34 ^ soc/_14221_/Y (sky130_fd_sc_hd__inv_2)
+     6    0.06                           soc/net623 (net)
+                  0.28    0.01    9.35 ^ soc/output623/A (sky130_fd_sc_hd__buf_12)
+                  0.03    0.14    9.50 ^ soc/output623/X (sky130_fd_sc_hd__buf_12)
+     3    0.01                           mprj_adr_o_core[19] (net)
+                  0.03    0.00    9.50 ^ mgmt_buffers/input398/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.16    9.66 ^ mgmt_buffers/input398/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net398 (net)
+                  0.13    0.01    9.67 ^ mgmt_buffers/wire1647/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.21    9.87 ^ mgmt_buffers/wire1647/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1647 (net)
+                  0.15    0.01    9.89 ^ mgmt_buffers/wire1646/A (sky130_fd_sc_hd__buf_6)
+                  0.14    0.21   10.09 ^ mgmt_buffers/wire1646/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1646 (net)
+                  0.14    0.01   10.10 ^ mgmt_buffers/wire1645/A (sky130_fd_sc_hd__buf_6)
+                  0.14    0.21   10.31 ^ mgmt_buffers/wire1645/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1645 (net)
+                  0.14    0.01   10.32 ^ mgmt_buffers/_324_/B (sky130_fd_sc_hd__and2_4)
+                  0.16    0.27   10.59 ^ mgmt_buffers/_324_/X (sky130_fd_sc_hd__and2_4)
+     2    0.05                           mgmt_buffers/net858 (net)
+                  0.16    0.00   10.59 ^ mgmt_buffers/wire1402/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.20   10.79 ^ mgmt_buffers/wire1402/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1402 (net)
+                  0.13    0.01   10.80 ^ mgmt_buffers/output858/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.11   10.90 ^ mgmt_buffers/output858/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_adr_o_user[19] (net)
+                  0.02    0.00   10.90 ^ mprj/u_rp_south/u_rp[67].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.26    0.25   11.16 ^ mprj/u_rp_south/u_rp[67].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.05                           mprj/u_rp_south/net25 (net)
+                  0.26    0.01   11.17 ^ mprj/u_rp_south/wire25/A (sky130_fd_sc_hd__buf_4)
+                  0.77    0.57   11.73 ^ mprj/u_rp_south/wire25/X (sky130_fd_sc_hd__buf_4)
+     2    0.28                           mprj/ch_out_south[67] (net)
+                  0.87    0.23   11.96 ^ mprj/u_wb_host/input51/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.24   12.20 ^ mprj/u_wb_host/input51/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/net51 (net)
+                  0.15    0.00   12.20 ^ mprj/u_wb_host/_2786_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.27    0.33   12.53 ^ mprj/u_wb_host/_2786_/X (sky130_fd_sc_hd__mux2_1)
+     4    0.03                           mprj/u_wb_host/_1192_ (net)
+                  0.27    0.00   12.53 ^ mprj/u_wb_host/_2787_/B (sky130_fd_sc_hd__and2_2)
+                  0.21    0.34   12.87 ^ mprj/u_wb_host/_2787_/X (sky130_fd_sc_hd__and2_2)
+     8    0.04                           mprj/u_wb_host/_1193_ (net)
+                  0.21    0.00   12.87 ^ mprj/u_wb_host/fanout486/A (sky130_fd_sc_hd__buf_2)
+                  0.27    0.34   13.21 ^ mprj/u_wb_host/fanout486/X (sky130_fd_sc_hd__buf_2)
+    20    0.06                           mprj/u_wb_host/net486 (net)
+                  0.27    0.00   13.21 ^ mprj/u_wb_host/_2788_/A (sky130_fd_sc_hd__inv_2)
+                  0.07    0.08   13.29 v mprj/u_wb_host/_2788_/Y (sky130_fd_sc_hd__inv_2)
+     2    0.01                           mprj/u_wb_host/_1194_ (net)
+                  0.07    0.00   13.29 v mprj/u_wb_host/_2790_/A (sky130_fd_sc_hd__or2_1)
+                  0.12    0.32   13.61 v mprj/u_wb_host/_2790_/X (sky130_fd_sc_hd__or2_1)
+     3    0.02                           mprj/u_wb_host/_1196_ (net)
+                  0.12    0.00   13.61 v mprj/u_wb_host/fanout444/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.11    0.26   13.87 v mprj/u_wb_host/fanout444/X (sky130_fd_sc_hd__clkbuf_4)
+    18    0.06                           mprj/u_wb_host/net444 (net)
+                  0.11    0.00   13.87 v mprj/u_wb_host/fanout443/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.13    0.27   14.14 v mprj/u_wb_host/fanout443/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.07                           mprj/u_wb_host/net443 (net)
+                  0.13    0.00   14.15 v mprj/u_wb_host/_2791_/B (sky130_fd_sc_hd__nor2_1)
+                  0.27    0.27   14.42 ^ mprj/u_wb_host/_2791_/Y (sky130_fd_sc_hd__nor2_1)
+     3    0.01                           mprj/u_wb_host/_0000_ (net)
+                  0.27    0.00   14.42 ^ mprj/u_wb_host/_2815_/B1 (sky130_fd_sc_hd__a41o_2)
+                  0.18    0.27   14.69 ^ mprj/u_wb_host/_2815_/X (sky130_fd_sc_hd__a41o_2)
+     6    0.03                           mprj/u_wb_host/_1220_ (net)
+                  0.18    0.00   14.69 ^ mprj/u_wb_host/fanout359/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.21    0.32   15.00 ^ mprj/u_wb_host/fanout359/X (sky130_fd_sc_hd__clkbuf_4)
+    18    0.07                           mprj/u_wb_host/net359 (net)
+                  0.21    0.00   15.00 ^ mprj/u_wb_host/fanout358/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.29   15.30 ^ mprj/u_wb_host/fanout358/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_wb_host/net358 (net)
+                  0.21    0.00   15.30 ^ mprj/u_wb_host/_3171_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.35   15.65 v mprj/u_wb_host/_3171_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0049_ (net)
+                  0.05    0.00   15.65 v mprj/u_wb_host/_5511_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 15.65   data arrival time
+
+                         25.00   25.00   clock wb_clk (rise edge)
+                          0.00   25.00   clock source latency
+                  1.00    0.00   25.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09   29.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00   29.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27   29.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03   29.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37   29.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20   30.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35   30.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01   30.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   30.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00   30.65 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   30.79 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
+                  0.06    0.00   30.79 ^ mprj/u_wb_host/clkbuf_2_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   30.92 ^ mprj/u_wb_host/clkbuf_2_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_2_0_wbm_clk_i (net)
+                  0.06    0.00   30.92 ^ mprj/u_wb_host/clkbuf_3_4_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.19    0.23   31.16 ^ mprj/u_wb_host/clkbuf_3_4_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_wb_host/clknet_3_4_0_wbm_clk_i (net)
+                  0.19    0.00   31.16 ^ mprj/u_wb_host/clkbuf_leaf_54_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17   31.33 ^ mprj/u_wb_host/clkbuf_leaf_54_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.02                           mprj/u_wb_host/clknet_leaf_54_wbm_clk_i (net)
+                  0.04    0.00   31.33 ^ mprj/u_wb_host/_5511_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   31.08   clock uncertainty
+                          0.95   32.03   clock reconvergence pessimism
+                         -0.12   31.91   library setup time
+                                 31.91   data required time
+-----------------------------------------------------------------------------
+                                 31.91   data required time
+                                -15.65   data arrival time
+-----------------------------------------------------------------------------
+                                 16.26   slack (MET)
+
+
+Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
+Endpoint: mprj/u_wb_host/_5517_
+          (rising edge-triggered flip-flop clocked by wb_clk)
+Path Group: wb_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           soc/clknet_0_core_clk (net)
+                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_0_core_clk (net)
+                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_1_core_clk (net)
+                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_2_core_clk (net)
+                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_3_core_clk (net)
+                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_4_core_clk (net)
+                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           soc/clknet_1_1_5_core_clk (net)
+                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_1_1_6_core_clk (net)
+                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_2_3_0_core_clk (net)
+                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_2_3_1_core_clk (net)
+                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_0_core_clk (net)
+                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_1_core_clk (net)
+                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_3_7_2_core_clk (net)
+                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_4_14_0_core_clk (net)
+                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_5_28_0_core_clk (net)
+                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.26                           soc/clknet_5_28_1_core_clk (net)
+                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           soc/clknet_leaf_243_core_clk (net)
+                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.36    6.84 v soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
+     1    0.01                           soc/core.grant[0] (net)
+                  0.06    0.00    6.84 v soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
+                  0.08    0.18    7.02 v soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
+    10    0.09                           soc/net3544 (net)
+                  0.08    0.00    7.02 v soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.20    7.21 v soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
+    14    0.11                           soc/net3546 (net)
+                  0.10    0.02    7.23 v soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
+                  0.14    0.27    7.50 v soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
+    17    0.11                           soc/net3545 (net)
+                  0.15    0.02    7.52 v soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
+                  0.15    0.18    7.70 ^ soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.01                           soc/_13629_ (net)
+                  0.15    0.00    7.70 ^ soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.17    7.87 ^ soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
+     4    0.04                           soc/net3233 (net)
+                  0.09    0.00    7.87 ^ soc/wire3235/A (sky130_fd_sc_hd__buf_8)
+                  0.24    0.25    8.12 ^ soc/wire3235/X (sky130_fd_sc_hd__buf_8)
+    16    0.15                           soc/net3235 (net)
+                  0.25    0.03    8.15 ^ soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.22    8.37 ^ soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
+    12    0.06                           soc/net3231 (net)
+                  0.13    0.00    8.38 ^ soc/wire3232/A (sky130_fd_sc_hd__buf_12)
+                  0.24    0.27    8.64 ^ soc/wire3232/X (sky130_fd_sc_hd__buf_12)
+    30    0.21                           soc/net3232 (net)
+                  0.25    0.02    8.66 ^ soc/_14220_/B2 (sky130_fd_sc_hd__a221oi_2)
+                  0.11    0.14    8.80 v soc/_14220_/Y (sky130_fd_sc_hd__a221oi_2)
+     1    0.02                           soc/_13683_ (net)
+                  0.11    0.00    8.80 v soc/wire2621/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.26    9.06 v soc/wire2621/X (sky130_fd_sc_hd__buf_4)
+    12    0.10                           soc/net2621 (net)
+                  0.13    0.02    9.07 v soc/_14221_/A (sky130_fd_sc_hd__inv_2)
+                  0.28    0.27    9.34 ^ soc/_14221_/Y (sky130_fd_sc_hd__inv_2)
+     6    0.06                           soc/net623 (net)
+                  0.28    0.01    9.35 ^ soc/output623/A (sky130_fd_sc_hd__buf_12)
+                  0.03    0.14    9.50 ^ soc/output623/X (sky130_fd_sc_hd__buf_12)
+     3    0.01                           mprj_adr_o_core[19] (net)
+                  0.03    0.00    9.50 ^ mgmt_buffers/input398/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.16    9.66 ^ mgmt_buffers/input398/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net398 (net)
+                  0.13    0.01    9.67 ^ mgmt_buffers/wire1647/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.21    9.87 ^ mgmt_buffers/wire1647/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1647 (net)
+                  0.15    0.01    9.89 ^ mgmt_buffers/wire1646/A (sky130_fd_sc_hd__buf_6)
+                  0.14    0.21   10.09 ^ mgmt_buffers/wire1646/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1646 (net)
+                  0.14    0.01   10.10 ^ mgmt_buffers/wire1645/A (sky130_fd_sc_hd__buf_6)
+                  0.14    0.21   10.31 ^ mgmt_buffers/wire1645/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1645 (net)
+                  0.14    0.01   10.32 ^ mgmt_buffers/_324_/B (sky130_fd_sc_hd__and2_4)
+                  0.16    0.27   10.59 ^ mgmt_buffers/_324_/X (sky130_fd_sc_hd__and2_4)
+     2    0.05                           mgmt_buffers/net858 (net)
+                  0.16    0.00   10.59 ^ mgmt_buffers/wire1402/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.20   10.79 ^ mgmt_buffers/wire1402/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1402 (net)
+                  0.13    0.01   10.80 ^ mgmt_buffers/output858/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.11   10.90 ^ mgmt_buffers/output858/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_adr_o_user[19] (net)
+                  0.02    0.00   10.90 ^ mprj/u_rp_south/u_rp[67].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.26    0.25   11.16 ^ mprj/u_rp_south/u_rp[67].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.05                           mprj/u_rp_south/net25 (net)
+                  0.26    0.01   11.17 ^ mprj/u_rp_south/wire25/A (sky130_fd_sc_hd__buf_4)
+                  0.77    0.57   11.73 ^ mprj/u_rp_south/wire25/X (sky130_fd_sc_hd__buf_4)
+     2    0.28                           mprj/ch_out_south[67] (net)
+                  0.87    0.23   11.96 ^ mprj/u_wb_host/input51/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.24   12.20 ^ mprj/u_wb_host/input51/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/net51 (net)
+                  0.15    0.00   12.20 ^ mprj/u_wb_host/_2786_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.27    0.33   12.53 ^ mprj/u_wb_host/_2786_/X (sky130_fd_sc_hd__mux2_1)
+     4    0.03                           mprj/u_wb_host/_1192_ (net)
+                  0.27    0.00   12.53 ^ mprj/u_wb_host/_2787_/B (sky130_fd_sc_hd__and2_2)
+                  0.21    0.34   12.87 ^ mprj/u_wb_host/_2787_/X (sky130_fd_sc_hd__and2_2)
+     8    0.04                           mprj/u_wb_host/_1193_ (net)
+                  0.21    0.00   12.87 ^ mprj/u_wb_host/fanout486/A (sky130_fd_sc_hd__buf_2)
+                  0.27    0.34   13.21 ^ mprj/u_wb_host/fanout486/X (sky130_fd_sc_hd__buf_2)
+    20    0.06                           mprj/u_wb_host/net486 (net)
+                  0.27    0.00   13.21 ^ mprj/u_wb_host/_2788_/A (sky130_fd_sc_hd__inv_2)
+                  0.07    0.08   13.29 v mprj/u_wb_host/_2788_/Y (sky130_fd_sc_hd__inv_2)
+     2    0.01                           mprj/u_wb_host/_1194_ (net)
+                  0.07    0.00   13.29 v mprj/u_wb_host/_2790_/A (sky130_fd_sc_hd__or2_1)
+                  0.12    0.32   13.61 v mprj/u_wb_host/_2790_/X (sky130_fd_sc_hd__or2_1)
+     3    0.02                           mprj/u_wb_host/_1196_ (net)
+                  0.12    0.00   13.61 v mprj/u_wb_host/fanout444/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.11    0.26   13.87 v mprj/u_wb_host/fanout444/X (sky130_fd_sc_hd__clkbuf_4)
+    18    0.06                           mprj/u_wb_host/net444 (net)
+                  0.11    0.00   13.87 v mprj/u_wb_host/fanout443/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.13    0.27   14.14 v mprj/u_wb_host/fanout443/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.07                           mprj/u_wb_host/net443 (net)
+                  0.13    0.00   14.15 v mprj/u_wb_host/_2791_/B (sky130_fd_sc_hd__nor2_1)
+                  0.27    0.27   14.42 ^ mprj/u_wb_host/_2791_/Y (sky130_fd_sc_hd__nor2_1)
+     3    0.01                           mprj/u_wb_host/_0000_ (net)
+                  0.27    0.00   14.42 ^ mprj/u_wb_host/_2815_/B1 (sky130_fd_sc_hd__a41o_2)
+                  0.18    0.27   14.69 ^ mprj/u_wb_host/_2815_/X (sky130_fd_sc_hd__a41o_2)
+     6    0.03                           mprj/u_wb_host/_1220_ (net)
+                  0.18    0.00   14.69 ^ mprj/u_wb_host/fanout359/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.21    0.32   15.00 ^ mprj/u_wb_host/fanout359/X (sky130_fd_sc_hd__clkbuf_4)
+    18    0.07                           mprj/u_wb_host/net359 (net)
+                  0.21    0.00   15.00 ^ mprj/u_wb_host/fanout358/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.29   15.30 ^ mprj/u_wb_host/fanout358/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_wb_host/net358 (net)
+                  0.21    0.00   15.30 ^ mprj/u_wb_host/_3195_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.35   15.65 v mprj/u_wb_host/_3195_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0055_ (net)
+                  0.05    0.00   15.65 v mprj/u_wb_host/_5517_/D (sky130_fd_sc_hd__dfrtp_2)
+                                 15.65   data arrival time
+
+                         25.00   25.00   clock wb_clk (rise edge)
+                          0.00   25.00   clock source latency
+                  1.00    0.00   25.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09   29.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00   29.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27   29.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03   29.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37   29.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20   30.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35   30.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01   30.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   30.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00   30.65 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   30.79 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
+                  0.06    0.00   30.79 ^ mprj/u_wb_host/clkbuf_2_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   30.92 ^ mprj/u_wb_host/clkbuf_2_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_2_0_wbm_clk_i (net)
+                  0.06    0.00   30.92 ^ mprj/u_wb_host/clkbuf_3_4_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.19    0.23   31.16 ^ mprj/u_wb_host/clkbuf_3_4_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_wb_host/clknet_3_4_0_wbm_clk_i (net)
+                  0.19    0.00   31.16 ^ mprj/u_wb_host/clkbuf_leaf_53_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.18   31.34 ^ mprj/u_wb_host/clkbuf_leaf_53_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.04                           mprj/u_wb_host/clknet_leaf_53_wbm_clk_i (net)
+                  0.06    0.00   31.34 ^ mprj/u_wb_host/_5517_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                         -0.25   31.09   clock uncertainty
+                          0.95   32.04   clock reconvergence pessimism
+                         -0.12   31.93   library setup time
+                                 31.93   data required time
+-----------------------------------------------------------------------------
+                                 31.93   data required time
+                                -15.65   data arrival time
+-----------------------------------------------------------------------------
+                                 16.27   slack (MET)
+
+
+Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
+Endpoint: mprj/u_wb_host/_5535_
+          (rising edge-triggered flip-flop clocked by wb_clk)
+Path Group: wb_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           soc/clknet_0_core_clk (net)
+                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_0_core_clk (net)
+                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_1_core_clk (net)
+                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_2_core_clk (net)
+                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_3_core_clk (net)
+                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_4_core_clk (net)
+                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           soc/clknet_1_1_5_core_clk (net)
+                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_1_1_6_core_clk (net)
+                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_2_3_0_core_clk (net)
+                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_2_3_1_core_clk (net)
+                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_0_core_clk (net)
+                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_1_core_clk (net)
+                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_3_7_2_core_clk (net)
+                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_4_14_0_core_clk (net)
+                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_5_28_0_core_clk (net)
+                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.26                           soc/clknet_5_28_1_core_clk (net)
+                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           soc/clknet_leaf_243_core_clk (net)
+                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.36    6.84 v soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
+     1    0.01                           soc/core.grant[0] (net)
+                  0.06    0.00    6.84 v soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
+                  0.08    0.18    7.02 v soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
+    10    0.09                           soc/net3544 (net)
+                  0.08    0.00    7.02 v soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.20    7.21 v soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
+    14    0.11                           soc/net3546 (net)
+                  0.10    0.02    7.23 v soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
+                  0.14    0.27    7.50 v soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
+    17    0.11                           soc/net3545 (net)
+                  0.15    0.02    7.52 v soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
+                  0.15    0.18    7.70 ^ soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.01                           soc/_13629_ (net)
+                  0.15    0.00    7.70 ^ soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.17    7.87 ^ soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
+     4    0.04                           soc/net3233 (net)
+                  0.09    0.00    7.87 ^ soc/wire3235/A (sky130_fd_sc_hd__buf_8)
+                  0.24    0.25    8.12 ^ soc/wire3235/X (sky130_fd_sc_hd__buf_8)
+    16    0.15                           soc/net3235 (net)
+                  0.25    0.03    8.15 ^ soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.22    8.37 ^ soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
+    12    0.06                           soc/net3231 (net)
+                  0.13    0.00    8.38 ^ soc/wire3232/A (sky130_fd_sc_hd__buf_12)
+                  0.24    0.27    8.64 ^ soc/wire3232/X (sky130_fd_sc_hd__buf_12)
+    30    0.21                           soc/net3232 (net)
+                  0.25    0.02    8.66 ^ soc/_14220_/B2 (sky130_fd_sc_hd__a221oi_2)
+                  0.11    0.14    8.80 v soc/_14220_/Y (sky130_fd_sc_hd__a221oi_2)
+     1    0.02                           soc/_13683_ (net)
+                  0.11    0.00    8.80 v soc/wire2621/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.26    9.06 v soc/wire2621/X (sky130_fd_sc_hd__buf_4)
+    12    0.10                           soc/net2621 (net)
+                  0.13    0.02    9.07 v soc/_14221_/A (sky130_fd_sc_hd__inv_2)
+                  0.28    0.27    9.34 ^ soc/_14221_/Y (sky130_fd_sc_hd__inv_2)
+     6    0.06                           soc/net623 (net)
+                  0.28    0.01    9.35 ^ soc/output623/A (sky130_fd_sc_hd__buf_12)
+                  0.03    0.14    9.50 ^ soc/output623/X (sky130_fd_sc_hd__buf_12)
+     3    0.01                           mprj_adr_o_core[19] (net)
+                  0.03    0.00    9.50 ^ mgmt_buffers/input398/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.16    9.66 ^ mgmt_buffers/input398/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net398 (net)
+                  0.13    0.01    9.67 ^ mgmt_buffers/wire1647/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.21    9.87 ^ mgmt_buffers/wire1647/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1647 (net)
+                  0.15    0.01    9.89 ^ mgmt_buffers/wire1646/A (sky130_fd_sc_hd__buf_6)
+                  0.14    0.21   10.09 ^ mgmt_buffers/wire1646/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1646 (net)
+                  0.14    0.01   10.10 ^ mgmt_buffers/wire1645/A (sky130_fd_sc_hd__buf_6)
+                  0.14    0.21   10.31 ^ mgmt_buffers/wire1645/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1645 (net)
+                  0.14    0.01   10.32 ^ mgmt_buffers/_324_/B (sky130_fd_sc_hd__and2_4)
+                  0.16    0.27   10.59 ^ mgmt_buffers/_324_/X (sky130_fd_sc_hd__and2_4)
+     2    0.05                           mgmt_buffers/net858 (net)
+                  0.16    0.00   10.59 ^ mgmt_buffers/wire1402/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.20   10.79 ^ mgmt_buffers/wire1402/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1402 (net)
+                  0.13    0.01   10.80 ^ mgmt_buffers/output858/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.11   10.90 ^ mgmt_buffers/output858/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_adr_o_user[19] (net)
+                  0.02    0.00   10.90 ^ mprj/u_rp_south/u_rp[67].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.26    0.25   11.16 ^ mprj/u_rp_south/u_rp[67].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.05                           mprj/u_rp_south/net25 (net)
+                  0.26    0.01   11.17 ^ mprj/u_rp_south/wire25/A (sky130_fd_sc_hd__buf_4)
+                  0.77    0.57   11.73 ^ mprj/u_rp_south/wire25/X (sky130_fd_sc_hd__buf_4)
+     2    0.28                           mprj/ch_out_south[67] (net)
+                  0.87    0.23   11.96 ^ mprj/u_wb_host/input51/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.24   12.20 ^ mprj/u_wb_host/input51/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/net51 (net)
+                  0.15    0.00   12.20 ^ mprj/u_wb_host/_2786_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.27    0.33   12.53 ^ mprj/u_wb_host/_2786_/X (sky130_fd_sc_hd__mux2_1)
+     4    0.03                           mprj/u_wb_host/_1192_ (net)
+                  0.27    0.00   12.53 ^ mprj/u_wb_host/_2787_/B (sky130_fd_sc_hd__and2_2)
+                  0.21    0.34   12.87 ^ mprj/u_wb_host/_2787_/X (sky130_fd_sc_hd__and2_2)
+     8    0.04                           mprj/u_wb_host/_1193_ (net)
+                  0.21    0.00   12.87 ^ mprj/u_wb_host/fanout486/A (sky130_fd_sc_hd__buf_2)
+                  0.27    0.34   13.21 ^ mprj/u_wb_host/fanout486/X (sky130_fd_sc_hd__buf_2)
+    20    0.06                           mprj/u_wb_host/net486 (net)
+                  0.27    0.00   13.21 ^ mprj/u_wb_host/_2788_/A (sky130_fd_sc_hd__inv_2)
+                  0.07    0.08   13.29 v mprj/u_wb_host/_2788_/Y (sky130_fd_sc_hd__inv_2)
+     2    0.01                           mprj/u_wb_host/_1194_ (net)
+                  0.07    0.00   13.29 v mprj/u_wb_host/_2790_/A (sky130_fd_sc_hd__or2_1)
+                  0.12    0.32   13.61 v mprj/u_wb_host/_2790_/X (sky130_fd_sc_hd__or2_1)
+     3    0.02                           mprj/u_wb_host/_1196_ (net)
+                  0.12    0.00   13.61 v mprj/u_wb_host/fanout444/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.11    0.26   13.87 v mprj/u_wb_host/fanout444/X (sky130_fd_sc_hd__clkbuf_4)
+    18    0.06                           mprj/u_wb_host/net444 (net)
+                  0.11    0.00   13.87 v mprj/u_wb_host/fanout443/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.13    0.27   14.14 v mprj/u_wb_host/fanout443/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.07                           mprj/u_wb_host/net443 (net)
+                  0.13    0.00   14.15 v mprj/u_wb_host/_2791_/B (sky130_fd_sc_hd__nor2_1)
+                  0.27    0.27   14.42 ^ mprj/u_wb_host/_2791_/Y (sky130_fd_sc_hd__nor2_1)
+     3    0.01                           mprj/u_wb_host/_0000_ (net)
+                  0.27    0.00   14.42 ^ mprj/u_wb_host/_2815_/B1 (sky130_fd_sc_hd__a41o_2)
+                  0.18    0.27   14.69 ^ mprj/u_wb_host/_2815_/X (sky130_fd_sc_hd__a41o_2)
+     6    0.03                           mprj/u_wb_host/_1220_ (net)
+                  0.18    0.00   14.69 ^ mprj/u_wb_host/fanout359/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.21    0.32   15.00 ^ mprj/u_wb_host/fanout359/X (sky130_fd_sc_hd__clkbuf_4)
+    18    0.07                           mprj/u_wb_host/net359 (net)
+                  0.21    0.00   15.00 ^ mprj/u_wb_host/fanout358/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.29   15.30 ^ mprj/u_wb_host/fanout358/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_wb_host/net358 (net)
+                  0.21    0.00   15.30 ^ mprj/u_wb_host/_3267_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.35   15.65 v mprj/u_wb_host/_3267_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0073_ (net)
+                  0.05    0.00   15.65 v mprj/u_wb_host/_5535_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 15.65   data arrival time
+
+                         25.00   25.00   clock wb_clk (rise edge)
+                          0.00   25.00   clock source latency
+                  1.00    0.00   25.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09   29.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00   29.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27   29.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03   29.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37   29.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20   30.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35   30.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01   30.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   30.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00   30.65 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   30.79 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
+                  0.06    0.00   30.79 ^ mprj/u_wb_host/clkbuf_2_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   30.92 ^ mprj/u_wb_host/clkbuf_2_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_2_0_wbm_clk_i (net)
+                  0.06    0.00   30.92 ^ mprj/u_wb_host/clkbuf_3_4_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.19    0.23   31.16 ^ mprj/u_wb_host/clkbuf_3_4_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_wb_host/clknet_3_4_0_wbm_clk_i (net)
+                  0.19    0.00   31.16 ^ mprj/u_wb_host/clkbuf_leaf_53_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.18   31.34 ^ mprj/u_wb_host/clkbuf_leaf_53_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.04                           mprj/u_wb_host/clknet_leaf_53_wbm_clk_i (net)
+                  0.06    0.00   31.34 ^ mprj/u_wb_host/_5535_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   31.09   clock uncertainty
+                          0.95   32.04   clock reconvergence pessimism
+                         -0.11   31.93   library setup time
+                                 31.93   data required time
+-----------------------------------------------------------------------------
+                                 31.93   data required time
+                                -15.65   data arrival time
+-----------------------------------------------------------------------------
+                                 16.27   slack (MET)
+
+
+Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
+Endpoint: mprj/u_wb_host/_5519_
+          (rising edge-triggered flip-flop clocked by wb_clk)
+Path Group: wb_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           soc/clknet_0_core_clk (net)
+                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_0_core_clk (net)
+                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_1_core_clk (net)
+                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_2_core_clk (net)
+                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_3_core_clk (net)
+                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_4_core_clk (net)
+                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           soc/clknet_1_1_5_core_clk (net)
+                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_1_1_6_core_clk (net)
+                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_2_3_0_core_clk (net)
+                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_2_3_1_core_clk (net)
+                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_0_core_clk (net)
+                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_1_core_clk (net)
+                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_3_7_2_core_clk (net)
+                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_4_14_0_core_clk (net)
+                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_5_28_0_core_clk (net)
+                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.26                           soc/clknet_5_28_1_core_clk (net)
+                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           soc/clknet_leaf_243_core_clk (net)
+                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.36    6.84 v soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
+     1    0.01                           soc/core.grant[0] (net)
+                  0.06    0.00    6.84 v soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
+                  0.08    0.18    7.02 v soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
+    10    0.09                           soc/net3544 (net)
+                  0.08    0.00    7.02 v soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.20    7.21 v soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
+    14    0.11                           soc/net3546 (net)
+                  0.10    0.02    7.23 v soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
+                  0.14    0.27    7.50 v soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
+    17    0.11                           soc/net3545 (net)
+                  0.15    0.02    7.52 v soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
+                  0.15    0.18    7.70 ^ soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.01                           soc/_13629_ (net)
+                  0.15    0.00    7.70 ^ soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.17    7.87 ^ soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
+     4    0.04                           soc/net3233 (net)
+                  0.09    0.00    7.87 ^ soc/wire3235/A (sky130_fd_sc_hd__buf_8)
+                  0.24    0.25    8.12 ^ soc/wire3235/X (sky130_fd_sc_hd__buf_8)
+    16    0.15                           soc/net3235 (net)
+                  0.25    0.03    8.15 ^ soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.22    8.37 ^ soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
+    12    0.06                           soc/net3231 (net)
+                  0.13    0.00    8.38 ^ soc/wire3232/A (sky130_fd_sc_hd__buf_12)
+                  0.24    0.27    8.64 ^ soc/wire3232/X (sky130_fd_sc_hd__buf_12)
+    30    0.21                           soc/net3232 (net)
+                  0.25    0.02    8.66 ^ soc/_14220_/B2 (sky130_fd_sc_hd__a221oi_2)
+                  0.11    0.14    8.80 v soc/_14220_/Y (sky130_fd_sc_hd__a221oi_2)
+     1    0.02                           soc/_13683_ (net)
+                  0.11    0.00    8.80 v soc/wire2621/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.26    9.06 v soc/wire2621/X (sky130_fd_sc_hd__buf_4)
+    12    0.10                           soc/net2621 (net)
+                  0.13    0.02    9.07 v soc/_14221_/A (sky130_fd_sc_hd__inv_2)
+                  0.28    0.27    9.34 ^ soc/_14221_/Y (sky130_fd_sc_hd__inv_2)
+     6    0.06                           soc/net623 (net)
+                  0.28    0.01    9.35 ^ soc/output623/A (sky130_fd_sc_hd__buf_12)
+                  0.03    0.14    9.50 ^ soc/output623/X (sky130_fd_sc_hd__buf_12)
+     3    0.01                           mprj_adr_o_core[19] (net)
+                  0.03    0.00    9.50 ^ mgmt_buffers/input398/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.16    9.66 ^ mgmt_buffers/input398/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net398 (net)
+                  0.13    0.01    9.67 ^ mgmt_buffers/wire1647/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.21    9.87 ^ mgmt_buffers/wire1647/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1647 (net)
+                  0.15    0.01    9.89 ^ mgmt_buffers/wire1646/A (sky130_fd_sc_hd__buf_6)
+                  0.14    0.21   10.09 ^ mgmt_buffers/wire1646/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1646 (net)
+                  0.14    0.01   10.10 ^ mgmt_buffers/wire1645/A (sky130_fd_sc_hd__buf_6)
+                  0.14    0.21   10.31 ^ mgmt_buffers/wire1645/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1645 (net)
+                  0.14    0.01   10.32 ^ mgmt_buffers/_324_/B (sky130_fd_sc_hd__and2_4)
+                  0.16    0.27   10.59 ^ mgmt_buffers/_324_/X (sky130_fd_sc_hd__and2_4)
+     2    0.05                           mgmt_buffers/net858 (net)
+                  0.16    0.00   10.59 ^ mgmt_buffers/wire1402/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.20   10.79 ^ mgmt_buffers/wire1402/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1402 (net)
+                  0.13    0.01   10.80 ^ mgmt_buffers/output858/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.11   10.90 ^ mgmt_buffers/output858/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_adr_o_user[19] (net)
+                  0.02    0.00   10.90 ^ mprj/u_rp_south/u_rp[67].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.26    0.25   11.16 ^ mprj/u_rp_south/u_rp[67].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.05                           mprj/u_rp_south/net25 (net)
+                  0.26    0.01   11.17 ^ mprj/u_rp_south/wire25/A (sky130_fd_sc_hd__buf_4)
+                  0.77    0.57   11.73 ^ mprj/u_rp_south/wire25/X (sky130_fd_sc_hd__buf_4)
+     2    0.28                           mprj/ch_out_south[67] (net)
+                  0.87    0.23   11.96 ^ mprj/u_wb_host/input51/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.24   12.20 ^ mprj/u_wb_host/input51/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/net51 (net)
+                  0.15    0.00   12.20 ^ mprj/u_wb_host/_2786_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.27    0.33   12.53 ^ mprj/u_wb_host/_2786_/X (sky130_fd_sc_hd__mux2_1)
+     4    0.03                           mprj/u_wb_host/_1192_ (net)
+                  0.27    0.00   12.53 ^ mprj/u_wb_host/_2787_/B (sky130_fd_sc_hd__and2_2)
+                  0.21    0.34   12.87 ^ mprj/u_wb_host/_2787_/X (sky130_fd_sc_hd__and2_2)
+     8    0.04                           mprj/u_wb_host/_1193_ (net)
+                  0.21    0.00   12.87 ^ mprj/u_wb_host/fanout486/A (sky130_fd_sc_hd__buf_2)
+                  0.27    0.34   13.21 ^ mprj/u_wb_host/fanout486/X (sky130_fd_sc_hd__buf_2)
+    20    0.06                           mprj/u_wb_host/net486 (net)
+                  0.27    0.00   13.21 ^ mprj/u_wb_host/_2788_/A (sky130_fd_sc_hd__inv_2)
+                  0.07    0.08   13.29 v mprj/u_wb_host/_2788_/Y (sky130_fd_sc_hd__inv_2)
+     2    0.01                           mprj/u_wb_host/_1194_ (net)
+                  0.07    0.00   13.29 v mprj/u_wb_host/_2790_/A (sky130_fd_sc_hd__or2_1)
+                  0.12    0.32   13.61 v mprj/u_wb_host/_2790_/X (sky130_fd_sc_hd__or2_1)
+     3    0.02                           mprj/u_wb_host/_1196_ (net)
+                  0.12    0.00   13.61 v mprj/u_wb_host/fanout444/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.11    0.26   13.87 v mprj/u_wb_host/fanout444/X (sky130_fd_sc_hd__clkbuf_4)
+    18    0.06                           mprj/u_wb_host/net444 (net)
+                  0.11    0.00   13.87 v mprj/u_wb_host/fanout443/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.13    0.27   14.14 v mprj/u_wb_host/fanout443/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.07                           mprj/u_wb_host/net443 (net)
+                  0.13    0.00   14.15 v mprj/u_wb_host/_2791_/B (sky130_fd_sc_hd__nor2_1)
+                  0.27    0.27   14.42 ^ mprj/u_wb_host/_2791_/Y (sky130_fd_sc_hd__nor2_1)
+     3    0.01                           mprj/u_wb_host/_0000_ (net)
+                  0.27    0.00   14.42 ^ mprj/u_wb_host/_2815_/B1 (sky130_fd_sc_hd__a41o_2)
+                  0.18    0.27   14.69 ^ mprj/u_wb_host/_2815_/X (sky130_fd_sc_hd__a41o_2)
+     6    0.03                           mprj/u_wb_host/_1220_ (net)
+                  0.18    0.00   14.69 ^ mprj/u_wb_host/fanout359/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.21    0.32   15.00 ^ mprj/u_wb_host/fanout359/X (sky130_fd_sc_hd__clkbuf_4)
+    18    0.07                           mprj/u_wb_host/net359 (net)
+                  0.21    0.00   15.00 ^ mprj/u_wb_host/fanout358/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.29   15.30 ^ mprj/u_wb_host/fanout358/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_wb_host/net358 (net)
+                  0.21    0.00   15.30 ^ mprj/u_wb_host/_3203_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.35   15.65 v mprj/u_wb_host/_3203_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0057_ (net)
+                  0.05    0.00   15.65 v mprj/u_wb_host/_5519_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 15.65   data arrival time
+
+                         25.00   25.00   clock wb_clk (rise edge)
+                          0.00   25.00   clock source latency
+                  1.00    0.00   25.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09   29.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00   29.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27   29.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03   29.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37   29.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20   30.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35   30.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01   30.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   30.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00   30.65 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   30.79 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
+                  0.06    0.00   30.79 ^ mprj/u_wb_host/clkbuf_2_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   30.92 ^ mprj/u_wb_host/clkbuf_2_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_2_0_wbm_clk_i (net)
+                  0.06    0.00   30.92 ^ mprj/u_wb_host/clkbuf_3_4_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.19    0.23   31.16 ^ mprj/u_wb_host/clkbuf_3_4_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_wb_host/clknet_3_4_0_wbm_clk_i (net)
+                  0.19    0.00   31.16 ^ mprj/u_wb_host/clkbuf_leaf_53_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.18   31.34 ^ mprj/u_wb_host/clkbuf_leaf_53_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.04                           mprj/u_wb_host/clknet_leaf_53_wbm_clk_i (net)
+                  0.06    0.00   31.34 ^ mprj/u_wb_host/_5519_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   31.09   clock uncertainty
+                          0.95   32.04   clock reconvergence pessimism
+                         -0.11   31.93   library setup time
+                                 31.93   data required time
+-----------------------------------------------------------------------------
+                                 31.93   data required time
+                                -15.65   data arrival time
+-----------------------------------------------------------------------------
+                                 16.27   slack (MET)
+
+
+Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
+Endpoint: mprj/u_wb_host/_5512_
+          (rising edge-triggered flip-flop clocked by wb_clk)
+Path Group: wb_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           soc/clknet_0_core_clk (net)
+                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_0_core_clk (net)
+                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_1_core_clk (net)
+                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_2_core_clk (net)
+                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_3_core_clk (net)
+                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_4_core_clk (net)
+                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           soc/clknet_1_1_5_core_clk (net)
+                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_1_1_6_core_clk (net)
+                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_2_3_0_core_clk (net)
+                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_2_3_1_core_clk (net)
+                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_0_core_clk (net)
+                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_1_core_clk (net)
+                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_3_7_2_core_clk (net)
+                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_4_14_0_core_clk (net)
+                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_5_28_0_core_clk (net)
+                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.26                           soc/clknet_5_28_1_core_clk (net)
+                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           soc/clknet_leaf_243_core_clk (net)
+                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.06    0.36    6.84 v soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
+     1    0.01                           soc/core.grant[0] (net)
+                  0.06    0.00    6.84 v soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
+                  0.08    0.18    7.02 v soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
+    10    0.09                           soc/net3544 (net)
+                  0.08    0.00    7.02 v soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.20    7.21 v soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
+    14    0.11                           soc/net3546 (net)
+                  0.10    0.02    7.23 v soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
+                  0.14    0.27    7.50 v soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
+    17    0.11                           soc/net3545 (net)
+                  0.15    0.02    7.52 v soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
+                  0.15    0.18    7.70 ^ soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.01                           soc/_13629_ (net)
+                  0.15    0.00    7.70 ^ soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.17    7.87 ^ soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
+     4    0.04                           soc/net3233 (net)
+                  0.09    0.00    7.87 ^ soc/wire3235/A (sky130_fd_sc_hd__buf_8)
+                  0.24    0.25    8.12 ^ soc/wire3235/X (sky130_fd_sc_hd__buf_8)
+    16    0.15                           soc/net3235 (net)
+                  0.25    0.03    8.15 ^ soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.22    8.37 ^ soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
+    12    0.06                           soc/net3231 (net)
+                  0.13    0.00    8.38 ^ soc/wire3232/A (sky130_fd_sc_hd__buf_12)
+                  0.24    0.27    8.64 ^ soc/wire3232/X (sky130_fd_sc_hd__buf_12)
+    30    0.21                           soc/net3232 (net)
+                  0.25    0.02    8.66 ^ soc/_14220_/B2 (sky130_fd_sc_hd__a221oi_2)
+                  0.11    0.14    8.80 v soc/_14220_/Y (sky130_fd_sc_hd__a221oi_2)
+     1    0.02                           soc/_13683_ (net)
+                  0.11    0.00    8.80 v soc/wire2621/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.26    9.06 v soc/wire2621/X (sky130_fd_sc_hd__buf_4)
+    12    0.10                           soc/net2621 (net)
+                  0.13    0.02    9.07 v soc/_14221_/A (sky130_fd_sc_hd__inv_2)
+                  0.28    0.27    9.34 ^ soc/_14221_/Y (sky130_fd_sc_hd__inv_2)
+     6    0.06                           soc/net623 (net)
+                  0.28    0.01    9.35 ^ soc/output623/A (sky130_fd_sc_hd__buf_12)
+                  0.03    0.14    9.50 ^ soc/output623/X (sky130_fd_sc_hd__buf_12)
+     3    0.01                           mprj_adr_o_core[19] (net)
+                  0.03    0.00    9.50 ^ mgmt_buffers/input398/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.16    9.66 ^ mgmt_buffers/input398/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net398 (net)
+                  0.13    0.01    9.67 ^ mgmt_buffers/wire1647/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.21    9.87 ^ mgmt_buffers/wire1647/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1647 (net)
+                  0.15    0.01    9.89 ^ mgmt_buffers/wire1646/A (sky130_fd_sc_hd__buf_6)
+                  0.14    0.21   10.09 ^ mgmt_buffers/wire1646/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1646 (net)
+                  0.14    0.01   10.10 ^ mgmt_buffers/wire1645/A (sky130_fd_sc_hd__buf_6)
+                  0.14    0.21   10.31 ^ mgmt_buffers/wire1645/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1645 (net)
+                  0.14    0.01   10.32 ^ mgmt_buffers/_324_/B (sky130_fd_sc_hd__and2_4)
+                  0.16    0.27   10.59 ^ mgmt_buffers/_324_/X (sky130_fd_sc_hd__and2_4)
+     2    0.05                           mgmt_buffers/net858 (net)
+                  0.16    0.00   10.59 ^ mgmt_buffers/wire1402/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.20   10.79 ^ mgmt_buffers/wire1402/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1402 (net)
+                  0.13    0.01   10.80 ^ mgmt_buffers/output858/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.11   10.90 ^ mgmt_buffers/output858/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_adr_o_user[19] (net)
+                  0.02    0.00   10.90 ^ mprj/u_rp_south/u_rp[67].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.26    0.25   11.16 ^ mprj/u_rp_south/u_rp[67].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.05                           mprj/u_rp_south/net25 (net)
+                  0.26    0.01   11.17 ^ mprj/u_rp_south/wire25/A (sky130_fd_sc_hd__buf_4)
+                  0.77    0.57   11.73 ^ mprj/u_rp_south/wire25/X (sky130_fd_sc_hd__buf_4)
+     2    0.28                           mprj/ch_out_south[67] (net)
+                  0.87    0.23   11.96 ^ mprj/u_wb_host/input51/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.24   12.20 ^ mprj/u_wb_host/input51/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/net51 (net)
+                  0.15    0.00   12.20 ^ mprj/u_wb_host/_2786_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.27    0.33   12.53 ^ mprj/u_wb_host/_2786_/X (sky130_fd_sc_hd__mux2_1)
+     4    0.03                           mprj/u_wb_host/_1192_ (net)
+                  0.27    0.00   12.53 ^ mprj/u_wb_host/_2787_/B (sky130_fd_sc_hd__and2_2)
+                  0.21    0.34   12.87 ^ mprj/u_wb_host/_2787_/X (sky130_fd_sc_hd__and2_2)
+     8    0.04                           mprj/u_wb_host/_1193_ (net)
+                  0.21    0.00   12.87 ^ mprj/u_wb_host/fanout486/A (sky130_fd_sc_hd__buf_2)
+                  0.27    0.34   13.21 ^ mprj/u_wb_host/fanout486/X (sky130_fd_sc_hd__buf_2)
+    20    0.06                           mprj/u_wb_host/net486 (net)
+                  0.27    0.00   13.21 ^ mprj/u_wb_host/_2788_/A (sky130_fd_sc_hd__inv_2)
+                  0.07    0.08   13.29 v mprj/u_wb_host/_2788_/Y (sky130_fd_sc_hd__inv_2)
+     2    0.01                           mprj/u_wb_host/_1194_ (net)
+                  0.07    0.00   13.29 v mprj/u_wb_host/_2790_/A (sky130_fd_sc_hd__or2_1)
+                  0.12    0.32   13.61 v mprj/u_wb_host/_2790_/X (sky130_fd_sc_hd__or2_1)
+     3    0.02                           mprj/u_wb_host/_1196_ (net)
+                  0.12    0.00   13.61 v mprj/u_wb_host/fanout444/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.11    0.26   13.87 v mprj/u_wb_host/fanout444/X (sky130_fd_sc_hd__clkbuf_4)
+    18    0.06                           mprj/u_wb_host/net444 (net)
+                  0.11    0.00   13.87 v mprj/u_wb_host/fanout443/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.13    0.27   14.14 v mprj/u_wb_host/fanout443/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.07                           mprj/u_wb_host/net443 (net)
+                  0.13    0.00   14.15 v mprj/u_wb_host/_2791_/B (sky130_fd_sc_hd__nor2_1)
+                  0.27    0.27   14.42 ^ mprj/u_wb_host/_2791_/Y (sky130_fd_sc_hd__nor2_1)
+     3    0.01                           mprj/u_wb_host/_0000_ (net)
+                  0.27    0.00   14.42 ^ mprj/u_wb_host/_2815_/B1 (sky130_fd_sc_hd__a41o_2)
+                  0.18    0.27   14.69 ^ mprj/u_wb_host/_2815_/X (sky130_fd_sc_hd__a41o_2)
+     6    0.03                           mprj/u_wb_host/_1220_ (net)
+                  0.18    0.00   14.69 ^ mprj/u_wb_host/fanout359/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.21    0.32   15.00 ^ mprj/u_wb_host/fanout359/X (sky130_fd_sc_hd__clkbuf_4)
+    18    0.07                           mprj/u_wb_host/net359 (net)
+                  0.21    0.00   15.00 ^ mprj/u_wb_host/fanout358/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.29   15.30 ^ mprj/u_wb_host/fanout358/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_wb_host/net358 (net)
+                  0.21    0.00   15.30 ^ mprj/u_wb_host/_3175_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.35   15.65 v mprj/u_wb_host/_3175_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0050_ (net)
+                  0.05    0.00   15.65 v mprj/u_wb_host/_5512_/D (sky130_fd_sc_hd__dfrtp_4)
+                                 15.65   data arrival time
+
+                         25.00   25.00   clock wb_clk (rise edge)
+                          0.00   25.00   clock source latency
+                  1.00    0.00   25.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09   29.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00   29.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27   29.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03   29.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37   29.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20   30.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35   30.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01   30.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   30.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00   30.65 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   30.79 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
+                  0.06    0.00   30.79 ^ mprj/u_wb_host/clkbuf_2_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   30.92 ^ mprj/u_wb_host/clkbuf_2_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_2_0_wbm_clk_i (net)
+                  0.06    0.00   30.92 ^ mprj/u_wb_host/clkbuf_3_4_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.19    0.23   31.16 ^ mprj/u_wb_host/clkbuf_3_4_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_wb_host/clknet_3_4_0_wbm_clk_i (net)
+                  0.19    0.00   31.16 ^ mprj/u_wb_host/clkbuf_leaf_53_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.18   31.34 ^ mprj/u_wb_host/clkbuf_leaf_53_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.04                           mprj/u_wb_host/clknet_leaf_53_wbm_clk_i (net)
+                  0.06    0.00   31.34 ^ mprj/u_wb_host/_5512_/CLK (sky130_fd_sc_hd__dfrtp_4)
+                         -0.25   31.09   clock uncertainty
+                          0.95   32.04   clock reconvergence pessimism
+                         -0.11   31.93   library setup time
+                                 31.93   data required time
+-----------------------------------------------------------------------------
+                                 31.93   data required time
+                                -15.65   data arrival time
+-----------------------------------------------------------------------------
+                                 16.27   slack (MET)
+
+
+Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
+Endpoint: mprj/u_wb_host/_6549_
           (rising edge-triggered flip-flop clocked by wb_clk)
 Path Group: wb_clk
 Path Type: max
@@ -157842,26 +183205,44 @@
                   0.06    0.00   11.84 v mgmt_buffers/output870/A (sky130_fd_sc_hd__buf_8)
                   0.02    0.12   11.96 v mgmt_buffers/output870/X (sky130_fd_sc_hd__buf_8)
      2    0.00                           mprj_adr_o_user[2] (net)
-                  0.02    0.00   11.96 v mprj/u_wb_host/input53/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.05    0.11   12.06 v mprj/u_wb_host/input53/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.02    0.00   11.96 v mprj/u_rp_south/u_rp[14].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.16    0.23   12.19 v mprj/u_rp_south/u_rp[14].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.08                           mprj/u_rp_south/net70 (net)
+                  0.17    0.02   12.21 v mprj/u_rp_south/wire70/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.24   12.45 v mprj/u_rp_south/wire70/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[14] (net)
+                  0.44    0.21   12.66 v mprj/u_wb_host/input53/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.25   12.91 v mprj/u_wb_host/input53/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/net53 (net)
-                  0.05    0.00   12.06 v mprj/u_wb_host/_4056_/A (sky130_fd_sc_hd__or2_1)
-                  0.10    0.29   12.36 v mprj/u_wb_host/_4056_/X (sky130_fd_sc_hd__or2_1)
-     2    0.01                           mprj/u_wb_host/_2076_ (net)
-                  0.10    0.00   12.36 v mprj/u_wb_host/_4057_/B1 (sky130_fd_sc_hd__o21ai_4)
-                  2.08    0.76   13.12 ^ mprj/u_wb_host/_4057_/Y (sky130_fd_sc_hd__o21ai_4)
-    74    0.32                           mprj/u_wb_host/_2077_ (net)
-                  2.08    0.03   13.15 ^ mprj/u_wb_host/_4082_/A (sky130_fd_sc_hd__nand2_8)
-                  0.65    0.60   13.75 v mprj/u_wb_host/_4082_/Y (sky130_fd_sc_hd__nand2_8)
-    66    0.20                           mprj/u_wb_host/_2095_ (net)
-                  0.65    0.00   13.75 v mprj/u_wb_host/_5410_/C (sky130_fd_sc_hd__or3_4)
-                  0.34    0.87   14.62 v mprj/u_wb_host/_5410_/X (sky130_fd_sc_hd__or3_4)
-    64    0.24                           mprj/u_wb_host/_2673_ (net)
-                  0.34    0.02   14.64 v mprj/u_wb_host/_5413_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.42   15.06 v mprj/u_wb_host/_5413_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_1037_ (net)
-                  0.05    0.00   15.06 v mprj/u_wb_host/_6554_/D (sky130_fd_sc_hd__dfrtp_4)
-                                 15.06   data arrival time
+                  0.07    0.00   12.91 v mprj/u_wb_host/_4045_/A (sky130_fd_sc_hd__or2_1)
+                  0.09    0.29   13.20 v mprj/u_wb_host/_4045_/X (sky130_fd_sc_hd__or2_1)
+     2    0.01                           mprj/u_wb_host/_2068_ (net)
+                  0.09    0.00   13.20 v mprj/u_wb_host/_4046_/B1 (sky130_fd_sc_hd__o21ai_4)
+                  0.35    0.16   13.37 ^ mprj/u_wb_host/_4046_/Y (sky130_fd_sc_hd__o21ai_4)
+     8    0.05                           mprj/u_wb_host/_2069_ (net)
+                  0.35    0.00   13.37 ^ mprj/u_wb_host/fanout515/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.33   13.70 ^ mprj/u_wb_host/fanout515/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net515 (net)
+                  0.21    0.00   13.70 ^ mprj/u_wb_host/_4071_/A (sky130_fd_sc_hd__nand2_2)
+                  0.23    0.24   13.95 v mprj/u_wb_host/_4071_/Y (sky130_fd_sc_hd__nand2_2)
+     6    0.05                           mprj/u_wb_host/_2087_ (net)
+                  0.23    0.00   13.95 v mprj/u_wb_host/fanout459/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.32   14.27 v mprj/u_wb_host/fanout459/X (sky130_fd_sc_hd__clkbuf_2)
+    12    0.05                           mprj/u_wb_host/net459 (net)
+                  0.19    0.00   14.27 v mprj/u_wb_host/_5404_/C (sky130_fd_sc_hd__or3_1)
+                  0.11    0.43   14.70 v mprj/u_wb_host/_5404_/X (sky130_fd_sc_hd__or3_1)
+     1    0.01                           mprj/u_wb_host/_2670_ (net)
+                  0.11    0.00   14.70 v mprj/u_wb_host/fanout363/A (sky130_fd_sc_hd__buf_2)
+                  0.12    0.24   14.94 v mprj/u_wb_host/fanout363/X (sky130_fd_sc_hd__buf_2)
+    10    0.05                           mprj/u_wb_host/net363 (net)
+                  0.12    0.00   14.94 v mprj/u_wb_host/fanout362/A (sky130_fd_sc_hd__buf_4)
+                  0.09    0.24   15.19 v mprj/u_wb_host/fanout362/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_wb_host/net362 (net)
+                  0.09    0.00   15.19 v mprj/u_wb_host/_5408_/S (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.34   15.53 v mprj/u_wb_host/_5408_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_1038_ (net)
+                  0.06    0.00   15.53 v mprj/u_wb_host/_6549_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 15.53   data arrival time
 
                          25.00   25.00   clock wb_clk (rise edge)
                           0.00   25.00   clock source latency
@@ -157929,33 +183310,7953 @@
                   0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
      2    0.05                           mgmt_buffers/net1453 (net)
                   0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10   29.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00   29.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13   29.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00   29.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14   29.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.02    0.09   29.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00   29.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27   29.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03   29.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37   29.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20   30.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35   30.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01   30.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   30.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00   30.65 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   30.79 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
+                  0.06    0.00   30.79 ^ mprj/u_wb_host/clkbuf_2_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   30.92 ^ mprj/u_wb_host/clkbuf_2_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_2_0_wbm_clk_i (net)
+                  0.06    0.00   30.92 ^ mprj/u_wb_host/clkbuf_3_4_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.19    0.23   31.16 ^ mprj/u_wb_host/clkbuf_3_4_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_wb_host/clknet_3_4_0_wbm_clk_i (net)
+                  0.19    0.00   31.16 ^ mprj/u_wb_host/clkbuf_leaf_49_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17   31.32 ^ mprj/u_wb_host/clkbuf_leaf_49_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           mprj/u_wb_host/clknet_leaf_49_wbm_clk_i (net)
+                  0.04    0.00   31.32 ^ mprj/u_wb_host/_6549_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   31.07   clock uncertainty
+                          0.95   32.03   clock reconvergence pessimism
+                         -0.12   31.91   library setup time
+                                 31.91   data required time
+-----------------------------------------------------------------------------
+                                 31.91   data required time
+                                -15.53   data arrival time
+-----------------------------------------------------------------------------
+                                 16.38   slack (MET)
+
+
+Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
+Endpoint: mprj/u_wb_host/_6550_
+          (rising edge-triggered flip-flop clocked by wb_clk)
+Path Group: wb_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           soc/clknet_0_core_clk (net)
+                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_0_core_clk (net)
+                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_1_core_clk (net)
+                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_2_core_clk (net)
+                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_3_core_clk (net)
+                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_4_core_clk (net)
+                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           soc/clknet_1_1_5_core_clk (net)
+                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_1_1_6_core_clk (net)
+                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_2_3_0_core_clk (net)
+                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_2_3_1_core_clk (net)
+                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_0_core_clk (net)
+                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_1_core_clk (net)
+                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_3_7_2_core_clk (net)
+                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_4_14_0_core_clk (net)
+                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_5_28_0_core_clk (net)
+                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.26                           soc/clknet_5_28_1_core_clk (net)
+                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           soc/clknet_leaf_243_core_clk (net)
+                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.11    0.39    6.87 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
+     1    0.01                           soc/core.grant[0] (net)
+                  0.11    0.00    6.87 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
+                  0.16    0.21    7.08 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
+    10    0.10                           soc/net3544 (net)
+                  0.16    0.00    7.08 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
+                  0.22    0.26    7.34 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
+    14    0.11                           soc/net3546 (net)
+                  0.22    0.02    7.36 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
+                  0.31    0.36    7.72 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
+    17    0.11                           soc/net3545 (net)
+                  0.32    0.02    7.75 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
+                  0.09    0.11    7.86 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.01                           soc/_13629_ (net)
+                  0.09    0.00    7.86 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
+                  0.05    0.16    8.02 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
+     4    0.04                           soc/net3233 (net)
+                  0.05    0.00    8.02 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
+                  0.11    0.18    8.20 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
+    16    0.14                           soc/net3235 (net)
+                  0.12    0.03    8.23 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.19    8.42 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
+    12    0.06                           soc/net3231 (net)
+                  0.06    0.00    8.43 v soc/_14146_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.32    8.75 v soc/_14146_/X (sky130_fd_sc_hd__and2_2)
+    10    0.06                           soc/_13643_ (net)
+                  0.15    0.01    8.75 v soc/_14147_/C1 (sky130_fd_sc_hd__a221oi_4)
+                  0.73    0.63    9.38 ^ soc/_14147_/Y (sky130_fd_sc_hd__a221oi_4)
+     6    0.06                           soc/_13644_ (net)
+                  0.73    0.01    9.38 ^ soc/max_length2753/A (sky130_fd_sc_hd__buf_6)
+                  0.21    0.32    9.71 ^ soc/max_length2753/X (sky130_fd_sc_hd__buf_6)
+     9    0.10                           soc/net2753 (net)
+                  0.21    0.02    9.73 ^ soc/wire2751/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.32   10.05 ^ soc/wire2751/X (sky130_fd_sc_hd__buf_4)
+     4    0.09                           soc/net2751 (net)
+                  0.25    0.01   10.06 ^ soc/_14148_/A (sky130_fd_sc_hd__inv_12)
+                  0.09    0.09   10.15 v soc/_14148_/Y (sky130_fd_sc_hd__inv_12)
+     4    0.08                           soc/net634 (net)
+                  0.09    0.01   10.17 v soc/wire2404/A (sky130_fd_sc_hd__buf_12)
+                  0.10    0.19   10.36 v soc/wire2404/X (sky130_fd_sc_hd__buf_12)
+     7    0.17                           soc/net2404 (net)
+                  0.13    0.04   10.40 v soc/output634/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.16   10.56 v soc/output634/X (sky130_fd_sc_hd__buf_12)
+     3    0.01                           mprj_adr_o_core[2] (net)
+                  0.02    0.00   10.56 v mgmt_buffers/input410/A (sky130_fd_sc_hd__buf_6)
+                  0.04    0.13   10.69 v mgmt_buffers/input410/X (sky130_fd_sc_hd__buf_6)
+     2    0.03                           mgmt_buffers/net410 (net)
+                  0.04    0.00   10.69 v mgmt_buffers/wire1596/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.16   10.85 v mgmt_buffers/wire1596/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1596 (net)
+                  0.07    0.01   10.86 v mgmt_buffers/wire1595/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.20   11.06 v mgmt_buffers/wire1595/X (sky130_fd_sc_hd__buf_6)
+     2    0.11                           mgmt_buffers/net1595 (net)
+                  0.10    0.01   11.07 v mgmt_buffers/wire1594/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.19   11.25 v mgmt_buffers/wire1594/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1594 (net)
+                  0.07    0.01   11.26 v mgmt_buffers/wire1593/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   11.44 v mgmt_buffers/wire1593/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1593 (net)
+                  0.07    0.01   11.45 v mgmt_buffers/wire1592/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.17   11.62 v mgmt_buffers/wire1592/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1592 (net)
+                  0.07    0.01   11.63 v mgmt_buffers/_307_/B (sky130_fd_sc_hd__and2_4)
+                  0.06    0.21   11.83 v mgmt_buffers/_307_/X (sky130_fd_sc_hd__and2_4)
+     2    0.03                           mgmt_buffers/net870 (net)
+                  0.06    0.00   11.84 v mgmt_buffers/output870/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.12   11.96 v mgmt_buffers/output870/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_adr_o_user[2] (net)
+                  0.02    0.00   11.96 v mprj/u_rp_south/u_rp[14].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.16    0.23   12.19 v mprj/u_rp_south/u_rp[14].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.08                           mprj/u_rp_south/net70 (net)
+                  0.17    0.02   12.21 v mprj/u_rp_south/wire70/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.24   12.45 v mprj/u_rp_south/wire70/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[14] (net)
+                  0.44    0.21   12.66 v mprj/u_wb_host/input53/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.25   12.91 v mprj/u_wb_host/input53/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/net53 (net)
+                  0.07    0.00   12.91 v mprj/u_wb_host/_4045_/A (sky130_fd_sc_hd__or2_1)
+                  0.09    0.29   13.20 v mprj/u_wb_host/_4045_/X (sky130_fd_sc_hd__or2_1)
+     2    0.01                           mprj/u_wb_host/_2068_ (net)
+                  0.09    0.00   13.20 v mprj/u_wb_host/_4046_/B1 (sky130_fd_sc_hd__o21ai_4)
+                  0.35    0.16   13.37 ^ mprj/u_wb_host/_4046_/Y (sky130_fd_sc_hd__o21ai_4)
+     8    0.05                           mprj/u_wb_host/_2069_ (net)
+                  0.35    0.00   13.37 ^ mprj/u_wb_host/fanout515/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.33   13.70 ^ mprj/u_wb_host/fanout515/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net515 (net)
+                  0.21    0.00   13.70 ^ mprj/u_wb_host/_4071_/A (sky130_fd_sc_hd__nand2_2)
+                  0.23    0.24   13.95 v mprj/u_wb_host/_4071_/Y (sky130_fd_sc_hd__nand2_2)
+     6    0.05                           mprj/u_wb_host/_2087_ (net)
+                  0.23    0.00   13.95 v mprj/u_wb_host/fanout459/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.32   14.27 v mprj/u_wb_host/fanout459/X (sky130_fd_sc_hd__clkbuf_2)
+    12    0.05                           mprj/u_wb_host/net459 (net)
+                  0.19    0.00   14.27 v mprj/u_wb_host/_5404_/C (sky130_fd_sc_hd__or3_1)
+                  0.11    0.43   14.70 v mprj/u_wb_host/_5404_/X (sky130_fd_sc_hd__or3_1)
+     1    0.01                           mprj/u_wb_host/_2670_ (net)
+                  0.11    0.00   14.70 v mprj/u_wb_host/fanout363/A (sky130_fd_sc_hd__buf_2)
+                  0.12    0.24   14.94 v mprj/u_wb_host/fanout363/X (sky130_fd_sc_hd__buf_2)
+    10    0.05                           mprj/u_wb_host/net363 (net)
+                  0.12    0.00   14.94 v mprj/u_wb_host/fanout362/A (sky130_fd_sc_hd__buf_4)
+                  0.09    0.24   15.19 v mprj/u_wb_host/fanout362/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_wb_host/net362 (net)
+                  0.09    0.00   15.19 v mprj/u_wb_host/_5409_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.33   15.52 v mprj/u_wb_host/_5409_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_1039_ (net)
+                  0.05    0.00   15.52 v mprj/u_wb_host/_6550_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 15.52   data arrival time
+
+                         25.00   25.00   clock wb_clk (rise edge)
+                          0.00   25.00   clock source latency
+                  1.00    0.00   25.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09   29.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00   29.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27   29.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03   29.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37   29.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20   30.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35   30.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01   30.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   30.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00   30.65 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   30.79 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
+                  0.06    0.00   30.79 ^ mprj/u_wb_host/clkbuf_2_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   30.92 ^ mprj/u_wb_host/clkbuf_2_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_2_0_wbm_clk_i (net)
+                  0.06    0.00   30.92 ^ mprj/u_wb_host/clkbuf_3_4_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.19    0.23   31.16 ^ mprj/u_wb_host/clkbuf_3_4_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    16    0.11                           mprj/u_wb_host/clknet_3_4_0_wbm_clk_i (net)
+                  0.19    0.00   31.16 ^ mprj/u_wb_host/clkbuf_leaf_50_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.17   31.33 ^ mprj/u_wb_host/clkbuf_leaf_50_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.03                           mprj/u_wb_host/clknet_leaf_50_wbm_clk_i (net)
+                  0.05    0.00   31.33 ^ mprj/u_wb_host/_6550_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   31.08   clock uncertainty
+                          0.95   32.04   clock reconvergence pessimism
+                         -0.12   31.92   library setup time
+                                 31.92   data required time
+-----------------------------------------------------------------------------
+                                 31.92   data required time
+                                -15.52   data arrival time
+-----------------------------------------------------------------------------
+                                 16.40   slack (MET)
+
+
+Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
+Endpoint: mprj/u_wb_host/_6001_
+          (rising edge-triggered flip-flop clocked by wb_clk)
+Path Group: wb_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           soc/clknet_0_core_clk (net)
+                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_0_core_clk (net)
+                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_1_core_clk (net)
+                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_2_core_clk (net)
+                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_3_core_clk (net)
+                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_4_core_clk (net)
+                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           soc/clknet_1_1_5_core_clk (net)
+                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_1_1_6_core_clk (net)
+                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_2_3_0_core_clk (net)
+                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_2_3_1_core_clk (net)
+                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_0_core_clk (net)
+                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_1_core_clk (net)
+                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_3_7_2_core_clk (net)
+                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_4_14_0_core_clk (net)
+                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_5_28_0_core_clk (net)
+                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.26                           soc/clknet_5_28_1_core_clk (net)
+                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           soc/clknet_leaf_243_core_clk (net)
+                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.11    0.39    6.87 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
+     1    0.01                           soc/core.grant[0] (net)
+                  0.11    0.00    6.87 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
+                  0.16    0.21    7.08 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
+    10    0.10                           soc/net3544 (net)
+                  0.16    0.00    7.08 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
+                  0.22    0.26    7.34 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
+    14    0.11                           soc/net3546 (net)
+                  0.22    0.02    7.36 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
+                  0.31    0.36    7.72 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
+    17    0.11                           soc/net3545 (net)
+                  0.32    0.02    7.75 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
+                  0.09    0.11    7.86 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.01                           soc/_13629_ (net)
+                  0.09    0.00    7.86 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
+                  0.05    0.16    8.02 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
+     4    0.04                           soc/net3233 (net)
+                  0.05    0.00    8.02 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
+                  0.11    0.18    8.20 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
+    16    0.14                           soc/net3235 (net)
+                  0.12    0.03    8.23 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.19    8.42 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
+    12    0.06                           soc/net3231 (net)
+                  0.06    0.00    8.43 v soc/wire3232/A (sky130_fd_sc_hd__buf_12)
+                  0.11    0.20    8.63 v soc/wire3232/X (sky130_fd_sc_hd__buf_12)
+    30    0.20                           soc/net3232 (net)
+                  0.11    0.01    8.64 v soc/_14220_/B2 (sky130_fd_sc_hd__a221oi_2)
+                  0.36    0.41    9.05 ^ soc/_14220_/Y (sky130_fd_sc_hd__a221oi_2)
+     1    0.02                           soc/_13683_ (net)
+                  0.36    0.00    9.05 ^ soc/wire2621/A (sky130_fd_sc_hd__buf_4)
+                  0.28    0.37    9.42 ^ soc/wire2621/X (sky130_fd_sc_hd__buf_4)
+    12    0.10                           soc/net2621 (net)
+                  0.28    0.02    9.43 ^ soc/_14221_/A (sky130_fd_sc_hd__inv_2)
+                  0.16    0.21    9.64 v soc/_14221_/Y (sky130_fd_sc_hd__inv_2)
+     6    0.06                           soc/net623 (net)
+                  0.16    0.01    9.65 v soc/output623/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.18    9.83 v soc/output623/X (sky130_fd_sc_hd__buf_12)
+     3    0.01                           mprj_adr_o_core[19] (net)
+                  0.02    0.00    9.83 v mgmt_buffers/input398/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.15    9.98 v mgmt_buffers/input398/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net398 (net)
+                  0.07    0.01    9.99 v mgmt_buffers/wire1647/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.16 v mgmt_buffers/wire1647/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1647 (net)
+                  0.07    0.01   10.17 v mgmt_buffers/wire1646/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.35 v mgmt_buffers/wire1646/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1646 (net)
+                  0.07    0.01   10.36 v mgmt_buffers/wire1645/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.54 v mgmt_buffers/wire1645/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1645 (net)
+                  0.07    0.01   10.55 v mgmt_buffers/_324_/B (sky130_fd_sc_hd__and2_4)
+                  0.08    0.23   10.78 v mgmt_buffers/_324_/X (sky130_fd_sc_hd__and2_4)
+     2    0.05                           mgmt_buffers/net858 (net)
+                  0.08    0.00   10.78 v mgmt_buffers/wire1402/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.96 v mgmt_buffers/wire1402/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1402 (net)
+                  0.07    0.01   10.97 v mgmt_buffers/output858/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.12   11.09 v mgmt_buffers/output858/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_adr_o_user[19] (net)
+                  0.02    0.00   11.09 v mprj/u_rp_south/u_rp[67].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.18    0.22   11.31 v mprj/u_rp_south/u_rp[67].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.05                           mprj/u_rp_south/net25 (net)
+                  0.18    0.01   11.31 v mprj/u_rp_south/wire25/A (sky130_fd_sc_hd__buf_4)
+                  0.32    0.33   11.64 v mprj/u_rp_south/wire25/X (sky130_fd_sc_hd__buf_4)
+     2    0.28                           mprj/ch_out_south[67] (net)
+                  0.53    0.23   11.87 v mprj/u_wb_host/input51/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.29   12.16 v mprj/u_wb_host/input51/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/net51 (net)
+                  0.08    0.00   12.16 v mprj/u_wb_host/_2786_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.17    0.44   12.60 v mprj/u_wb_host/_2786_/X (sky130_fd_sc_hd__mux2_1)
+     4    0.03                           mprj/u_wb_host/_1192_ (net)
+                  0.17    0.00   12.60 v mprj/u_wb_host/_2801_/B (sky130_fd_sc_hd__or2_1)
+                  0.11    0.33   12.93 v mprj/u_wb_host/_2801_/X (sky130_fd_sc_hd__or2_1)
+     2    0.02                           mprj/u_wb_host/_1206_ (net)
+                  0.11    0.00   12.93 v mprj/u_wb_host/_2802_/B (sky130_fd_sc_hd__nor2_2)
+                  0.52    0.45   13.39 ^ mprj/u_wb_host/_2802_/Y (sky130_fd_sc_hd__nor2_2)
+     2    0.05                           mprj/u_wb_host/_1207_ (net)
+                  0.52    0.00   13.39 ^ mprj/u_wb_host/_2803_/B1 (sky130_fd_sc_hd__o31a_4)
+                  0.22    0.40   13.78 ^ mprj/u_wb_host/_2803_/X (sky130_fd_sc_hd__o31a_4)
+    16    0.08                           mprj/u_wb_host/_1208_ (net)
+                  0.22    0.00   13.79 ^ mprj/u_wb_host/_3136_/B (sky130_fd_sc_hd__nand2_2)
+                  0.10    0.14   13.93 v mprj/u_wb_host/_3136_/Y (sky130_fd_sc_hd__nand2_2)
+     4    0.02                           mprj/u_wb_host/_1403_ (net)
+                  0.10    0.00   13.93 v mprj/u_wb_host/_3137_/B (sky130_fd_sc_hd__nor2_4)
+                  0.30    0.28   14.20 ^ mprj/u_wb_host/_3137_/Y (sky130_fd_sc_hd__nor2_4)
+     6    0.05                           mprj/u_wb_host/_1404_ (net)
+                  0.30    0.00   14.20 ^ mprj/u_wb_host/fanout355/A (sky130_fd_sc_hd__buf_4)
+                  0.22    0.32   14.53 ^ mprj/u_wb_host/fanout355/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net355 (net)
+                  0.22    0.01   14.54 ^ mprj/u_wb_host/fanout354/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.30   14.84 ^ mprj/u_wb_host/fanout354/X (sky130_fd_sc_hd__buf_4)
+    18    0.08                           mprj/u_wb_host/net354 (net)
+                  0.21    0.00   14.84 ^ mprj/u_wb_host/fanout353/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.30   15.14 ^ mprj/u_wb_host/fanout353/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net353 (net)
+                  0.21    0.00   15.14 ^ mprj/u_wb_host/_4532_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.35   15.49 v mprj/u_wb_host/_4532_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0521_ (net)
+                  0.05    0.00   15.49 v mprj/u_wb_host/_6001_/D (sky130_fd_sc_hd__dfxtp_1)
+                                 15.49   data arrival time
+
+                         25.00   25.00   clock wb_clk (rise edge)
+                          0.00   25.00   clock source latency
+                  1.00    0.00   25.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09   29.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00   29.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27   29.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03   29.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37   29.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20   30.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35   30.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01   30.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   30.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00   30.65 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   30.79 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
+                  0.06    0.00   30.79 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13   30.92 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_3_0_wbm_clk_i (net)
+                  0.05    0.00   30.92 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.15    0.20   31.13 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    12    0.08                           mprj/u_wb_host/clknet_3_6_0_wbm_clk_i (net)
+                  0.15    0.00   31.13 ^ mprj/u_wb_host/clkbuf_leaf_30_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.17   31.30 ^ mprj/u_wb_host/clkbuf_leaf_30_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    11    0.04                           mprj/u_wb_host/clknet_leaf_30_wbm_clk_i (net)
+                  0.06    0.00   31.30 ^ mprj/u_wb_host/_6001_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                         -0.25   31.05   clock uncertainty
+                          0.95   32.00   clock reconvergence pessimism
+                         -0.11   31.89   library setup time
+                                 31.89   data required time
+-----------------------------------------------------------------------------
+                                 31.89   data required time
+                                -15.49   data arrival time
+-----------------------------------------------------------------------------
+                                 16.40   slack (MET)
+
+
+Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
+Endpoint: mprj/u_wb_host/_5984_
+          (rising edge-triggered flip-flop clocked by wb_clk)
+Path Group: wb_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           soc/clknet_0_core_clk (net)
+                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_0_core_clk (net)
+                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_1_core_clk (net)
+                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_2_core_clk (net)
+                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_3_core_clk (net)
+                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_4_core_clk (net)
+                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           soc/clknet_1_1_5_core_clk (net)
+                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_1_1_6_core_clk (net)
+                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_2_3_0_core_clk (net)
+                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_2_3_1_core_clk (net)
+                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_0_core_clk (net)
+                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_1_core_clk (net)
+                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_3_7_2_core_clk (net)
+                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_4_14_0_core_clk (net)
+                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_5_28_0_core_clk (net)
+                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.26                           soc/clknet_5_28_1_core_clk (net)
+                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           soc/clknet_leaf_243_core_clk (net)
+                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.11    0.39    6.87 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
+     1    0.01                           soc/core.grant[0] (net)
+                  0.11    0.00    6.87 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
+                  0.16    0.21    7.08 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
+    10    0.10                           soc/net3544 (net)
+                  0.16    0.00    7.08 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
+                  0.22    0.26    7.34 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
+    14    0.11                           soc/net3546 (net)
+                  0.22    0.02    7.36 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
+                  0.31    0.36    7.72 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
+    17    0.11                           soc/net3545 (net)
+                  0.32    0.02    7.75 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
+                  0.09    0.11    7.86 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.01                           soc/_13629_ (net)
+                  0.09    0.00    7.86 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
+                  0.05    0.16    8.02 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
+     4    0.04                           soc/net3233 (net)
+                  0.05    0.00    8.02 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
+                  0.11    0.18    8.20 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
+    16    0.14                           soc/net3235 (net)
+                  0.12    0.03    8.23 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.19    8.42 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
+    12    0.06                           soc/net3231 (net)
+                  0.06    0.00    8.43 v soc/wire3232/A (sky130_fd_sc_hd__buf_12)
+                  0.11    0.20    8.63 v soc/wire3232/X (sky130_fd_sc_hd__buf_12)
+    30    0.20                           soc/net3232 (net)
+                  0.11    0.01    8.64 v soc/_14220_/B2 (sky130_fd_sc_hd__a221oi_2)
+                  0.36    0.41    9.05 ^ soc/_14220_/Y (sky130_fd_sc_hd__a221oi_2)
+     1    0.02                           soc/_13683_ (net)
+                  0.36    0.00    9.05 ^ soc/wire2621/A (sky130_fd_sc_hd__buf_4)
+                  0.28    0.37    9.42 ^ soc/wire2621/X (sky130_fd_sc_hd__buf_4)
+    12    0.10                           soc/net2621 (net)
+                  0.28    0.02    9.43 ^ soc/_14221_/A (sky130_fd_sc_hd__inv_2)
+                  0.16    0.21    9.64 v soc/_14221_/Y (sky130_fd_sc_hd__inv_2)
+     6    0.06                           soc/net623 (net)
+                  0.16    0.01    9.65 v soc/output623/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.18    9.83 v soc/output623/X (sky130_fd_sc_hd__buf_12)
+     3    0.01                           mprj_adr_o_core[19] (net)
+                  0.02    0.00    9.83 v mgmt_buffers/input398/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.15    9.98 v mgmt_buffers/input398/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net398 (net)
+                  0.07    0.01    9.99 v mgmt_buffers/wire1647/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.16 v mgmt_buffers/wire1647/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1647 (net)
+                  0.07    0.01   10.17 v mgmt_buffers/wire1646/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.35 v mgmt_buffers/wire1646/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1646 (net)
+                  0.07    0.01   10.36 v mgmt_buffers/wire1645/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.54 v mgmt_buffers/wire1645/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1645 (net)
+                  0.07    0.01   10.55 v mgmt_buffers/_324_/B (sky130_fd_sc_hd__and2_4)
+                  0.08    0.23   10.78 v mgmt_buffers/_324_/X (sky130_fd_sc_hd__and2_4)
+     2    0.05                           mgmt_buffers/net858 (net)
+                  0.08    0.00   10.78 v mgmt_buffers/wire1402/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.96 v mgmt_buffers/wire1402/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1402 (net)
+                  0.07    0.01   10.97 v mgmt_buffers/output858/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.12   11.09 v mgmt_buffers/output858/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_adr_o_user[19] (net)
+                  0.02    0.00   11.09 v mprj/u_rp_south/u_rp[67].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.18    0.22   11.31 v mprj/u_rp_south/u_rp[67].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.05                           mprj/u_rp_south/net25 (net)
+                  0.18    0.01   11.31 v mprj/u_rp_south/wire25/A (sky130_fd_sc_hd__buf_4)
+                  0.32    0.33   11.64 v mprj/u_rp_south/wire25/X (sky130_fd_sc_hd__buf_4)
+     2    0.28                           mprj/ch_out_south[67] (net)
+                  0.53    0.23   11.87 v mprj/u_wb_host/input51/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.29   12.16 v mprj/u_wb_host/input51/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/net51 (net)
+                  0.08    0.00   12.16 v mprj/u_wb_host/_2786_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.17    0.44   12.60 v mprj/u_wb_host/_2786_/X (sky130_fd_sc_hd__mux2_1)
+     4    0.03                           mprj/u_wb_host/_1192_ (net)
+                  0.17    0.00   12.60 v mprj/u_wb_host/_2801_/B (sky130_fd_sc_hd__or2_1)
+                  0.11    0.33   12.93 v mprj/u_wb_host/_2801_/X (sky130_fd_sc_hd__or2_1)
+     2    0.02                           mprj/u_wb_host/_1206_ (net)
+                  0.11    0.00   12.93 v mprj/u_wb_host/_2802_/B (sky130_fd_sc_hd__nor2_2)
+                  0.52    0.45   13.39 ^ mprj/u_wb_host/_2802_/Y (sky130_fd_sc_hd__nor2_2)
+     2    0.05                           mprj/u_wb_host/_1207_ (net)
+                  0.52    0.00   13.39 ^ mprj/u_wb_host/_2803_/B1 (sky130_fd_sc_hd__o31a_4)
+                  0.22    0.40   13.78 ^ mprj/u_wb_host/_2803_/X (sky130_fd_sc_hd__o31a_4)
+    16    0.08                           mprj/u_wb_host/_1208_ (net)
+                  0.22    0.00   13.79 ^ mprj/u_wb_host/_3136_/B (sky130_fd_sc_hd__nand2_2)
+                  0.10    0.14   13.93 v mprj/u_wb_host/_3136_/Y (sky130_fd_sc_hd__nand2_2)
+     4    0.02                           mprj/u_wb_host/_1403_ (net)
+                  0.10    0.00   13.93 v mprj/u_wb_host/_3137_/B (sky130_fd_sc_hd__nor2_4)
+                  0.30    0.28   14.20 ^ mprj/u_wb_host/_3137_/Y (sky130_fd_sc_hd__nor2_4)
+     6    0.05                           mprj/u_wb_host/_1404_ (net)
+                  0.30    0.00   14.20 ^ mprj/u_wb_host/fanout355/A (sky130_fd_sc_hd__buf_4)
+                  0.22    0.32   14.53 ^ mprj/u_wb_host/fanout355/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net355 (net)
+                  0.22    0.01   14.54 ^ mprj/u_wb_host/fanout354/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.30   14.84 ^ mprj/u_wb_host/fanout354/X (sky130_fd_sc_hd__buf_4)
+    18    0.08                           mprj/u_wb_host/net354 (net)
+                  0.21    0.00   14.84 ^ mprj/u_wb_host/fanout353/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.30   15.14 ^ mprj/u_wb_host/fanout353/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net353 (net)
+                  0.21    0.00   15.14 ^ mprj/u_wb_host/_4515_/S (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.36   15.49 v mprj/u_wb_host/_4515_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0504_ (net)
+                  0.06    0.00   15.49 v mprj/u_wb_host/_5984_/D (sky130_fd_sc_hd__dfxtp_1)
+                                 15.49   data arrival time
+
+                         25.00   25.00   clock wb_clk (rise edge)
+                          0.00   25.00   clock source latency
+                  1.00    0.00   25.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09   29.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00   29.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27   29.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03   29.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37   29.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20   30.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35   30.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01   30.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   30.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00   30.65 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   30.79 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
+                  0.06    0.00   30.79 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13   30.92 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_3_0_wbm_clk_i (net)
+                  0.05    0.00   30.92 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.15    0.20   31.13 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    12    0.08                           mprj/u_wb_host/clknet_3_6_0_wbm_clk_i (net)
+                  0.15    0.00   31.13 ^ mprj/u_wb_host/clkbuf_leaf_34_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.18   31.31 ^ mprj/u_wb_host/clkbuf_leaf_34_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.05                           mprj/u_wb_host/clknet_leaf_34_wbm_clk_i (net)
+                  0.07    0.00   31.31 ^ mprj/u_wb_host/_5984_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                         -0.25   31.06   clock uncertainty
+                          0.95   32.01   clock reconvergence pessimism
+                         -0.11   31.90   library setup time
+                                 31.90   data required time
+-----------------------------------------------------------------------------
+                                 31.90   data required time
+                                -15.49   data arrival time
+-----------------------------------------------------------------------------
+                                 16.41   slack (MET)
+
+
+Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
+Endpoint: mprj/u_wb_host/_6111_
+          (rising edge-triggered flip-flop clocked by wb_clk)
+Path Group: wb_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           soc/clknet_0_core_clk (net)
+                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_0_core_clk (net)
+                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_1_core_clk (net)
+                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_2_core_clk (net)
+                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_3_core_clk (net)
+                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_4_core_clk (net)
+                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           soc/clknet_1_1_5_core_clk (net)
+                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_1_1_6_core_clk (net)
+                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_2_3_0_core_clk (net)
+                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_2_3_1_core_clk (net)
+                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_0_core_clk (net)
+                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_1_core_clk (net)
+                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_3_7_2_core_clk (net)
+                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_4_14_0_core_clk (net)
+                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_5_28_0_core_clk (net)
+                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.26                           soc/clknet_5_28_1_core_clk (net)
+                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           soc/clknet_leaf_243_core_clk (net)
+                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.11    0.39    6.87 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
+     1    0.01                           soc/core.grant[0] (net)
+                  0.11    0.00    6.87 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
+                  0.16    0.21    7.08 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
+    10    0.10                           soc/net3544 (net)
+                  0.16    0.00    7.08 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
+                  0.22    0.26    7.34 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
+    14    0.11                           soc/net3546 (net)
+                  0.22    0.02    7.36 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
+                  0.31    0.36    7.72 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
+    17    0.11                           soc/net3545 (net)
+                  0.32    0.02    7.75 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
+                  0.09    0.11    7.86 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.01                           soc/_13629_ (net)
+                  0.09    0.00    7.86 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
+                  0.05    0.16    8.02 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
+     4    0.04                           soc/net3233 (net)
+                  0.05    0.00    8.02 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
+                  0.11    0.18    8.20 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
+    16    0.14                           soc/net3235 (net)
+                  0.12    0.03    8.23 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.19    8.42 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
+    12    0.06                           soc/net3231 (net)
+                  0.06    0.00    8.43 v soc/wire3232/A (sky130_fd_sc_hd__buf_12)
+                  0.11    0.20    8.63 v soc/wire3232/X (sky130_fd_sc_hd__buf_12)
+    30    0.20                           soc/net3232 (net)
+                  0.11    0.01    8.64 v soc/_14220_/B2 (sky130_fd_sc_hd__a221oi_2)
+                  0.36    0.41    9.05 ^ soc/_14220_/Y (sky130_fd_sc_hd__a221oi_2)
+     1    0.02                           soc/_13683_ (net)
+                  0.36    0.00    9.05 ^ soc/wire2621/A (sky130_fd_sc_hd__buf_4)
+                  0.28    0.37    9.42 ^ soc/wire2621/X (sky130_fd_sc_hd__buf_4)
+    12    0.10                           soc/net2621 (net)
+                  0.28    0.02    9.43 ^ soc/_14221_/A (sky130_fd_sc_hd__inv_2)
+                  0.16    0.21    9.64 v soc/_14221_/Y (sky130_fd_sc_hd__inv_2)
+     6    0.06                           soc/net623 (net)
+                  0.16    0.01    9.65 v soc/output623/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.18    9.83 v soc/output623/X (sky130_fd_sc_hd__buf_12)
+     3    0.01                           mprj_adr_o_core[19] (net)
+                  0.02    0.00    9.83 v mgmt_buffers/input398/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.15    9.98 v mgmt_buffers/input398/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net398 (net)
+                  0.07    0.01    9.99 v mgmt_buffers/wire1647/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.16 v mgmt_buffers/wire1647/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1647 (net)
+                  0.07    0.01   10.17 v mgmt_buffers/wire1646/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.35 v mgmt_buffers/wire1646/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1646 (net)
+                  0.07    0.01   10.36 v mgmt_buffers/wire1645/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.54 v mgmt_buffers/wire1645/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1645 (net)
+                  0.07    0.01   10.55 v mgmt_buffers/_324_/B (sky130_fd_sc_hd__and2_4)
+                  0.08    0.23   10.78 v mgmt_buffers/_324_/X (sky130_fd_sc_hd__and2_4)
+     2    0.05                           mgmt_buffers/net858 (net)
+                  0.08    0.00   10.78 v mgmt_buffers/wire1402/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.96 v mgmt_buffers/wire1402/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1402 (net)
+                  0.07    0.01   10.97 v mgmt_buffers/output858/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.12   11.09 v mgmt_buffers/output858/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_adr_o_user[19] (net)
+                  0.02    0.00   11.09 v mprj/u_rp_south/u_rp[67].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.18    0.22   11.31 v mprj/u_rp_south/u_rp[67].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.05                           mprj/u_rp_south/net25 (net)
+                  0.18    0.01   11.31 v mprj/u_rp_south/wire25/A (sky130_fd_sc_hd__buf_4)
+                  0.32    0.33   11.64 v mprj/u_rp_south/wire25/X (sky130_fd_sc_hd__buf_4)
+     2    0.28                           mprj/ch_out_south[67] (net)
+                  0.53    0.23   11.87 v mprj/u_wb_host/input51/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.29   12.16 v mprj/u_wb_host/input51/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/net51 (net)
+                  0.08    0.00   12.16 v mprj/u_wb_host/_2786_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.17    0.44   12.60 v mprj/u_wb_host/_2786_/X (sky130_fd_sc_hd__mux2_1)
+     4    0.03                           mprj/u_wb_host/_1192_ (net)
+                  0.17    0.00   12.60 v mprj/u_wb_host/_2801_/B (sky130_fd_sc_hd__or2_1)
+                  0.11    0.33   12.93 v mprj/u_wb_host/_2801_/X (sky130_fd_sc_hd__or2_1)
+     2    0.02                           mprj/u_wb_host/_1206_ (net)
+                  0.11    0.00   12.93 v mprj/u_wb_host/_2802_/B (sky130_fd_sc_hd__nor2_2)
+                  0.52    0.45   13.39 ^ mprj/u_wb_host/_2802_/Y (sky130_fd_sc_hd__nor2_2)
+     2    0.05                           mprj/u_wb_host/_1207_ (net)
+                  0.52    0.00   13.39 ^ mprj/u_wb_host/_2803_/B1 (sky130_fd_sc_hd__o31a_4)
+                  0.22    0.40   13.78 ^ mprj/u_wb_host/_2803_/X (sky130_fd_sc_hd__o31a_4)
+    16    0.08                           mprj/u_wb_host/_1208_ (net)
+                  0.22    0.00   13.79 ^ mprj/u_wb_host/_4593_/C (sky130_fd_sc_hd__and3b_4)
+                  0.22    0.38   14.16 ^ mprj/u_wb_host/_4593_/X (sky130_fd_sc_hd__and3b_4)
+     8    0.06                           mprj/u_wb_host/_2328_ (net)
+                  0.22    0.01   14.17 ^ mprj/u_wb_host/fanout395/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.19    0.31   14.49 ^ mprj/u_wb_host/fanout395/X (sky130_fd_sc_hd__clkbuf_4)
+    12    0.06                           mprj/u_wb_host/net395 (net)
+                  0.19    0.00   14.49 ^ mprj/u_wb_host/fanout392/A (sky130_fd_sc_hd__buf_4)
+                  0.24    0.31   14.80 ^ mprj/u_wb_host/fanout392/X (sky130_fd_sc_hd__buf_4)
+    20    0.09                           mprj/u_wb_host/net392 (net)
+                  0.24    0.01   14.81 ^ mprj/u_wb_host/fanout391/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.28    0.39   15.20 ^ mprj/u_wb_host/fanout391/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.09                           mprj/u_wb_host/net391 (net)
+                  0.28    0.00   15.20 ^ mprj/u_wb_host/_4659_/S (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.37   15.57 v mprj/u_wb_host/_4659_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0631_ (net)
+                  0.06    0.00   15.57 v mprj/u_wb_host/_6111_/D (sky130_fd_sc_hd__dfxtp_1)
+                                 15.57   data arrival time
+
+                         25.00   25.00   clock wb_clk (rise edge)
+                          0.00   25.00   clock source latency
+                  1.00    0.00   25.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09   29.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00   29.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27   29.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03   29.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37   29.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20   30.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35   30.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01   30.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   30.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00   30.65 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15   30.80 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00   29.51 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   29.65 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.00   30.80 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   30.94 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00   30.94 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.25    0.27   31.21 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
+                  0.25    0.00   31.21 ^ mprj/u_wb_host/clkbuf_leaf_20_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18   31.39 ^ mprj/u_wb_host/clkbuf_leaf_20_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           mprj/u_wb_host/clknet_leaf_20_wbm_clk_i (net)
+                  0.04    0.00   31.39 ^ mprj/u_wb_host/_6111_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                         -0.25   31.14   clock uncertainty
+                          0.95   32.10   clock reconvergence pessimism
+                         -0.12   31.98   library setup time
+                                 31.98   data required time
+-----------------------------------------------------------------------------
+                                 31.98   data required time
+                                -15.57   data arrival time
+-----------------------------------------------------------------------------
+                                 16.41   slack (MET)
+
+
+Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
+Endpoint: mprj/u_wb_host/_6570_
+          (rising edge-triggered flip-flop clocked by wb_clk)
+Path Group: wb_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           soc/clknet_0_core_clk (net)
+                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_0_core_clk (net)
+                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_1_core_clk (net)
+                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_2_core_clk (net)
+                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_3_core_clk (net)
+                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_4_core_clk (net)
+                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           soc/clknet_1_1_5_core_clk (net)
+                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_1_1_6_core_clk (net)
+                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_2_3_0_core_clk (net)
+                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_2_3_1_core_clk (net)
+                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_0_core_clk (net)
+                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_1_core_clk (net)
+                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_3_7_2_core_clk (net)
+                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_4_14_0_core_clk (net)
+                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_5_28_0_core_clk (net)
+                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.26                           soc/clknet_5_28_1_core_clk (net)
+                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           soc/clknet_leaf_243_core_clk (net)
+                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.11    0.39    6.87 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
+     1    0.01                           soc/core.grant[0] (net)
+                  0.11    0.00    6.87 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
+                  0.16    0.21    7.08 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
+    10    0.10                           soc/net3544 (net)
+                  0.16    0.00    7.08 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
+                  0.22    0.26    7.34 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
+    14    0.11                           soc/net3546 (net)
+                  0.22    0.02    7.36 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
+                  0.31    0.36    7.72 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
+    17    0.11                           soc/net3545 (net)
+                  0.32    0.02    7.75 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
+                  0.09    0.11    7.86 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.01                           soc/_13629_ (net)
+                  0.09    0.00    7.86 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
+                  0.05    0.16    8.02 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
+     4    0.04                           soc/net3233 (net)
+                  0.05    0.00    8.02 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
+                  0.11    0.18    8.20 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
+    16    0.14                           soc/net3235 (net)
+                  0.12    0.03    8.23 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.19    8.42 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
+    12    0.06                           soc/net3231 (net)
+                  0.06    0.00    8.43 v soc/_14146_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.32    8.75 v soc/_14146_/X (sky130_fd_sc_hd__and2_2)
+    10    0.06                           soc/_13643_ (net)
+                  0.15    0.01    8.75 v soc/_14147_/C1 (sky130_fd_sc_hd__a221oi_4)
+                  0.73    0.63    9.38 ^ soc/_14147_/Y (sky130_fd_sc_hd__a221oi_4)
+     6    0.06                           soc/_13644_ (net)
+                  0.73    0.01    9.38 ^ soc/max_length2753/A (sky130_fd_sc_hd__buf_6)
+                  0.21    0.32    9.71 ^ soc/max_length2753/X (sky130_fd_sc_hd__buf_6)
+     9    0.10                           soc/net2753 (net)
+                  0.21    0.02    9.73 ^ soc/wire2751/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.32   10.05 ^ soc/wire2751/X (sky130_fd_sc_hd__buf_4)
+     4    0.09                           soc/net2751 (net)
+                  0.25    0.01   10.06 ^ soc/_14148_/A (sky130_fd_sc_hd__inv_12)
+                  0.09    0.09   10.15 v soc/_14148_/Y (sky130_fd_sc_hd__inv_12)
+     4    0.08                           soc/net634 (net)
+                  0.09    0.01   10.17 v soc/wire2404/A (sky130_fd_sc_hd__buf_12)
+                  0.10    0.19   10.36 v soc/wire2404/X (sky130_fd_sc_hd__buf_12)
+     7    0.17                           soc/net2404 (net)
+                  0.13    0.04   10.40 v soc/output634/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.16   10.56 v soc/output634/X (sky130_fd_sc_hd__buf_12)
+     3    0.01                           mprj_adr_o_core[2] (net)
+                  0.02    0.00   10.56 v mgmt_buffers/input410/A (sky130_fd_sc_hd__buf_6)
+                  0.04    0.13   10.69 v mgmt_buffers/input410/X (sky130_fd_sc_hd__buf_6)
+     2    0.03                           mgmt_buffers/net410 (net)
+                  0.04    0.00   10.69 v mgmt_buffers/wire1596/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.16   10.85 v mgmt_buffers/wire1596/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1596 (net)
+                  0.07    0.01   10.86 v mgmt_buffers/wire1595/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.20   11.06 v mgmt_buffers/wire1595/X (sky130_fd_sc_hd__buf_6)
+     2    0.11                           mgmt_buffers/net1595 (net)
+                  0.10    0.01   11.07 v mgmt_buffers/wire1594/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.19   11.25 v mgmt_buffers/wire1594/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1594 (net)
+                  0.07    0.01   11.26 v mgmt_buffers/wire1593/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   11.44 v mgmt_buffers/wire1593/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1593 (net)
+                  0.07    0.01   11.45 v mgmt_buffers/wire1592/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.17   11.62 v mgmt_buffers/wire1592/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1592 (net)
+                  0.07    0.01   11.63 v mgmt_buffers/_307_/B (sky130_fd_sc_hd__and2_4)
+                  0.06    0.21   11.83 v mgmt_buffers/_307_/X (sky130_fd_sc_hd__and2_4)
+     2    0.03                           mgmt_buffers/net870 (net)
+                  0.06    0.00   11.84 v mgmt_buffers/output870/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.12   11.96 v mgmt_buffers/output870/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_adr_o_user[2] (net)
+                  0.02    0.00   11.96 v mprj/u_rp_south/u_rp[14].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.16    0.23   12.19 v mprj/u_rp_south/u_rp[14].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.08                           mprj/u_rp_south/net70 (net)
+                  0.17    0.02   12.21 v mprj/u_rp_south/wire70/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.24   12.45 v mprj/u_rp_south/wire70/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[14] (net)
+                  0.44    0.21   12.66 v mprj/u_wb_host/input53/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.25   12.91 v mprj/u_wb_host/input53/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/net53 (net)
+                  0.07    0.00   12.91 v mprj/u_wb_host/_4045_/A (sky130_fd_sc_hd__or2_1)
+                  0.09    0.29   13.20 v mprj/u_wb_host/_4045_/X (sky130_fd_sc_hd__or2_1)
+     2    0.01                           mprj/u_wb_host/_2068_ (net)
+                  0.09    0.00   13.20 v mprj/u_wb_host/_4046_/B1 (sky130_fd_sc_hd__o21ai_4)
+                  0.35    0.16   13.37 ^ mprj/u_wb_host/_4046_/Y (sky130_fd_sc_hd__o21ai_4)
+     8    0.05                           mprj/u_wb_host/_2069_ (net)
+                  0.35    0.00   13.37 ^ mprj/u_wb_host/fanout515/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.33   13.70 ^ mprj/u_wb_host/fanout515/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net515 (net)
+                  0.21    0.00   13.70 ^ mprj/u_wb_host/_4071_/A (sky130_fd_sc_hd__nand2_2)
+                  0.23    0.24   13.95 v mprj/u_wb_host/_4071_/Y (sky130_fd_sc_hd__nand2_2)
+     6    0.05                           mprj/u_wb_host/_2087_ (net)
+                  0.23    0.00   13.95 v mprj/u_wb_host/fanout459/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.32   14.27 v mprj/u_wb_host/fanout459/X (sky130_fd_sc_hd__clkbuf_2)
+    12    0.05                           mprj/u_wb_host/net459 (net)
+                  0.19    0.00   14.27 v mprj/u_wb_host/_5404_/C (sky130_fd_sc_hd__or3_1)
+                  0.11    0.43   14.70 v mprj/u_wb_host/_5404_/X (sky130_fd_sc_hd__or3_1)
+     1    0.01                           mprj/u_wb_host/_2670_ (net)
+                  0.11    0.00   14.70 v mprj/u_wb_host/fanout363/A (sky130_fd_sc_hd__buf_2)
+                  0.12    0.24   14.94 v mprj/u_wb_host/fanout363/X (sky130_fd_sc_hd__buf_2)
+    10    0.05                           mprj/u_wb_host/net363 (net)
+                  0.12    0.00   14.95 v mprj/u_wb_host/fanout361/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.25   15.20 v mprj/u_wb_host/fanout361/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net361 (net)
+                  0.11    0.00   15.21 v mprj/u_wb_host/_5429_/S (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.35   15.55 v mprj/u_wb_host/_5429_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_1059_ (net)
+                  0.06    0.00   15.55 v mprj/u_wb_host/_6570_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 15.55   data arrival time
+
+                         25.00   25.00   clock wb_clk (rise edge)
+                          0.00   25.00   clock source latency
+                  1.00    0.00   25.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09   29.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00   29.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27   29.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03   29.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37   29.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20   30.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35   30.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01   30.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   30.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00   30.65 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15   30.80 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00   30.80 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   30.94 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      2    0.02                           mprj/u_wb_host/clknet_2_0_0_wbm_clk_i (net)
-                  0.05    0.00   29.65 ^ mprj/u_wb_host/clkbuf_3_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.19    0.23   29.88 ^ mprj/u_wb_host/clkbuf_3_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.11                           mprj/u_wb_host/clknet_3_1_0_wbm_clk_i (net)
-                  0.19    0.01   29.89 ^ mprj/u_wb_host/clkbuf_leaf_57_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.20   30.08 ^ mprj/u_wb_host/clkbuf_leaf_57_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    14    0.06                           mprj/u_wb_host/clknet_leaf_57_wbm_clk_i (net)
-                  0.08    0.00   30.09 ^ mprj/u_wb_host/_6554_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                         -0.25   29.84   clock uncertainty
-                          0.95   30.79   clock reconvergence pessimism
-                         -0.11   30.68   library setup time
-                                 30.68   data required time
+                  0.06    0.00   30.94 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.22    0.25   31.19 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    18    0.13                           mprj/u_wb_host/clknet_3_0_0_wbm_clk_i (net)
+                  0.22    0.00   31.19 ^ mprj/u_wb_host/clkbuf_leaf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   31.38 ^ mprj/u_wb_host/clkbuf_leaf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_wb_host/clknet_leaf_0_wbm_clk_i (net)
+                  0.06    0.00   31.38 ^ mprj/u_wb_host/_6570_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   31.13   clock uncertainty
+                          0.95   32.08   clock reconvergence pessimism
+                         -0.12   31.96   library setup time
+                                 31.96   data required time
 -----------------------------------------------------------------------------
-                                 30.68   data required time
-                                -15.06   data arrival time
+                                 31.96   data required time
+                                -15.55   data arrival time
 -----------------------------------------------------------------------------
-                                 15.61   slack (MET)
+                                 16.41   slack (MET)
+
+
+Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
+Endpoint: mprj/u_wb_host/_6002_
+          (rising edge-triggered flip-flop clocked by wb_clk)
+Path Group: wb_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           soc/clknet_0_core_clk (net)
+                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_0_core_clk (net)
+                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_1_core_clk (net)
+                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_2_core_clk (net)
+                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_3_core_clk (net)
+                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_4_core_clk (net)
+                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           soc/clknet_1_1_5_core_clk (net)
+                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_1_1_6_core_clk (net)
+                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_2_3_0_core_clk (net)
+                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_2_3_1_core_clk (net)
+                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_0_core_clk (net)
+                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_1_core_clk (net)
+                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_3_7_2_core_clk (net)
+                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_4_14_0_core_clk (net)
+                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_5_28_0_core_clk (net)
+                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.26                           soc/clknet_5_28_1_core_clk (net)
+                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           soc/clknet_leaf_243_core_clk (net)
+                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.11    0.39    6.87 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
+     1    0.01                           soc/core.grant[0] (net)
+                  0.11    0.00    6.87 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
+                  0.16    0.21    7.08 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
+    10    0.10                           soc/net3544 (net)
+                  0.16    0.00    7.08 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
+                  0.22    0.26    7.34 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
+    14    0.11                           soc/net3546 (net)
+                  0.22    0.02    7.36 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
+                  0.31    0.36    7.72 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
+    17    0.11                           soc/net3545 (net)
+                  0.32    0.02    7.75 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
+                  0.09    0.11    7.86 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.01                           soc/_13629_ (net)
+                  0.09    0.00    7.86 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
+                  0.05    0.16    8.02 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
+     4    0.04                           soc/net3233 (net)
+                  0.05    0.00    8.02 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
+                  0.11    0.18    8.20 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
+    16    0.14                           soc/net3235 (net)
+                  0.12    0.03    8.23 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.19    8.42 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
+    12    0.06                           soc/net3231 (net)
+                  0.06    0.00    8.43 v soc/wire3232/A (sky130_fd_sc_hd__buf_12)
+                  0.11    0.20    8.63 v soc/wire3232/X (sky130_fd_sc_hd__buf_12)
+    30    0.20                           soc/net3232 (net)
+                  0.11    0.01    8.64 v soc/_14220_/B2 (sky130_fd_sc_hd__a221oi_2)
+                  0.36    0.41    9.05 ^ soc/_14220_/Y (sky130_fd_sc_hd__a221oi_2)
+     1    0.02                           soc/_13683_ (net)
+                  0.36    0.00    9.05 ^ soc/wire2621/A (sky130_fd_sc_hd__buf_4)
+                  0.28    0.37    9.42 ^ soc/wire2621/X (sky130_fd_sc_hd__buf_4)
+    12    0.10                           soc/net2621 (net)
+                  0.28    0.02    9.43 ^ soc/_14221_/A (sky130_fd_sc_hd__inv_2)
+                  0.16    0.21    9.64 v soc/_14221_/Y (sky130_fd_sc_hd__inv_2)
+     6    0.06                           soc/net623 (net)
+                  0.16    0.01    9.65 v soc/output623/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.18    9.83 v soc/output623/X (sky130_fd_sc_hd__buf_12)
+     3    0.01                           mprj_adr_o_core[19] (net)
+                  0.02    0.00    9.83 v mgmt_buffers/input398/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.15    9.98 v mgmt_buffers/input398/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net398 (net)
+                  0.07    0.01    9.99 v mgmt_buffers/wire1647/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.16 v mgmt_buffers/wire1647/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1647 (net)
+                  0.07    0.01   10.17 v mgmt_buffers/wire1646/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.35 v mgmt_buffers/wire1646/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1646 (net)
+                  0.07    0.01   10.36 v mgmt_buffers/wire1645/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.54 v mgmt_buffers/wire1645/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1645 (net)
+                  0.07    0.01   10.55 v mgmt_buffers/_324_/B (sky130_fd_sc_hd__and2_4)
+                  0.08    0.23   10.78 v mgmt_buffers/_324_/X (sky130_fd_sc_hd__and2_4)
+     2    0.05                           mgmt_buffers/net858 (net)
+                  0.08    0.00   10.78 v mgmt_buffers/wire1402/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.96 v mgmt_buffers/wire1402/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1402 (net)
+                  0.07    0.01   10.97 v mgmt_buffers/output858/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.12   11.09 v mgmt_buffers/output858/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_adr_o_user[19] (net)
+                  0.02    0.00   11.09 v mprj/u_rp_south/u_rp[67].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.18    0.22   11.31 v mprj/u_rp_south/u_rp[67].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.05                           mprj/u_rp_south/net25 (net)
+                  0.18    0.01   11.31 v mprj/u_rp_south/wire25/A (sky130_fd_sc_hd__buf_4)
+                  0.32    0.33   11.64 v mprj/u_rp_south/wire25/X (sky130_fd_sc_hd__buf_4)
+     2    0.28                           mprj/ch_out_south[67] (net)
+                  0.53    0.23   11.87 v mprj/u_wb_host/input51/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.29   12.16 v mprj/u_wb_host/input51/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/net51 (net)
+                  0.08    0.00   12.16 v mprj/u_wb_host/_2786_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.17    0.44   12.60 v mprj/u_wb_host/_2786_/X (sky130_fd_sc_hd__mux2_1)
+     4    0.03                           mprj/u_wb_host/_1192_ (net)
+                  0.17    0.00   12.60 v mprj/u_wb_host/_2801_/B (sky130_fd_sc_hd__or2_1)
+                  0.11    0.33   12.93 v mprj/u_wb_host/_2801_/X (sky130_fd_sc_hd__or2_1)
+     2    0.02                           mprj/u_wb_host/_1206_ (net)
+                  0.11    0.00   12.93 v mprj/u_wb_host/_2802_/B (sky130_fd_sc_hd__nor2_2)
+                  0.52    0.45   13.39 ^ mprj/u_wb_host/_2802_/Y (sky130_fd_sc_hd__nor2_2)
+     2    0.05                           mprj/u_wb_host/_1207_ (net)
+                  0.52    0.00   13.39 ^ mprj/u_wb_host/_2803_/B1 (sky130_fd_sc_hd__o31a_4)
+                  0.22    0.40   13.78 ^ mprj/u_wb_host/_2803_/X (sky130_fd_sc_hd__o31a_4)
+    16    0.08                           mprj/u_wb_host/_1208_ (net)
+                  0.22    0.00   13.79 ^ mprj/u_wb_host/_3136_/B (sky130_fd_sc_hd__nand2_2)
+                  0.10    0.14   13.93 v mprj/u_wb_host/_3136_/Y (sky130_fd_sc_hd__nand2_2)
+     4    0.02                           mprj/u_wb_host/_1403_ (net)
+                  0.10    0.00   13.93 v mprj/u_wb_host/_3137_/B (sky130_fd_sc_hd__nor2_4)
+                  0.30    0.28   14.20 ^ mprj/u_wb_host/_3137_/Y (sky130_fd_sc_hd__nor2_4)
+     6    0.05                           mprj/u_wb_host/_1404_ (net)
+                  0.30    0.00   14.20 ^ mprj/u_wb_host/fanout355/A (sky130_fd_sc_hd__buf_4)
+                  0.22    0.32   14.53 ^ mprj/u_wb_host/fanout355/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net355 (net)
+                  0.22    0.01   14.54 ^ mprj/u_wb_host/fanout354/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.30   14.84 ^ mprj/u_wb_host/fanout354/X (sky130_fd_sc_hd__buf_4)
+    18    0.08                           mprj/u_wb_host/net354 (net)
+                  0.21    0.00   14.84 ^ mprj/u_wb_host/fanout353/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.30   15.14 ^ mprj/u_wb_host/fanout353/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net353 (net)
+                  0.21    0.00   15.14 ^ mprj/u_wb_host/_4533_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.35   15.49 v mprj/u_wb_host/_4533_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0522_ (net)
+                  0.05    0.00   15.49 v mprj/u_wb_host/_6002_/D (sky130_fd_sc_hd__dfxtp_1)
+                                 15.49   data arrival time
+
+                         25.00   25.00   clock wb_clk (rise edge)
+                          0.00   25.00   clock source latency
+                  1.00    0.00   25.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09   29.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00   29.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27   29.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03   29.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37   29.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20   30.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35   30.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01   30.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   30.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00   30.65 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   30.79 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
+                  0.06    0.00   30.79 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13   30.92 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_3_0_wbm_clk_i (net)
+                  0.05    0.00   30.92 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.15    0.20   31.13 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    12    0.08                           mprj/u_wb_host/clknet_3_6_0_wbm_clk_i (net)
+                  0.15    0.00   31.13 ^ mprj/u_wb_host/clkbuf_leaf_33_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.18   31.30 ^ mprj/u_wb_host/clkbuf_leaf_33_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    15    0.05                           mprj/u_wb_host/clknet_leaf_33_wbm_clk_i (net)
+                  0.07    0.00   31.31 ^ mprj/u_wb_host/_6002_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                         -0.25   31.06   clock uncertainty
+                          0.95   32.01   clock reconvergence pessimism
+                         -0.11   31.90   library setup time
+                                 31.90   data required time
+-----------------------------------------------------------------------------
+                                 31.90   data required time
+                                -15.49   data arrival time
+-----------------------------------------------------------------------------
+                                 16.41   slack (MET)
+
+
+Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
+Endpoint: mprj/u_wb_host/_5987_
+          (rising edge-triggered flip-flop clocked by wb_clk)
+Path Group: wb_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           soc/clknet_0_core_clk (net)
+                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_0_core_clk (net)
+                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_1_core_clk (net)
+                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_2_core_clk (net)
+                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_3_core_clk (net)
+                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_4_core_clk (net)
+                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           soc/clknet_1_1_5_core_clk (net)
+                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_1_1_6_core_clk (net)
+                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_2_3_0_core_clk (net)
+                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_2_3_1_core_clk (net)
+                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_0_core_clk (net)
+                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_1_core_clk (net)
+                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_3_7_2_core_clk (net)
+                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_4_14_0_core_clk (net)
+                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_5_28_0_core_clk (net)
+                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.26                           soc/clknet_5_28_1_core_clk (net)
+                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           soc/clknet_leaf_243_core_clk (net)
+                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.11    0.39    6.87 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
+     1    0.01                           soc/core.grant[0] (net)
+                  0.11    0.00    6.87 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
+                  0.16    0.21    7.08 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
+    10    0.10                           soc/net3544 (net)
+                  0.16    0.00    7.08 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
+                  0.22    0.26    7.34 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
+    14    0.11                           soc/net3546 (net)
+                  0.22    0.02    7.36 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
+                  0.31    0.36    7.72 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
+    17    0.11                           soc/net3545 (net)
+                  0.32    0.02    7.75 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
+                  0.09    0.11    7.86 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.01                           soc/_13629_ (net)
+                  0.09    0.00    7.86 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
+                  0.05    0.16    8.02 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
+     4    0.04                           soc/net3233 (net)
+                  0.05    0.00    8.02 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
+                  0.11    0.18    8.20 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
+    16    0.14                           soc/net3235 (net)
+                  0.12    0.03    8.23 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.19    8.42 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
+    12    0.06                           soc/net3231 (net)
+                  0.06    0.00    8.43 v soc/wire3232/A (sky130_fd_sc_hd__buf_12)
+                  0.11    0.20    8.63 v soc/wire3232/X (sky130_fd_sc_hd__buf_12)
+    30    0.20                           soc/net3232 (net)
+                  0.11    0.01    8.64 v soc/_14220_/B2 (sky130_fd_sc_hd__a221oi_2)
+                  0.36    0.41    9.05 ^ soc/_14220_/Y (sky130_fd_sc_hd__a221oi_2)
+     1    0.02                           soc/_13683_ (net)
+                  0.36    0.00    9.05 ^ soc/wire2621/A (sky130_fd_sc_hd__buf_4)
+                  0.28    0.37    9.42 ^ soc/wire2621/X (sky130_fd_sc_hd__buf_4)
+    12    0.10                           soc/net2621 (net)
+                  0.28    0.02    9.43 ^ soc/_14221_/A (sky130_fd_sc_hd__inv_2)
+                  0.16    0.21    9.64 v soc/_14221_/Y (sky130_fd_sc_hd__inv_2)
+     6    0.06                           soc/net623 (net)
+                  0.16    0.01    9.65 v soc/output623/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.18    9.83 v soc/output623/X (sky130_fd_sc_hd__buf_12)
+     3    0.01                           mprj_adr_o_core[19] (net)
+                  0.02    0.00    9.83 v mgmt_buffers/input398/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.15    9.98 v mgmt_buffers/input398/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net398 (net)
+                  0.07    0.01    9.99 v mgmt_buffers/wire1647/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.16 v mgmt_buffers/wire1647/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1647 (net)
+                  0.07    0.01   10.17 v mgmt_buffers/wire1646/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.35 v mgmt_buffers/wire1646/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1646 (net)
+                  0.07    0.01   10.36 v mgmt_buffers/wire1645/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.54 v mgmt_buffers/wire1645/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1645 (net)
+                  0.07    0.01   10.55 v mgmt_buffers/_324_/B (sky130_fd_sc_hd__and2_4)
+                  0.08    0.23   10.78 v mgmt_buffers/_324_/X (sky130_fd_sc_hd__and2_4)
+     2    0.05                           mgmt_buffers/net858 (net)
+                  0.08    0.00   10.78 v mgmt_buffers/wire1402/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.96 v mgmt_buffers/wire1402/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1402 (net)
+                  0.07    0.01   10.97 v mgmt_buffers/output858/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.12   11.09 v mgmt_buffers/output858/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_adr_o_user[19] (net)
+                  0.02    0.00   11.09 v mprj/u_rp_south/u_rp[67].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.18    0.22   11.31 v mprj/u_rp_south/u_rp[67].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.05                           mprj/u_rp_south/net25 (net)
+                  0.18    0.01   11.31 v mprj/u_rp_south/wire25/A (sky130_fd_sc_hd__buf_4)
+                  0.32    0.33   11.64 v mprj/u_rp_south/wire25/X (sky130_fd_sc_hd__buf_4)
+     2    0.28                           mprj/ch_out_south[67] (net)
+                  0.53    0.23   11.87 v mprj/u_wb_host/input51/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.29   12.16 v mprj/u_wb_host/input51/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/net51 (net)
+                  0.08    0.00   12.16 v mprj/u_wb_host/_2786_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.17    0.44   12.60 v mprj/u_wb_host/_2786_/X (sky130_fd_sc_hd__mux2_1)
+     4    0.03                           mprj/u_wb_host/_1192_ (net)
+                  0.17    0.00   12.60 v mprj/u_wb_host/_2801_/B (sky130_fd_sc_hd__or2_1)
+                  0.11    0.33   12.93 v mprj/u_wb_host/_2801_/X (sky130_fd_sc_hd__or2_1)
+     2    0.02                           mprj/u_wb_host/_1206_ (net)
+                  0.11    0.00   12.93 v mprj/u_wb_host/_2802_/B (sky130_fd_sc_hd__nor2_2)
+                  0.52    0.45   13.39 ^ mprj/u_wb_host/_2802_/Y (sky130_fd_sc_hd__nor2_2)
+     2    0.05                           mprj/u_wb_host/_1207_ (net)
+                  0.52    0.00   13.39 ^ mprj/u_wb_host/_2803_/B1 (sky130_fd_sc_hd__o31a_4)
+                  0.22    0.40   13.78 ^ mprj/u_wb_host/_2803_/X (sky130_fd_sc_hd__o31a_4)
+    16    0.08                           mprj/u_wb_host/_1208_ (net)
+                  0.22    0.00   13.79 ^ mprj/u_wb_host/_3136_/B (sky130_fd_sc_hd__nand2_2)
+                  0.10    0.14   13.93 v mprj/u_wb_host/_3136_/Y (sky130_fd_sc_hd__nand2_2)
+     4    0.02                           mprj/u_wb_host/_1403_ (net)
+                  0.10    0.00   13.93 v mprj/u_wb_host/_3137_/B (sky130_fd_sc_hd__nor2_4)
+                  0.30    0.28   14.20 ^ mprj/u_wb_host/_3137_/Y (sky130_fd_sc_hd__nor2_4)
+     6    0.05                           mprj/u_wb_host/_1404_ (net)
+                  0.30    0.00   14.20 ^ mprj/u_wb_host/fanout355/A (sky130_fd_sc_hd__buf_4)
+                  0.22    0.32   14.53 ^ mprj/u_wb_host/fanout355/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net355 (net)
+                  0.22    0.01   14.54 ^ mprj/u_wb_host/fanout354/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.30   14.84 ^ mprj/u_wb_host/fanout354/X (sky130_fd_sc_hd__buf_4)
+    18    0.08                           mprj/u_wb_host/net354 (net)
+                  0.21    0.00   14.84 ^ mprj/u_wb_host/fanout353/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.30   15.14 ^ mprj/u_wb_host/fanout353/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net353 (net)
+                  0.21    0.00   15.14 ^ mprj/u_wb_host/_4518_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.35   15.49 v mprj/u_wb_host/_4518_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0507_ (net)
+                  0.05    0.00   15.49 v mprj/u_wb_host/_5987_/D (sky130_fd_sc_hd__dfxtp_1)
+                                 15.49   data arrival time
+
+                         25.00   25.00   clock wb_clk (rise edge)
+                          0.00   25.00   clock source latency
+                  1.00    0.00   25.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09   29.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00   29.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27   29.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03   29.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37   29.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20   30.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35   30.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01   30.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   30.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00   30.65 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   30.79 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
+                  0.06    0.00   30.79 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13   30.92 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_3_0_wbm_clk_i (net)
+                  0.05    0.00   30.92 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.15    0.20   31.13 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    12    0.08                           mprj/u_wb_host/clknet_3_6_0_wbm_clk_i (net)
+                  0.15    0.00   31.13 ^ mprj/u_wb_host/clkbuf_leaf_34_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.18   31.31 ^ mprj/u_wb_host/clkbuf_leaf_34_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.05                           mprj/u_wb_host/clknet_leaf_34_wbm_clk_i (net)
+                  0.07    0.00   31.31 ^ mprj/u_wb_host/_5987_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                         -0.25   31.06   clock uncertainty
+                          0.95   32.01   clock reconvergence pessimism
+                         -0.11   31.90   library setup time
+                                 31.90   data required time
+-----------------------------------------------------------------------------
+                                 31.90   data required time
+                                -15.49   data arrival time
+-----------------------------------------------------------------------------
+                                 16.41   slack (MET)
+
+
+Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
+Endpoint: mprj/u_wb_host/_6039_
+          (rising edge-triggered flip-flop clocked by wb_clk)
+Path Group: wb_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           soc/clknet_0_core_clk (net)
+                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_0_core_clk (net)
+                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_1_core_clk (net)
+                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_2_core_clk (net)
+                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_3_core_clk (net)
+                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_4_core_clk (net)
+                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           soc/clknet_1_1_5_core_clk (net)
+                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_1_1_6_core_clk (net)
+                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_2_3_0_core_clk (net)
+                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_2_3_1_core_clk (net)
+                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_0_core_clk (net)
+                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_1_core_clk (net)
+                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_3_7_2_core_clk (net)
+                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_4_14_0_core_clk (net)
+                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_5_28_0_core_clk (net)
+                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.26                           soc/clknet_5_28_1_core_clk (net)
+                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           soc/clknet_leaf_243_core_clk (net)
+                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.11    0.39    6.87 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
+     1    0.01                           soc/core.grant[0] (net)
+                  0.11    0.00    6.87 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
+                  0.16    0.21    7.08 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
+    10    0.10                           soc/net3544 (net)
+                  0.16    0.00    7.08 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
+                  0.22    0.26    7.34 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
+    14    0.11                           soc/net3546 (net)
+                  0.22    0.02    7.36 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
+                  0.31    0.36    7.72 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
+    17    0.11                           soc/net3545 (net)
+                  0.32    0.02    7.75 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
+                  0.09    0.11    7.86 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.01                           soc/_13629_ (net)
+                  0.09    0.00    7.86 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
+                  0.05    0.16    8.02 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
+     4    0.04                           soc/net3233 (net)
+                  0.05    0.00    8.02 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
+                  0.11    0.18    8.20 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
+    16    0.14                           soc/net3235 (net)
+                  0.12    0.03    8.23 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.19    8.42 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
+    12    0.06                           soc/net3231 (net)
+                  0.06    0.00    8.43 v soc/wire3232/A (sky130_fd_sc_hd__buf_12)
+                  0.11    0.20    8.63 v soc/wire3232/X (sky130_fd_sc_hd__buf_12)
+    30    0.20                           soc/net3232 (net)
+                  0.11    0.01    8.64 v soc/_14220_/B2 (sky130_fd_sc_hd__a221oi_2)
+                  0.36    0.41    9.05 ^ soc/_14220_/Y (sky130_fd_sc_hd__a221oi_2)
+     1    0.02                           soc/_13683_ (net)
+                  0.36    0.00    9.05 ^ soc/wire2621/A (sky130_fd_sc_hd__buf_4)
+                  0.28    0.37    9.42 ^ soc/wire2621/X (sky130_fd_sc_hd__buf_4)
+    12    0.10                           soc/net2621 (net)
+                  0.28    0.02    9.43 ^ soc/_14221_/A (sky130_fd_sc_hd__inv_2)
+                  0.16    0.21    9.64 v soc/_14221_/Y (sky130_fd_sc_hd__inv_2)
+     6    0.06                           soc/net623 (net)
+                  0.16    0.01    9.65 v soc/output623/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.18    9.83 v soc/output623/X (sky130_fd_sc_hd__buf_12)
+     3    0.01                           mprj_adr_o_core[19] (net)
+                  0.02    0.00    9.83 v mgmt_buffers/input398/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.15    9.98 v mgmt_buffers/input398/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net398 (net)
+                  0.07    0.01    9.99 v mgmt_buffers/wire1647/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.16 v mgmt_buffers/wire1647/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1647 (net)
+                  0.07    0.01   10.17 v mgmt_buffers/wire1646/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.35 v mgmt_buffers/wire1646/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1646 (net)
+                  0.07    0.01   10.36 v mgmt_buffers/wire1645/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.54 v mgmt_buffers/wire1645/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1645 (net)
+                  0.07    0.01   10.55 v mgmt_buffers/_324_/B (sky130_fd_sc_hd__and2_4)
+                  0.08    0.23   10.78 v mgmt_buffers/_324_/X (sky130_fd_sc_hd__and2_4)
+     2    0.05                           mgmt_buffers/net858 (net)
+                  0.08    0.00   10.78 v mgmt_buffers/wire1402/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.96 v mgmt_buffers/wire1402/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1402 (net)
+                  0.07    0.01   10.97 v mgmt_buffers/output858/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.12   11.09 v mgmt_buffers/output858/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_adr_o_user[19] (net)
+                  0.02    0.00   11.09 v mprj/u_rp_south/u_rp[67].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.18    0.22   11.31 v mprj/u_rp_south/u_rp[67].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.05                           mprj/u_rp_south/net25 (net)
+                  0.18    0.01   11.31 v mprj/u_rp_south/wire25/A (sky130_fd_sc_hd__buf_4)
+                  0.32    0.33   11.64 v mprj/u_rp_south/wire25/X (sky130_fd_sc_hd__buf_4)
+     2    0.28                           mprj/ch_out_south[67] (net)
+                  0.53    0.23   11.87 v mprj/u_wb_host/input51/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.29   12.16 v mprj/u_wb_host/input51/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/net51 (net)
+                  0.08    0.00   12.16 v mprj/u_wb_host/_2786_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.17    0.44   12.60 v mprj/u_wb_host/_2786_/X (sky130_fd_sc_hd__mux2_1)
+     4    0.03                           mprj/u_wb_host/_1192_ (net)
+                  0.17    0.00   12.60 v mprj/u_wb_host/_2801_/B (sky130_fd_sc_hd__or2_1)
+                  0.11    0.33   12.93 v mprj/u_wb_host/_2801_/X (sky130_fd_sc_hd__or2_1)
+     2    0.02                           mprj/u_wb_host/_1206_ (net)
+                  0.11    0.00   12.93 v mprj/u_wb_host/_2802_/B (sky130_fd_sc_hd__nor2_2)
+                  0.52    0.45   13.39 ^ mprj/u_wb_host/_2802_/Y (sky130_fd_sc_hd__nor2_2)
+     2    0.05                           mprj/u_wb_host/_1207_ (net)
+                  0.52    0.00   13.39 ^ mprj/u_wb_host/_2803_/B1 (sky130_fd_sc_hd__o31a_4)
+                  0.22    0.40   13.78 ^ mprj/u_wb_host/_2803_/X (sky130_fd_sc_hd__o31a_4)
+    16    0.08                           mprj/u_wb_host/_1208_ (net)
+                  0.22    0.00   13.79 ^ mprj/u_wb_host/_3136_/B (sky130_fd_sc_hd__nand2_2)
+                  0.10    0.14   13.93 v mprj/u_wb_host/_3136_/Y (sky130_fd_sc_hd__nand2_2)
+     4    0.02                           mprj/u_wb_host/_1403_ (net)
+                  0.10    0.00   13.93 v mprj/u_wb_host/_3137_/B (sky130_fd_sc_hd__nor2_4)
+                  0.30    0.28   14.20 ^ mprj/u_wb_host/_3137_/Y (sky130_fd_sc_hd__nor2_4)
+     6    0.05                           mprj/u_wb_host/_1404_ (net)
+                  0.30    0.00   14.20 ^ mprj/u_wb_host/fanout355/A (sky130_fd_sc_hd__buf_4)
+                  0.22    0.32   14.53 ^ mprj/u_wb_host/fanout355/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net355 (net)
+                  0.22    0.01   14.54 ^ mprj/u_wb_host/fanout354/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.30   14.84 ^ mprj/u_wb_host/fanout354/X (sky130_fd_sc_hd__buf_4)
+    18    0.08                           mprj/u_wb_host/net354 (net)
+                  0.21    0.00   14.84 ^ mprj/u_wb_host/fanout353/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.30   15.14 ^ mprj/u_wb_host/fanout353/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net353 (net)
+                  0.21    0.00   15.14 ^ mprj/u_wb_host/_4586_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.35   15.49 v mprj/u_wb_host/_4586_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0559_ (net)
+                  0.05    0.00   15.49 v mprj/u_wb_host/_6039_/D (sky130_fd_sc_hd__dfxtp_1)
+                                 15.49   data arrival time
+
+                         25.00   25.00   clock wb_clk (rise edge)
+                          0.00   25.00   clock source latency
+                  1.00    0.00   25.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09   29.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00   29.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27   29.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03   29.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37   29.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20   30.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35   30.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01   30.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   30.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00   30.65 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   30.79 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
+                  0.06    0.00   30.79 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13   30.92 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_3_0_wbm_clk_i (net)
+                  0.05    0.00   30.92 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.15    0.20   31.13 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    12    0.08                           mprj/u_wb_host/clknet_3_6_0_wbm_clk_i (net)
+                  0.15    0.00   31.13 ^ mprj/u_wb_host/clkbuf_leaf_33_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.18   31.30 ^ mprj/u_wb_host/clkbuf_leaf_33_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    15    0.05                           mprj/u_wb_host/clknet_leaf_33_wbm_clk_i (net)
+                  0.07    0.00   31.31 ^ mprj/u_wb_host/_6039_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                         -0.25   31.06   clock uncertainty
+                          0.95   32.01   clock reconvergence pessimism
+                         -0.11   31.90   library setup time
+                                 31.90   data required time
+-----------------------------------------------------------------------------
+                                 31.90   data required time
+                                -15.49   data arrival time
+-----------------------------------------------------------------------------
+                                 16.41   slack (MET)
+
+
+Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
+Endpoint: mprj/u_wb_host/_6006_
+          (rising edge-triggered flip-flop clocked by wb_clk)
+Path Group: wb_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           soc/clknet_0_core_clk (net)
+                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_0_core_clk (net)
+                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_1_core_clk (net)
+                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_2_core_clk (net)
+                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_3_core_clk (net)
+                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_4_core_clk (net)
+                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           soc/clknet_1_1_5_core_clk (net)
+                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_1_1_6_core_clk (net)
+                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_2_3_0_core_clk (net)
+                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_2_3_1_core_clk (net)
+                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_0_core_clk (net)
+                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_1_core_clk (net)
+                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_3_7_2_core_clk (net)
+                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_4_14_0_core_clk (net)
+                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_5_28_0_core_clk (net)
+                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.26                           soc/clknet_5_28_1_core_clk (net)
+                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           soc/clknet_leaf_243_core_clk (net)
+                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.11    0.39    6.87 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
+     1    0.01                           soc/core.grant[0] (net)
+                  0.11    0.00    6.87 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
+                  0.16    0.21    7.08 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
+    10    0.10                           soc/net3544 (net)
+                  0.16    0.00    7.08 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
+                  0.22    0.26    7.34 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
+    14    0.11                           soc/net3546 (net)
+                  0.22    0.02    7.36 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
+                  0.31    0.36    7.72 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
+    17    0.11                           soc/net3545 (net)
+                  0.32    0.02    7.75 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
+                  0.09    0.11    7.86 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.01                           soc/_13629_ (net)
+                  0.09    0.00    7.86 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
+                  0.05    0.16    8.02 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
+     4    0.04                           soc/net3233 (net)
+                  0.05    0.00    8.02 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
+                  0.11    0.18    8.20 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
+    16    0.14                           soc/net3235 (net)
+                  0.12    0.03    8.23 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.19    8.42 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
+    12    0.06                           soc/net3231 (net)
+                  0.06    0.00    8.43 v soc/wire3232/A (sky130_fd_sc_hd__buf_12)
+                  0.11    0.20    8.63 v soc/wire3232/X (sky130_fd_sc_hd__buf_12)
+    30    0.20                           soc/net3232 (net)
+                  0.11    0.01    8.64 v soc/_14220_/B2 (sky130_fd_sc_hd__a221oi_2)
+                  0.36    0.41    9.05 ^ soc/_14220_/Y (sky130_fd_sc_hd__a221oi_2)
+     1    0.02                           soc/_13683_ (net)
+                  0.36    0.00    9.05 ^ soc/wire2621/A (sky130_fd_sc_hd__buf_4)
+                  0.28    0.37    9.42 ^ soc/wire2621/X (sky130_fd_sc_hd__buf_4)
+    12    0.10                           soc/net2621 (net)
+                  0.28    0.02    9.43 ^ soc/_14221_/A (sky130_fd_sc_hd__inv_2)
+                  0.16    0.21    9.64 v soc/_14221_/Y (sky130_fd_sc_hd__inv_2)
+     6    0.06                           soc/net623 (net)
+                  0.16    0.01    9.65 v soc/output623/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.18    9.83 v soc/output623/X (sky130_fd_sc_hd__buf_12)
+     3    0.01                           mprj_adr_o_core[19] (net)
+                  0.02    0.00    9.83 v mgmt_buffers/input398/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.15    9.98 v mgmt_buffers/input398/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net398 (net)
+                  0.07    0.01    9.99 v mgmt_buffers/wire1647/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.16 v mgmt_buffers/wire1647/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1647 (net)
+                  0.07    0.01   10.17 v mgmt_buffers/wire1646/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.35 v mgmt_buffers/wire1646/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1646 (net)
+                  0.07    0.01   10.36 v mgmt_buffers/wire1645/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.54 v mgmt_buffers/wire1645/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1645 (net)
+                  0.07    0.01   10.55 v mgmt_buffers/_324_/B (sky130_fd_sc_hd__and2_4)
+                  0.08    0.23   10.78 v mgmt_buffers/_324_/X (sky130_fd_sc_hd__and2_4)
+     2    0.05                           mgmt_buffers/net858 (net)
+                  0.08    0.00   10.78 v mgmt_buffers/wire1402/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.96 v mgmt_buffers/wire1402/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1402 (net)
+                  0.07    0.01   10.97 v mgmt_buffers/output858/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.12   11.09 v mgmt_buffers/output858/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_adr_o_user[19] (net)
+                  0.02    0.00   11.09 v mprj/u_rp_south/u_rp[67].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.18    0.22   11.31 v mprj/u_rp_south/u_rp[67].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.05                           mprj/u_rp_south/net25 (net)
+                  0.18    0.01   11.31 v mprj/u_rp_south/wire25/A (sky130_fd_sc_hd__buf_4)
+                  0.32    0.33   11.64 v mprj/u_rp_south/wire25/X (sky130_fd_sc_hd__buf_4)
+     2    0.28                           mprj/ch_out_south[67] (net)
+                  0.53    0.23   11.87 v mprj/u_wb_host/input51/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.29   12.16 v mprj/u_wb_host/input51/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/net51 (net)
+                  0.08    0.00   12.16 v mprj/u_wb_host/_2786_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.17    0.44   12.60 v mprj/u_wb_host/_2786_/X (sky130_fd_sc_hd__mux2_1)
+     4    0.03                           mprj/u_wb_host/_1192_ (net)
+                  0.17    0.00   12.60 v mprj/u_wb_host/_2801_/B (sky130_fd_sc_hd__or2_1)
+                  0.11    0.33   12.93 v mprj/u_wb_host/_2801_/X (sky130_fd_sc_hd__or2_1)
+     2    0.02                           mprj/u_wb_host/_1206_ (net)
+                  0.11    0.00   12.93 v mprj/u_wb_host/_2802_/B (sky130_fd_sc_hd__nor2_2)
+                  0.52    0.45   13.39 ^ mprj/u_wb_host/_2802_/Y (sky130_fd_sc_hd__nor2_2)
+     2    0.05                           mprj/u_wb_host/_1207_ (net)
+                  0.52    0.00   13.39 ^ mprj/u_wb_host/_2803_/B1 (sky130_fd_sc_hd__o31a_4)
+                  0.22    0.40   13.78 ^ mprj/u_wb_host/_2803_/X (sky130_fd_sc_hd__o31a_4)
+    16    0.08                           mprj/u_wb_host/_1208_ (net)
+                  0.22    0.00   13.79 ^ mprj/u_wb_host/_3136_/B (sky130_fd_sc_hd__nand2_2)
+                  0.10    0.14   13.93 v mprj/u_wb_host/_3136_/Y (sky130_fd_sc_hd__nand2_2)
+     4    0.02                           mprj/u_wb_host/_1403_ (net)
+                  0.10    0.00   13.93 v mprj/u_wb_host/_3137_/B (sky130_fd_sc_hd__nor2_4)
+                  0.30    0.28   14.20 ^ mprj/u_wb_host/_3137_/Y (sky130_fd_sc_hd__nor2_4)
+     6    0.05                           mprj/u_wb_host/_1404_ (net)
+                  0.30    0.00   14.20 ^ mprj/u_wb_host/fanout355/A (sky130_fd_sc_hd__buf_4)
+                  0.22    0.32   14.53 ^ mprj/u_wb_host/fanout355/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net355 (net)
+                  0.22    0.01   14.54 ^ mprj/u_wb_host/fanout354/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.30   14.84 ^ mprj/u_wb_host/fanout354/X (sky130_fd_sc_hd__buf_4)
+    18    0.08                           mprj/u_wb_host/net354 (net)
+                  0.21    0.00   14.84 ^ mprj/u_wb_host/fanout353/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.30   15.14 ^ mprj/u_wb_host/fanout353/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net353 (net)
+                  0.21    0.00   15.14 ^ mprj/u_wb_host/_4537_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.35   15.49 v mprj/u_wb_host/_4537_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0526_ (net)
+                  0.05    0.00   15.49 v mprj/u_wb_host/_6006_/D (sky130_fd_sc_hd__dfxtp_1)
+                                 15.49   data arrival time
+
+                         25.00   25.00   clock wb_clk (rise edge)
+                          0.00   25.00   clock source latency
+                  1.00    0.00   25.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09   29.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00   29.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27   29.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03   29.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37   29.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20   30.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35   30.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01   30.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   30.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00   30.65 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   30.79 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
+                  0.06    0.00   30.79 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13   30.92 ^ mprj/u_wb_host/clkbuf_2_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_3_0_wbm_clk_i (net)
+                  0.05    0.00   30.92 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.15    0.20   31.13 ^ mprj/u_wb_host/clkbuf_3_6_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    12    0.08                           mprj/u_wb_host/clknet_3_6_0_wbm_clk_i (net)
+                  0.15    0.00   31.13 ^ mprj/u_wb_host/clkbuf_leaf_34_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.18   31.31 ^ mprj/u_wb_host/clkbuf_leaf_34_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.05                           mprj/u_wb_host/clknet_leaf_34_wbm_clk_i (net)
+                  0.07    0.00   31.31 ^ mprj/u_wb_host/_6006_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                         -0.25   31.06   clock uncertainty
+                          0.95   32.01   clock reconvergence pessimism
+                         -0.11   31.90   library setup time
+                                 31.90   data required time
+-----------------------------------------------------------------------------
+                                 31.90   data required time
+                                -15.49   data arrival time
+-----------------------------------------------------------------------------
+                                 16.42   slack (MET)
+
+
+Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
+Endpoint: mprj/u_wb_host/_6568_
+          (rising edge-triggered flip-flop clocked by wb_clk)
+Path Group: wb_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           soc/clknet_0_core_clk (net)
+                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_0_core_clk (net)
+                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_1_core_clk (net)
+                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_2_core_clk (net)
+                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_3_core_clk (net)
+                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_4_core_clk (net)
+                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           soc/clknet_1_1_5_core_clk (net)
+                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_1_1_6_core_clk (net)
+                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_2_3_0_core_clk (net)
+                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_2_3_1_core_clk (net)
+                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_0_core_clk (net)
+                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_1_core_clk (net)
+                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_3_7_2_core_clk (net)
+                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_4_14_0_core_clk (net)
+                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_5_28_0_core_clk (net)
+                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.26                           soc/clknet_5_28_1_core_clk (net)
+                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           soc/clknet_leaf_243_core_clk (net)
+                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.11    0.39    6.87 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
+     1    0.01                           soc/core.grant[0] (net)
+                  0.11    0.00    6.87 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
+                  0.16    0.21    7.08 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
+    10    0.10                           soc/net3544 (net)
+                  0.16    0.00    7.08 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
+                  0.22    0.26    7.34 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
+    14    0.11                           soc/net3546 (net)
+                  0.22    0.02    7.36 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
+                  0.31    0.36    7.72 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
+    17    0.11                           soc/net3545 (net)
+                  0.32    0.02    7.75 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
+                  0.09    0.11    7.86 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.01                           soc/_13629_ (net)
+                  0.09    0.00    7.86 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
+                  0.05    0.16    8.02 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
+     4    0.04                           soc/net3233 (net)
+                  0.05    0.00    8.02 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
+                  0.11    0.18    8.20 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
+    16    0.14                           soc/net3235 (net)
+                  0.12    0.03    8.23 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.19    8.42 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
+    12    0.06                           soc/net3231 (net)
+                  0.06    0.00    8.43 v soc/_14146_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.32    8.75 v soc/_14146_/X (sky130_fd_sc_hd__and2_2)
+    10    0.06                           soc/_13643_ (net)
+                  0.15    0.01    8.75 v soc/_14147_/C1 (sky130_fd_sc_hd__a221oi_4)
+                  0.73    0.63    9.38 ^ soc/_14147_/Y (sky130_fd_sc_hd__a221oi_4)
+     6    0.06                           soc/_13644_ (net)
+                  0.73    0.01    9.38 ^ soc/max_length2753/A (sky130_fd_sc_hd__buf_6)
+                  0.21    0.32    9.71 ^ soc/max_length2753/X (sky130_fd_sc_hd__buf_6)
+     9    0.10                           soc/net2753 (net)
+                  0.21    0.02    9.73 ^ soc/wire2751/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.32   10.05 ^ soc/wire2751/X (sky130_fd_sc_hd__buf_4)
+     4    0.09                           soc/net2751 (net)
+                  0.25    0.01   10.06 ^ soc/_14148_/A (sky130_fd_sc_hd__inv_12)
+                  0.09    0.09   10.15 v soc/_14148_/Y (sky130_fd_sc_hd__inv_12)
+     4    0.08                           soc/net634 (net)
+                  0.09    0.01   10.17 v soc/wire2404/A (sky130_fd_sc_hd__buf_12)
+                  0.10    0.19   10.36 v soc/wire2404/X (sky130_fd_sc_hd__buf_12)
+     7    0.17                           soc/net2404 (net)
+                  0.13    0.04   10.40 v soc/output634/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.16   10.56 v soc/output634/X (sky130_fd_sc_hd__buf_12)
+     3    0.01                           mprj_adr_o_core[2] (net)
+                  0.02    0.00   10.56 v mgmt_buffers/input410/A (sky130_fd_sc_hd__buf_6)
+                  0.04    0.13   10.69 v mgmt_buffers/input410/X (sky130_fd_sc_hd__buf_6)
+     2    0.03                           mgmt_buffers/net410 (net)
+                  0.04    0.00   10.69 v mgmt_buffers/wire1596/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.16   10.85 v mgmt_buffers/wire1596/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1596 (net)
+                  0.07    0.01   10.86 v mgmt_buffers/wire1595/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.20   11.06 v mgmt_buffers/wire1595/X (sky130_fd_sc_hd__buf_6)
+     2    0.11                           mgmt_buffers/net1595 (net)
+                  0.10    0.01   11.07 v mgmt_buffers/wire1594/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.19   11.25 v mgmt_buffers/wire1594/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1594 (net)
+                  0.07    0.01   11.26 v mgmt_buffers/wire1593/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   11.44 v mgmt_buffers/wire1593/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1593 (net)
+                  0.07    0.01   11.45 v mgmt_buffers/wire1592/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.17   11.62 v mgmt_buffers/wire1592/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1592 (net)
+                  0.07    0.01   11.63 v mgmt_buffers/_307_/B (sky130_fd_sc_hd__and2_4)
+                  0.06    0.21   11.83 v mgmt_buffers/_307_/X (sky130_fd_sc_hd__and2_4)
+     2    0.03                           mgmt_buffers/net870 (net)
+                  0.06    0.00   11.84 v mgmt_buffers/output870/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.12   11.96 v mgmt_buffers/output870/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_adr_o_user[2] (net)
+                  0.02    0.00   11.96 v mprj/u_rp_south/u_rp[14].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.16    0.23   12.19 v mprj/u_rp_south/u_rp[14].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.08                           mprj/u_rp_south/net70 (net)
+                  0.17    0.02   12.21 v mprj/u_rp_south/wire70/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.24   12.45 v mprj/u_rp_south/wire70/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[14] (net)
+                  0.44    0.21   12.66 v mprj/u_wb_host/input53/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.25   12.91 v mprj/u_wb_host/input53/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/net53 (net)
+                  0.07    0.00   12.91 v mprj/u_wb_host/_4045_/A (sky130_fd_sc_hd__or2_1)
+                  0.09    0.29   13.20 v mprj/u_wb_host/_4045_/X (sky130_fd_sc_hd__or2_1)
+     2    0.01                           mprj/u_wb_host/_2068_ (net)
+                  0.09    0.00   13.20 v mprj/u_wb_host/_4046_/B1 (sky130_fd_sc_hd__o21ai_4)
+                  0.35    0.16   13.37 ^ mprj/u_wb_host/_4046_/Y (sky130_fd_sc_hd__o21ai_4)
+     8    0.05                           mprj/u_wb_host/_2069_ (net)
+                  0.35    0.00   13.37 ^ mprj/u_wb_host/fanout515/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.33   13.70 ^ mprj/u_wb_host/fanout515/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net515 (net)
+                  0.21    0.00   13.70 ^ mprj/u_wb_host/_4071_/A (sky130_fd_sc_hd__nand2_2)
+                  0.23    0.24   13.95 v mprj/u_wb_host/_4071_/Y (sky130_fd_sc_hd__nand2_2)
+     6    0.05                           mprj/u_wb_host/_2087_ (net)
+                  0.23    0.00   13.95 v mprj/u_wb_host/fanout459/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.32   14.27 v mprj/u_wb_host/fanout459/X (sky130_fd_sc_hd__clkbuf_2)
+    12    0.05                           mprj/u_wb_host/net459 (net)
+                  0.19    0.00   14.27 v mprj/u_wb_host/_5404_/C (sky130_fd_sc_hd__or3_1)
+                  0.11    0.43   14.70 v mprj/u_wb_host/_5404_/X (sky130_fd_sc_hd__or3_1)
+     1    0.01                           mprj/u_wb_host/_2670_ (net)
+                  0.11    0.00   14.70 v mprj/u_wb_host/fanout363/A (sky130_fd_sc_hd__buf_2)
+                  0.12    0.24   14.94 v mprj/u_wb_host/fanout363/X (sky130_fd_sc_hd__buf_2)
+    10    0.05                           mprj/u_wb_host/net363 (net)
+                  0.12    0.00   14.95 v mprj/u_wb_host/fanout361/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.25   15.20 v mprj/u_wb_host/fanout361/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net361 (net)
+                  0.11    0.00   15.20 v mprj/u_wb_host/_5427_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.33   15.54 v mprj/u_wb_host/_5427_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_1057_ (net)
+                  0.05    0.00   15.54 v mprj/u_wb_host/_6568_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 15.54   data arrival time
+
+                         25.00   25.00   clock wb_clk (rise edge)
+                          0.00   25.00   clock source latency
+                  1.00    0.00   25.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09   29.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00   29.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27   29.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03   29.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37   29.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20   30.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35   30.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01   30.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   30.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00   30.65 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15   30.80 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00   30.80 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   30.94 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_0_0_wbm_clk_i (net)
+                  0.06    0.00   30.94 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.22    0.25   31.19 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    18    0.13                           mprj/u_wb_host/clknet_3_0_0_wbm_clk_i (net)
+                  0.22    0.00   31.19 ^ mprj/u_wb_host/clkbuf_leaf_1_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.18   31.37 ^ mprj/u_wb_host/clkbuf_leaf_1_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.03                           mprj/u_wb_host/clknet_leaf_1_wbm_clk_i (net)
+                  0.05    0.00   31.37 ^ mprj/u_wb_host/_6568_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   31.12   clock uncertainty
+                          0.95   32.07   clock reconvergence pessimism
+                         -0.12   31.96   library setup time
+                                 31.96   data required time
+-----------------------------------------------------------------------------
+                                 31.96   data required time
+                                -15.54   data arrival time
+-----------------------------------------------------------------------------
+                                 16.42   slack (MET)
+
+
+Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
+Endpoint: mprj/u_wb_host/_6576_
+          (rising edge-triggered flip-flop clocked by wb_clk)
+Path Group: wb_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           soc/clknet_0_core_clk (net)
+                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_0_core_clk (net)
+                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_1_core_clk (net)
+                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_2_core_clk (net)
+                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_3_core_clk (net)
+                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_4_core_clk (net)
+                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           soc/clknet_1_1_5_core_clk (net)
+                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_1_1_6_core_clk (net)
+                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_2_3_0_core_clk (net)
+                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_2_3_1_core_clk (net)
+                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_0_core_clk (net)
+                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_1_core_clk (net)
+                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_3_7_2_core_clk (net)
+                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_4_14_0_core_clk (net)
+                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_5_28_0_core_clk (net)
+                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.26                           soc/clknet_5_28_1_core_clk (net)
+                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           soc/clknet_leaf_243_core_clk (net)
+                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.11    0.39    6.87 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
+     1    0.01                           soc/core.grant[0] (net)
+                  0.11    0.00    6.87 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
+                  0.16    0.21    7.08 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
+    10    0.10                           soc/net3544 (net)
+                  0.16    0.00    7.08 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
+                  0.22    0.26    7.34 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
+    14    0.11                           soc/net3546 (net)
+                  0.22    0.02    7.36 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
+                  0.31    0.36    7.72 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
+    17    0.11                           soc/net3545 (net)
+                  0.32    0.02    7.75 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
+                  0.09    0.11    7.86 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.01                           soc/_13629_ (net)
+                  0.09    0.00    7.86 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
+                  0.05    0.16    8.02 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
+     4    0.04                           soc/net3233 (net)
+                  0.05    0.00    8.02 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
+                  0.11    0.18    8.20 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
+    16    0.14                           soc/net3235 (net)
+                  0.12    0.03    8.23 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.19    8.42 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
+    12    0.06                           soc/net3231 (net)
+                  0.06    0.00    8.43 v soc/_14146_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.32    8.75 v soc/_14146_/X (sky130_fd_sc_hd__and2_2)
+    10    0.06                           soc/_13643_ (net)
+                  0.15    0.01    8.75 v soc/_14147_/C1 (sky130_fd_sc_hd__a221oi_4)
+                  0.73    0.63    9.38 ^ soc/_14147_/Y (sky130_fd_sc_hd__a221oi_4)
+     6    0.06                           soc/_13644_ (net)
+                  0.73    0.01    9.38 ^ soc/max_length2753/A (sky130_fd_sc_hd__buf_6)
+                  0.21    0.32    9.71 ^ soc/max_length2753/X (sky130_fd_sc_hd__buf_6)
+     9    0.10                           soc/net2753 (net)
+                  0.21    0.02    9.73 ^ soc/wire2751/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.32   10.05 ^ soc/wire2751/X (sky130_fd_sc_hd__buf_4)
+     4    0.09                           soc/net2751 (net)
+                  0.25    0.01   10.06 ^ soc/_14148_/A (sky130_fd_sc_hd__inv_12)
+                  0.09    0.09   10.15 v soc/_14148_/Y (sky130_fd_sc_hd__inv_12)
+     4    0.08                           soc/net634 (net)
+                  0.09    0.01   10.17 v soc/wire2404/A (sky130_fd_sc_hd__buf_12)
+                  0.10    0.19   10.36 v soc/wire2404/X (sky130_fd_sc_hd__buf_12)
+     7    0.17                           soc/net2404 (net)
+                  0.13    0.04   10.40 v soc/output634/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.16   10.56 v soc/output634/X (sky130_fd_sc_hd__buf_12)
+     3    0.01                           mprj_adr_o_core[2] (net)
+                  0.02    0.00   10.56 v mgmt_buffers/input410/A (sky130_fd_sc_hd__buf_6)
+                  0.04    0.13   10.69 v mgmt_buffers/input410/X (sky130_fd_sc_hd__buf_6)
+     2    0.03                           mgmt_buffers/net410 (net)
+                  0.04    0.00   10.69 v mgmt_buffers/wire1596/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.16   10.85 v mgmt_buffers/wire1596/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1596 (net)
+                  0.07    0.01   10.86 v mgmt_buffers/wire1595/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.20   11.06 v mgmt_buffers/wire1595/X (sky130_fd_sc_hd__buf_6)
+     2    0.11                           mgmt_buffers/net1595 (net)
+                  0.10    0.01   11.07 v mgmt_buffers/wire1594/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.19   11.25 v mgmt_buffers/wire1594/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1594 (net)
+                  0.07    0.01   11.26 v mgmt_buffers/wire1593/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   11.44 v mgmt_buffers/wire1593/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1593 (net)
+                  0.07    0.01   11.45 v mgmt_buffers/wire1592/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.17   11.62 v mgmt_buffers/wire1592/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1592 (net)
+                  0.07    0.01   11.63 v mgmt_buffers/_307_/B (sky130_fd_sc_hd__and2_4)
+                  0.06    0.21   11.83 v mgmt_buffers/_307_/X (sky130_fd_sc_hd__and2_4)
+     2    0.03                           mgmt_buffers/net870 (net)
+                  0.06    0.00   11.84 v mgmt_buffers/output870/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.12   11.96 v mgmt_buffers/output870/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_adr_o_user[2] (net)
+                  0.02    0.00   11.96 v mprj/u_rp_south/u_rp[14].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.16    0.23   12.19 v mprj/u_rp_south/u_rp[14].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.08                           mprj/u_rp_south/net70 (net)
+                  0.17    0.02   12.21 v mprj/u_rp_south/wire70/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.24   12.45 v mprj/u_rp_south/wire70/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[14] (net)
+                  0.44    0.21   12.66 v mprj/u_wb_host/input53/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.25   12.91 v mprj/u_wb_host/input53/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/net53 (net)
+                  0.07    0.00   12.91 v mprj/u_wb_host/_4045_/A (sky130_fd_sc_hd__or2_1)
+                  0.09    0.29   13.20 v mprj/u_wb_host/_4045_/X (sky130_fd_sc_hd__or2_1)
+     2    0.01                           mprj/u_wb_host/_2068_ (net)
+                  0.09    0.00   13.20 v mprj/u_wb_host/_4046_/B1 (sky130_fd_sc_hd__o21ai_4)
+                  0.35    0.16   13.37 ^ mprj/u_wb_host/_4046_/Y (sky130_fd_sc_hd__o21ai_4)
+     8    0.05                           mprj/u_wb_host/_2069_ (net)
+                  0.35    0.00   13.37 ^ mprj/u_wb_host/fanout515/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.33   13.70 ^ mprj/u_wb_host/fanout515/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net515 (net)
+                  0.21    0.00   13.70 ^ mprj/u_wb_host/_4071_/A (sky130_fd_sc_hd__nand2_2)
+                  0.23    0.24   13.95 v mprj/u_wb_host/_4071_/Y (sky130_fd_sc_hd__nand2_2)
+     6    0.05                           mprj/u_wb_host/_2087_ (net)
+                  0.23    0.00   13.95 v mprj/u_wb_host/fanout459/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.32   14.27 v mprj/u_wb_host/fanout459/X (sky130_fd_sc_hd__clkbuf_2)
+    12    0.05                           mprj/u_wb_host/net459 (net)
+                  0.19    0.00   14.27 v mprj/u_wb_host/_5404_/C (sky130_fd_sc_hd__or3_1)
+                  0.11    0.43   14.70 v mprj/u_wb_host/_5404_/X (sky130_fd_sc_hd__or3_1)
+     1    0.01                           mprj/u_wb_host/_2670_ (net)
+                  0.11    0.00   14.70 v mprj/u_wb_host/fanout363/A (sky130_fd_sc_hd__buf_2)
+                  0.12    0.24   14.94 v mprj/u_wb_host/fanout363/X (sky130_fd_sc_hd__buf_2)
+    10    0.05                           mprj/u_wb_host/net363 (net)
+                  0.12    0.00   14.94 v mprj/u_wb_host/fanout362/A (sky130_fd_sc_hd__buf_4)
+                  0.09    0.24   15.19 v mprj/u_wb_host/fanout362/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_wb_host/net362 (net)
+                  0.09    0.00   15.19 v mprj/u_wb_host/_5435_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.33   15.52 v mprj/u_wb_host/_5435_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_1065_ (net)
+                  0.05    0.00   15.52 v mprj/u_wb_host/_6576_/D (sky130_fd_sc_hd__dfrtp_2)
+                                 15.52   data arrival time
+
+                         25.00   25.00   clock wb_clk (rise edge)
+                          0.00   25.00   clock source latency
+                  1.00    0.00   25.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09   29.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00   29.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27   29.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03   29.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37   29.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20   30.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35   30.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01   30.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   30.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00   30.65 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15   30.80 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00   30.80 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   30.94 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_0_0_wbm_clk_i (net)
+                  0.06    0.00   30.94 ^ mprj/u_wb_host/clkbuf_3_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.22    0.25   31.19 ^ mprj/u_wb_host/clkbuf_3_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    18    0.12                           mprj/u_wb_host/clknet_3_1_0_wbm_clk_i (net)
+                  0.22    0.00   31.19 ^ mprj/u_wb_host/clkbuf_leaf_61_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18   31.36 ^ mprj/u_wb_host/clkbuf_leaf_61_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     5    0.02                           mprj/u_wb_host/clknet_leaf_61_wbm_clk_i (net)
+                  0.04    0.00   31.36 ^ mprj/u_wb_host/_6576_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                         -0.25   31.11   clock uncertainty
+                          0.95   32.06   clock reconvergence pessimism
+                         -0.12   31.95   library setup time
+                                 31.95   data required time
+-----------------------------------------------------------------------------
+                                 31.95   data required time
+                                -15.52   data arrival time
+-----------------------------------------------------------------------------
+                                 16.42   slack (MET)
+
+
+Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
+Endpoint: mprj/u_wb_host/_6083_
+          (rising edge-triggered flip-flop clocked by wb_clk)
+Path Group: wb_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           soc/clknet_0_core_clk (net)
+                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_0_core_clk (net)
+                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_1_core_clk (net)
+                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_2_core_clk (net)
+                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_3_core_clk (net)
+                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_4_core_clk (net)
+                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           soc/clknet_1_1_5_core_clk (net)
+                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_1_1_6_core_clk (net)
+                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_2_3_0_core_clk (net)
+                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_2_3_1_core_clk (net)
+                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_0_core_clk (net)
+                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_1_core_clk (net)
+                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_3_7_2_core_clk (net)
+                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_4_14_0_core_clk (net)
+                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_5_28_0_core_clk (net)
+                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.26                           soc/clknet_5_28_1_core_clk (net)
+                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           soc/clknet_leaf_243_core_clk (net)
+                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.11    0.39    6.87 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
+     1    0.01                           soc/core.grant[0] (net)
+                  0.11    0.00    6.87 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
+                  0.16    0.21    7.08 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
+    10    0.10                           soc/net3544 (net)
+                  0.16    0.00    7.08 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
+                  0.22    0.26    7.34 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
+    14    0.11                           soc/net3546 (net)
+                  0.22    0.02    7.36 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
+                  0.31    0.36    7.72 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
+    17    0.11                           soc/net3545 (net)
+                  0.32    0.02    7.75 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
+                  0.09    0.11    7.86 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.01                           soc/_13629_ (net)
+                  0.09    0.00    7.86 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
+                  0.05    0.16    8.02 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
+     4    0.04                           soc/net3233 (net)
+                  0.05    0.00    8.02 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
+                  0.11    0.18    8.20 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
+    16    0.14                           soc/net3235 (net)
+                  0.12    0.03    8.23 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.19    8.42 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
+    12    0.06                           soc/net3231 (net)
+                  0.06    0.00    8.43 v soc/wire3232/A (sky130_fd_sc_hd__buf_12)
+                  0.11    0.20    8.63 v soc/wire3232/X (sky130_fd_sc_hd__buf_12)
+    30    0.20                           soc/net3232 (net)
+                  0.11    0.01    8.64 v soc/_14220_/B2 (sky130_fd_sc_hd__a221oi_2)
+                  0.36    0.41    9.05 ^ soc/_14220_/Y (sky130_fd_sc_hd__a221oi_2)
+     1    0.02                           soc/_13683_ (net)
+                  0.36    0.00    9.05 ^ soc/wire2621/A (sky130_fd_sc_hd__buf_4)
+                  0.28    0.37    9.42 ^ soc/wire2621/X (sky130_fd_sc_hd__buf_4)
+    12    0.10                           soc/net2621 (net)
+                  0.28    0.02    9.43 ^ soc/_14221_/A (sky130_fd_sc_hd__inv_2)
+                  0.16    0.21    9.64 v soc/_14221_/Y (sky130_fd_sc_hd__inv_2)
+     6    0.06                           soc/net623 (net)
+                  0.16    0.01    9.65 v soc/output623/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.18    9.83 v soc/output623/X (sky130_fd_sc_hd__buf_12)
+     3    0.01                           mprj_adr_o_core[19] (net)
+                  0.02    0.00    9.83 v mgmt_buffers/input398/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.15    9.98 v mgmt_buffers/input398/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net398 (net)
+                  0.07    0.01    9.99 v mgmt_buffers/wire1647/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.16 v mgmt_buffers/wire1647/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1647 (net)
+                  0.07    0.01   10.17 v mgmt_buffers/wire1646/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.35 v mgmt_buffers/wire1646/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1646 (net)
+                  0.07    0.01   10.36 v mgmt_buffers/wire1645/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.54 v mgmt_buffers/wire1645/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1645 (net)
+                  0.07    0.01   10.55 v mgmt_buffers/_324_/B (sky130_fd_sc_hd__and2_4)
+                  0.08    0.23   10.78 v mgmt_buffers/_324_/X (sky130_fd_sc_hd__and2_4)
+     2    0.05                           mgmt_buffers/net858 (net)
+                  0.08    0.00   10.78 v mgmt_buffers/wire1402/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.96 v mgmt_buffers/wire1402/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1402 (net)
+                  0.07    0.01   10.97 v mgmt_buffers/output858/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.12   11.09 v mgmt_buffers/output858/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_adr_o_user[19] (net)
+                  0.02    0.00   11.09 v mprj/u_rp_south/u_rp[67].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.18    0.22   11.31 v mprj/u_rp_south/u_rp[67].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.05                           mprj/u_rp_south/net25 (net)
+                  0.18    0.01   11.31 v mprj/u_rp_south/wire25/A (sky130_fd_sc_hd__buf_4)
+                  0.32    0.33   11.64 v mprj/u_rp_south/wire25/X (sky130_fd_sc_hd__buf_4)
+     2    0.28                           mprj/ch_out_south[67] (net)
+                  0.53    0.23   11.87 v mprj/u_wb_host/input51/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.29   12.16 v mprj/u_wb_host/input51/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/net51 (net)
+                  0.08    0.00   12.16 v mprj/u_wb_host/_2786_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.17    0.44   12.60 v mprj/u_wb_host/_2786_/X (sky130_fd_sc_hd__mux2_1)
+     4    0.03                           mprj/u_wb_host/_1192_ (net)
+                  0.17    0.00   12.60 v mprj/u_wb_host/_2801_/B (sky130_fd_sc_hd__or2_1)
+                  0.11    0.33   12.93 v mprj/u_wb_host/_2801_/X (sky130_fd_sc_hd__or2_1)
+     2    0.02                           mprj/u_wb_host/_1206_ (net)
+                  0.11    0.00   12.93 v mprj/u_wb_host/_2802_/B (sky130_fd_sc_hd__nor2_2)
+                  0.52    0.45   13.39 ^ mprj/u_wb_host/_2802_/Y (sky130_fd_sc_hd__nor2_2)
+     2    0.05                           mprj/u_wb_host/_1207_ (net)
+                  0.52    0.00   13.39 ^ mprj/u_wb_host/_2803_/B1 (sky130_fd_sc_hd__o31a_4)
+                  0.22    0.40   13.78 ^ mprj/u_wb_host/_2803_/X (sky130_fd_sc_hd__o31a_4)
+    16    0.08                           mprj/u_wb_host/_1208_ (net)
+                  0.22    0.00   13.79 ^ mprj/u_wb_host/_4593_/C (sky130_fd_sc_hd__and3b_4)
+                  0.22    0.38   14.16 ^ mprj/u_wb_host/_4593_/X (sky130_fd_sc_hd__and3b_4)
+     8    0.06                           mprj/u_wb_host/_2328_ (net)
+                  0.22    0.01   14.17 ^ mprj/u_wb_host/fanout395/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.19    0.31   14.49 ^ mprj/u_wb_host/fanout395/X (sky130_fd_sc_hd__clkbuf_4)
+    12    0.06                           mprj/u_wb_host/net395 (net)
+                  0.19    0.00   14.49 ^ mprj/u_wb_host/fanout392/A (sky130_fd_sc_hd__buf_4)
+                  0.24    0.31   14.80 ^ mprj/u_wb_host/fanout392/X (sky130_fd_sc_hd__buf_4)
+    20    0.09                           mprj/u_wb_host/net392 (net)
+                  0.24    0.01   14.81 ^ mprj/u_wb_host/fanout391/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.28    0.39   15.20 ^ mprj/u_wb_host/fanout391/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.09                           mprj/u_wb_host/net391 (net)
+                  0.28    0.00   15.20 ^ mprj/u_wb_host/_4631_/S (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.37   15.57 v mprj/u_wb_host/_4631_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0603_ (net)
+                  0.06    0.00   15.57 v mprj/u_wb_host/_6083_/D (sky130_fd_sc_hd__dfxtp_1)
+                                 15.57   data arrival time
+
+                         25.00   25.00   clock wb_clk (rise edge)
+                          0.00   25.00   clock source latency
+                  1.00    0.00   25.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09   29.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00   29.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27   29.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03   29.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37   29.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20   30.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35   30.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01   30.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   30.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00   30.65 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15   30.80 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00   30.80 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   30.94 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00   30.94 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.25    0.27   31.21 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
+                  0.25    0.00   31.21 ^ mprj/u_wb_host/clkbuf_leaf_14_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.19   31.41 ^ mprj/u_wb_host/clkbuf_leaf_14_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.03                           mprj/u_wb_host/clknet_leaf_14_wbm_clk_i (net)
+                  0.05    0.00   31.41 ^ mprj/u_wb_host/_6083_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                         -0.25   31.16   clock uncertainty
+                          0.95   32.11   clock reconvergence pessimism
+                         -0.11   31.99   library setup time
+                                 31.99   data required time
+-----------------------------------------------------------------------------
+                                 31.99   data required time
+                                -15.57   data arrival time
+-----------------------------------------------------------------------------
+                                 16.43   slack (MET)
+
+
+Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
+Endpoint: mprj/u_wb_host/_6048_
+          (rising edge-triggered flip-flop clocked by wb_clk)
+Path Group: wb_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           soc/clknet_0_core_clk (net)
+                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_0_core_clk (net)
+                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_1_core_clk (net)
+                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_2_core_clk (net)
+                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_3_core_clk (net)
+                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_4_core_clk (net)
+                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           soc/clknet_1_1_5_core_clk (net)
+                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_1_1_6_core_clk (net)
+                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_2_3_0_core_clk (net)
+                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_2_3_1_core_clk (net)
+                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_0_core_clk (net)
+                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_1_core_clk (net)
+                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_3_7_2_core_clk (net)
+                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_4_14_0_core_clk (net)
+                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_5_28_0_core_clk (net)
+                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.26                           soc/clknet_5_28_1_core_clk (net)
+                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           soc/clknet_leaf_243_core_clk (net)
+                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.11    0.39    6.87 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
+     1    0.01                           soc/core.grant[0] (net)
+                  0.11    0.00    6.87 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
+                  0.16    0.21    7.08 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
+    10    0.10                           soc/net3544 (net)
+                  0.16    0.00    7.08 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
+                  0.22    0.26    7.34 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
+    14    0.11                           soc/net3546 (net)
+                  0.22    0.02    7.36 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
+                  0.31    0.36    7.72 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
+    17    0.11                           soc/net3545 (net)
+                  0.32    0.02    7.75 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
+                  0.09    0.11    7.86 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.01                           soc/_13629_ (net)
+                  0.09    0.00    7.86 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
+                  0.05    0.16    8.02 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
+     4    0.04                           soc/net3233 (net)
+                  0.05    0.00    8.02 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
+                  0.11    0.18    8.20 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
+    16    0.14                           soc/net3235 (net)
+                  0.12    0.03    8.23 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.19    8.42 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
+    12    0.06                           soc/net3231 (net)
+                  0.06    0.00    8.43 v soc/wire3232/A (sky130_fd_sc_hd__buf_12)
+                  0.11    0.20    8.63 v soc/wire3232/X (sky130_fd_sc_hd__buf_12)
+    30    0.20                           soc/net3232 (net)
+                  0.11    0.01    8.64 v soc/_14220_/B2 (sky130_fd_sc_hd__a221oi_2)
+                  0.36    0.41    9.05 ^ soc/_14220_/Y (sky130_fd_sc_hd__a221oi_2)
+     1    0.02                           soc/_13683_ (net)
+                  0.36    0.00    9.05 ^ soc/wire2621/A (sky130_fd_sc_hd__buf_4)
+                  0.28    0.37    9.42 ^ soc/wire2621/X (sky130_fd_sc_hd__buf_4)
+    12    0.10                           soc/net2621 (net)
+                  0.28    0.02    9.43 ^ soc/_14221_/A (sky130_fd_sc_hd__inv_2)
+                  0.16    0.21    9.64 v soc/_14221_/Y (sky130_fd_sc_hd__inv_2)
+     6    0.06                           soc/net623 (net)
+                  0.16    0.01    9.65 v soc/output623/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.18    9.83 v soc/output623/X (sky130_fd_sc_hd__buf_12)
+     3    0.01                           mprj_adr_o_core[19] (net)
+                  0.02    0.00    9.83 v mgmt_buffers/input398/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.15    9.98 v mgmt_buffers/input398/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net398 (net)
+                  0.07    0.01    9.99 v mgmt_buffers/wire1647/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.16 v mgmt_buffers/wire1647/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1647 (net)
+                  0.07    0.01   10.17 v mgmt_buffers/wire1646/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.35 v mgmt_buffers/wire1646/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1646 (net)
+                  0.07    0.01   10.36 v mgmt_buffers/wire1645/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.54 v mgmt_buffers/wire1645/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1645 (net)
+                  0.07    0.01   10.55 v mgmt_buffers/_324_/B (sky130_fd_sc_hd__and2_4)
+                  0.08    0.23   10.78 v mgmt_buffers/_324_/X (sky130_fd_sc_hd__and2_4)
+     2    0.05                           mgmt_buffers/net858 (net)
+                  0.08    0.00   10.78 v mgmt_buffers/wire1402/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.96 v mgmt_buffers/wire1402/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1402 (net)
+                  0.07    0.01   10.97 v mgmt_buffers/output858/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.12   11.09 v mgmt_buffers/output858/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_adr_o_user[19] (net)
+                  0.02    0.00   11.09 v mprj/u_rp_south/u_rp[67].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.18    0.22   11.31 v mprj/u_rp_south/u_rp[67].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.05                           mprj/u_rp_south/net25 (net)
+                  0.18    0.01   11.31 v mprj/u_rp_south/wire25/A (sky130_fd_sc_hd__buf_4)
+                  0.32    0.33   11.64 v mprj/u_rp_south/wire25/X (sky130_fd_sc_hd__buf_4)
+     2    0.28                           mprj/ch_out_south[67] (net)
+                  0.53    0.23   11.87 v mprj/u_wb_host/input51/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.29   12.16 v mprj/u_wb_host/input51/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/net51 (net)
+                  0.08    0.00   12.16 v mprj/u_wb_host/_2786_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.17    0.44   12.60 v mprj/u_wb_host/_2786_/X (sky130_fd_sc_hd__mux2_1)
+     4    0.03                           mprj/u_wb_host/_1192_ (net)
+                  0.17    0.00   12.60 v mprj/u_wb_host/_2801_/B (sky130_fd_sc_hd__or2_1)
+                  0.11    0.33   12.93 v mprj/u_wb_host/_2801_/X (sky130_fd_sc_hd__or2_1)
+     2    0.02                           mprj/u_wb_host/_1206_ (net)
+                  0.11    0.00   12.93 v mprj/u_wb_host/_2802_/B (sky130_fd_sc_hd__nor2_2)
+                  0.52    0.45   13.39 ^ mprj/u_wb_host/_2802_/Y (sky130_fd_sc_hd__nor2_2)
+     2    0.05                           mprj/u_wb_host/_1207_ (net)
+                  0.52    0.00   13.39 ^ mprj/u_wb_host/_2803_/B1 (sky130_fd_sc_hd__o31a_4)
+                  0.22    0.40   13.78 ^ mprj/u_wb_host/_2803_/X (sky130_fd_sc_hd__o31a_4)
+    16    0.08                           mprj/u_wb_host/_1208_ (net)
+                  0.22    0.00   13.79 ^ mprj/u_wb_host/_4593_/C (sky130_fd_sc_hd__and3b_4)
+                  0.22    0.38   14.16 ^ mprj/u_wb_host/_4593_/X (sky130_fd_sc_hd__and3b_4)
+     8    0.06                           mprj/u_wb_host/_2328_ (net)
+                  0.22    0.01   14.17 ^ mprj/u_wb_host/fanout395/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.19    0.31   14.49 ^ mprj/u_wb_host/fanout395/X (sky130_fd_sc_hd__clkbuf_4)
+    12    0.06                           mprj/u_wb_host/net395 (net)
+                  0.19    0.00   14.49 ^ mprj/u_wb_host/fanout392/A (sky130_fd_sc_hd__buf_4)
+                  0.24    0.31   14.80 ^ mprj/u_wb_host/fanout392/X (sky130_fd_sc_hd__buf_4)
+    20    0.09                           mprj/u_wb_host/net392 (net)
+                  0.24    0.01   14.81 ^ mprj/u_wb_host/fanout391/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.28    0.39   15.20 ^ mprj/u_wb_host/fanout391/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.09                           mprj/u_wb_host/net391 (net)
+                  0.28    0.00   15.20 ^ mprj/u_wb_host/_4596_/S (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.37   15.57 v mprj/u_wb_host/_4596_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0568_ (net)
+                  0.06    0.00   15.57 v mprj/u_wb_host/_6048_/D (sky130_fd_sc_hd__dfxtp_1)
+                                 15.57   data arrival time
+
+                         25.00   25.00   clock wb_clk (rise edge)
+                          0.00   25.00   clock source latency
+                  1.00    0.00   25.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09   29.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00   29.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27   29.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03   29.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37   29.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20   30.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35   30.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01   30.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   30.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00   30.65 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15   30.80 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00   30.80 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   30.94 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00   30.94 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.25    0.27   31.21 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
+                  0.25    0.00   31.21 ^ mprj/u_wb_host/clkbuf_leaf_16_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   31.41 ^ mprj/u_wb_host/clkbuf_leaf_16_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_wb_host/clknet_leaf_16_wbm_clk_i (net)
+                  0.06    0.00   31.41 ^ mprj/u_wb_host/_6048_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                         -0.25   31.16   clock uncertainty
+                          0.95   32.11   clock reconvergence pessimism
+                         -0.11   31.99   library setup time
+                                 31.99   data required time
+-----------------------------------------------------------------------------
+                                 31.99   data required time
+                                -15.57   data arrival time
+-----------------------------------------------------------------------------
+                                 16.43   slack (MET)
+
+
+Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
+Endpoint: mprj/u_wb_host/_6553_
+          (rising edge-triggered flip-flop clocked by wb_clk)
+Path Group: wb_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           soc/clknet_0_core_clk (net)
+                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_0_core_clk (net)
+                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_1_core_clk (net)
+                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_2_core_clk (net)
+                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_3_core_clk (net)
+                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_4_core_clk (net)
+                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           soc/clknet_1_1_5_core_clk (net)
+                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_1_1_6_core_clk (net)
+                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_2_3_0_core_clk (net)
+                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_2_3_1_core_clk (net)
+                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_0_core_clk (net)
+                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_1_core_clk (net)
+                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_3_7_2_core_clk (net)
+                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_4_14_0_core_clk (net)
+                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_5_28_0_core_clk (net)
+                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.26                           soc/clknet_5_28_1_core_clk (net)
+                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           soc/clknet_leaf_243_core_clk (net)
+                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.11    0.39    6.87 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
+     1    0.01                           soc/core.grant[0] (net)
+                  0.11    0.00    6.87 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
+                  0.16    0.21    7.08 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
+    10    0.10                           soc/net3544 (net)
+                  0.16    0.00    7.08 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
+                  0.22    0.26    7.34 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
+    14    0.11                           soc/net3546 (net)
+                  0.22    0.02    7.36 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
+                  0.31    0.36    7.72 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
+    17    0.11                           soc/net3545 (net)
+                  0.32    0.02    7.75 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
+                  0.09    0.11    7.86 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.01                           soc/_13629_ (net)
+                  0.09    0.00    7.86 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
+                  0.05    0.16    8.02 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
+     4    0.04                           soc/net3233 (net)
+                  0.05    0.00    8.02 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
+                  0.11    0.18    8.20 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
+    16    0.14                           soc/net3235 (net)
+                  0.12    0.03    8.23 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.19    8.42 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
+    12    0.06                           soc/net3231 (net)
+                  0.06    0.00    8.43 v soc/_14146_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.32    8.75 v soc/_14146_/X (sky130_fd_sc_hd__and2_2)
+    10    0.06                           soc/_13643_ (net)
+                  0.15    0.01    8.75 v soc/_14147_/C1 (sky130_fd_sc_hd__a221oi_4)
+                  0.73    0.63    9.38 ^ soc/_14147_/Y (sky130_fd_sc_hd__a221oi_4)
+     6    0.06                           soc/_13644_ (net)
+                  0.73    0.01    9.38 ^ soc/max_length2753/A (sky130_fd_sc_hd__buf_6)
+                  0.21    0.32    9.71 ^ soc/max_length2753/X (sky130_fd_sc_hd__buf_6)
+     9    0.10                           soc/net2753 (net)
+                  0.21    0.02    9.73 ^ soc/wire2751/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.32   10.05 ^ soc/wire2751/X (sky130_fd_sc_hd__buf_4)
+     4    0.09                           soc/net2751 (net)
+                  0.25    0.01   10.06 ^ soc/_14148_/A (sky130_fd_sc_hd__inv_12)
+                  0.09    0.09   10.15 v soc/_14148_/Y (sky130_fd_sc_hd__inv_12)
+     4    0.08                           soc/net634 (net)
+                  0.09    0.01   10.17 v soc/wire2404/A (sky130_fd_sc_hd__buf_12)
+                  0.10    0.19   10.36 v soc/wire2404/X (sky130_fd_sc_hd__buf_12)
+     7    0.17                           soc/net2404 (net)
+                  0.13    0.04   10.40 v soc/output634/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.16   10.56 v soc/output634/X (sky130_fd_sc_hd__buf_12)
+     3    0.01                           mprj_adr_o_core[2] (net)
+                  0.02    0.00   10.56 v mgmt_buffers/input410/A (sky130_fd_sc_hd__buf_6)
+                  0.04    0.13   10.69 v mgmt_buffers/input410/X (sky130_fd_sc_hd__buf_6)
+     2    0.03                           mgmt_buffers/net410 (net)
+                  0.04    0.00   10.69 v mgmt_buffers/wire1596/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.16   10.85 v mgmt_buffers/wire1596/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1596 (net)
+                  0.07    0.01   10.86 v mgmt_buffers/wire1595/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.20   11.06 v mgmt_buffers/wire1595/X (sky130_fd_sc_hd__buf_6)
+     2    0.11                           mgmt_buffers/net1595 (net)
+                  0.10    0.01   11.07 v mgmt_buffers/wire1594/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.19   11.25 v mgmt_buffers/wire1594/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1594 (net)
+                  0.07    0.01   11.26 v mgmt_buffers/wire1593/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   11.44 v mgmt_buffers/wire1593/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1593 (net)
+                  0.07    0.01   11.45 v mgmt_buffers/wire1592/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.17   11.62 v mgmt_buffers/wire1592/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1592 (net)
+                  0.07    0.01   11.63 v mgmt_buffers/_307_/B (sky130_fd_sc_hd__and2_4)
+                  0.06    0.21   11.83 v mgmt_buffers/_307_/X (sky130_fd_sc_hd__and2_4)
+     2    0.03                           mgmt_buffers/net870 (net)
+                  0.06    0.00   11.84 v mgmt_buffers/output870/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.12   11.96 v mgmt_buffers/output870/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_adr_o_user[2] (net)
+                  0.02    0.00   11.96 v mprj/u_rp_south/u_rp[14].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.16    0.23   12.19 v mprj/u_rp_south/u_rp[14].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.08                           mprj/u_rp_south/net70 (net)
+                  0.17    0.02   12.21 v mprj/u_rp_south/wire70/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.24   12.45 v mprj/u_rp_south/wire70/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[14] (net)
+                  0.44    0.21   12.66 v mprj/u_wb_host/input53/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.25   12.91 v mprj/u_wb_host/input53/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/net53 (net)
+                  0.07    0.00   12.91 v mprj/u_wb_host/_4045_/A (sky130_fd_sc_hd__or2_1)
+                  0.09    0.29   13.20 v mprj/u_wb_host/_4045_/X (sky130_fd_sc_hd__or2_1)
+     2    0.01                           mprj/u_wb_host/_2068_ (net)
+                  0.09    0.00   13.20 v mprj/u_wb_host/_4046_/B1 (sky130_fd_sc_hd__o21ai_4)
+                  0.35    0.16   13.37 ^ mprj/u_wb_host/_4046_/Y (sky130_fd_sc_hd__o21ai_4)
+     8    0.05                           mprj/u_wb_host/_2069_ (net)
+                  0.35    0.00   13.37 ^ mprj/u_wb_host/fanout515/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.33   13.70 ^ mprj/u_wb_host/fanout515/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net515 (net)
+                  0.21    0.00   13.70 ^ mprj/u_wb_host/_4071_/A (sky130_fd_sc_hd__nand2_2)
+                  0.23    0.24   13.95 v mprj/u_wb_host/_4071_/Y (sky130_fd_sc_hd__nand2_2)
+     6    0.05                           mprj/u_wb_host/_2087_ (net)
+                  0.23    0.00   13.95 v mprj/u_wb_host/fanout459/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.32   14.27 v mprj/u_wb_host/fanout459/X (sky130_fd_sc_hd__clkbuf_2)
+    12    0.05                           mprj/u_wb_host/net459 (net)
+                  0.19    0.00   14.27 v mprj/u_wb_host/_5404_/C (sky130_fd_sc_hd__or3_1)
+                  0.11    0.43   14.70 v mprj/u_wb_host/_5404_/X (sky130_fd_sc_hd__or3_1)
+     1    0.01                           mprj/u_wb_host/_2670_ (net)
+                  0.11    0.00   14.70 v mprj/u_wb_host/fanout363/A (sky130_fd_sc_hd__buf_2)
+                  0.12    0.24   14.94 v mprj/u_wb_host/fanout363/X (sky130_fd_sc_hd__buf_2)
+    10    0.05                           mprj/u_wb_host/net363 (net)
+                  0.12    0.00   14.94 v mprj/u_wb_host/fanout362/A (sky130_fd_sc_hd__buf_4)
+                  0.09    0.24   15.19 v mprj/u_wb_host/fanout362/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_wb_host/net362 (net)
+                  0.09    0.00   15.19 v mprj/u_wb_host/_5412_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.33   15.52 v mprj/u_wb_host/_5412_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_1042_ (net)
+                  0.05    0.00   15.52 v mprj/u_wb_host/_6553_/D (sky130_fd_sc_hd__dfrtp_2)
+                                 15.52   data arrival time
+
+                         25.00   25.00   clock wb_clk (rise edge)
+                          0.00   25.00   clock source latency
+                  1.00    0.00   25.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09   29.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00   29.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27   29.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03   29.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37   29.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20   30.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35   30.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01   30.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   30.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00   30.65 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15   30.80 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00   30.80 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   30.94 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_0_0_wbm_clk_i (net)
+                  0.06    0.00   30.94 ^ mprj/u_wb_host/clkbuf_3_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.22    0.25   31.19 ^ mprj/u_wb_host/clkbuf_3_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    18    0.12                           mprj/u_wb_host/clknet_3_1_0_wbm_clk_i (net)
+                  0.22    0.00   31.19 ^ mprj/u_wb_host/clkbuf_leaf_61_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18   31.36 ^ mprj/u_wb_host/clkbuf_leaf_61_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     5    0.02                           mprj/u_wb_host/clknet_leaf_61_wbm_clk_i (net)
+                  0.04    0.00   31.36 ^ mprj/u_wb_host/_6553_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                         -0.25   31.11   clock uncertainty
+                          0.95   32.06   clock reconvergence pessimism
+                         -0.12   31.95   library setup time
+                                 31.95   data required time
+-----------------------------------------------------------------------------
+                                 31.95   data required time
+                                -15.52   data arrival time
+-----------------------------------------------------------------------------
+                                 16.43   slack (MET)
+
+
+Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
+Endpoint: mprj/u_wb_host/_6551_
+          (rising edge-triggered flip-flop clocked by wb_clk)
+Path Group: wb_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           soc/clknet_0_core_clk (net)
+                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_0_core_clk (net)
+                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_1_core_clk (net)
+                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_2_core_clk (net)
+                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_3_core_clk (net)
+                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_4_core_clk (net)
+                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           soc/clknet_1_1_5_core_clk (net)
+                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_1_1_6_core_clk (net)
+                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_2_3_0_core_clk (net)
+                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_2_3_1_core_clk (net)
+                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_0_core_clk (net)
+                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_1_core_clk (net)
+                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_3_7_2_core_clk (net)
+                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_4_14_0_core_clk (net)
+                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_5_28_0_core_clk (net)
+                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.26                           soc/clknet_5_28_1_core_clk (net)
+                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           soc/clknet_leaf_243_core_clk (net)
+                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.11    0.39    6.87 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
+     1    0.01                           soc/core.grant[0] (net)
+                  0.11    0.00    6.87 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
+                  0.16    0.21    7.08 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
+    10    0.10                           soc/net3544 (net)
+                  0.16    0.00    7.08 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
+                  0.22    0.26    7.34 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
+    14    0.11                           soc/net3546 (net)
+                  0.22    0.02    7.36 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
+                  0.31    0.36    7.72 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
+    17    0.11                           soc/net3545 (net)
+                  0.32    0.02    7.75 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
+                  0.09    0.11    7.86 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.01                           soc/_13629_ (net)
+                  0.09    0.00    7.86 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
+                  0.05    0.16    8.02 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
+     4    0.04                           soc/net3233 (net)
+                  0.05    0.00    8.02 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
+                  0.11    0.18    8.20 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
+    16    0.14                           soc/net3235 (net)
+                  0.12    0.03    8.23 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.19    8.42 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
+    12    0.06                           soc/net3231 (net)
+                  0.06    0.00    8.43 v soc/_14146_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.32    8.75 v soc/_14146_/X (sky130_fd_sc_hd__and2_2)
+    10    0.06                           soc/_13643_ (net)
+                  0.15    0.01    8.75 v soc/_14147_/C1 (sky130_fd_sc_hd__a221oi_4)
+                  0.73    0.63    9.38 ^ soc/_14147_/Y (sky130_fd_sc_hd__a221oi_4)
+     6    0.06                           soc/_13644_ (net)
+                  0.73    0.01    9.38 ^ soc/max_length2753/A (sky130_fd_sc_hd__buf_6)
+                  0.21    0.32    9.71 ^ soc/max_length2753/X (sky130_fd_sc_hd__buf_6)
+     9    0.10                           soc/net2753 (net)
+                  0.21    0.02    9.73 ^ soc/wire2751/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.32   10.05 ^ soc/wire2751/X (sky130_fd_sc_hd__buf_4)
+     4    0.09                           soc/net2751 (net)
+                  0.25    0.01   10.06 ^ soc/_14148_/A (sky130_fd_sc_hd__inv_12)
+                  0.09    0.09   10.15 v soc/_14148_/Y (sky130_fd_sc_hd__inv_12)
+     4    0.08                           soc/net634 (net)
+                  0.09    0.01   10.17 v soc/wire2404/A (sky130_fd_sc_hd__buf_12)
+                  0.10    0.19   10.36 v soc/wire2404/X (sky130_fd_sc_hd__buf_12)
+     7    0.17                           soc/net2404 (net)
+                  0.13    0.04   10.40 v soc/output634/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.16   10.56 v soc/output634/X (sky130_fd_sc_hd__buf_12)
+     3    0.01                           mprj_adr_o_core[2] (net)
+                  0.02    0.00   10.56 v mgmt_buffers/input410/A (sky130_fd_sc_hd__buf_6)
+                  0.04    0.13   10.69 v mgmt_buffers/input410/X (sky130_fd_sc_hd__buf_6)
+     2    0.03                           mgmt_buffers/net410 (net)
+                  0.04    0.00   10.69 v mgmt_buffers/wire1596/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.16   10.85 v mgmt_buffers/wire1596/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1596 (net)
+                  0.07    0.01   10.86 v mgmt_buffers/wire1595/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.20   11.06 v mgmt_buffers/wire1595/X (sky130_fd_sc_hd__buf_6)
+     2    0.11                           mgmt_buffers/net1595 (net)
+                  0.10    0.01   11.07 v mgmt_buffers/wire1594/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.19   11.25 v mgmt_buffers/wire1594/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1594 (net)
+                  0.07    0.01   11.26 v mgmt_buffers/wire1593/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   11.44 v mgmt_buffers/wire1593/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1593 (net)
+                  0.07    0.01   11.45 v mgmt_buffers/wire1592/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.17   11.62 v mgmt_buffers/wire1592/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1592 (net)
+                  0.07    0.01   11.63 v mgmt_buffers/_307_/B (sky130_fd_sc_hd__and2_4)
+                  0.06    0.21   11.83 v mgmt_buffers/_307_/X (sky130_fd_sc_hd__and2_4)
+     2    0.03                           mgmt_buffers/net870 (net)
+                  0.06    0.00   11.84 v mgmt_buffers/output870/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.12   11.96 v mgmt_buffers/output870/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_adr_o_user[2] (net)
+                  0.02    0.00   11.96 v mprj/u_rp_south/u_rp[14].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.16    0.23   12.19 v mprj/u_rp_south/u_rp[14].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.08                           mprj/u_rp_south/net70 (net)
+                  0.17    0.02   12.21 v mprj/u_rp_south/wire70/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.24   12.45 v mprj/u_rp_south/wire70/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[14] (net)
+                  0.44    0.21   12.66 v mprj/u_wb_host/input53/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.25   12.91 v mprj/u_wb_host/input53/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/net53 (net)
+                  0.07    0.00   12.91 v mprj/u_wb_host/_4045_/A (sky130_fd_sc_hd__or2_1)
+                  0.09    0.29   13.20 v mprj/u_wb_host/_4045_/X (sky130_fd_sc_hd__or2_1)
+     2    0.01                           mprj/u_wb_host/_2068_ (net)
+                  0.09    0.00   13.20 v mprj/u_wb_host/_4046_/B1 (sky130_fd_sc_hd__o21ai_4)
+                  0.35    0.16   13.37 ^ mprj/u_wb_host/_4046_/Y (sky130_fd_sc_hd__o21ai_4)
+     8    0.05                           mprj/u_wb_host/_2069_ (net)
+                  0.35    0.00   13.37 ^ mprj/u_wb_host/fanout515/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.33   13.70 ^ mprj/u_wb_host/fanout515/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net515 (net)
+                  0.21    0.00   13.70 ^ mprj/u_wb_host/_4071_/A (sky130_fd_sc_hd__nand2_2)
+                  0.23    0.24   13.95 v mprj/u_wb_host/_4071_/Y (sky130_fd_sc_hd__nand2_2)
+     6    0.05                           mprj/u_wb_host/_2087_ (net)
+                  0.23    0.00   13.95 v mprj/u_wb_host/fanout459/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.32   14.27 v mprj/u_wb_host/fanout459/X (sky130_fd_sc_hd__clkbuf_2)
+    12    0.05                           mprj/u_wb_host/net459 (net)
+                  0.19    0.00   14.27 v mprj/u_wb_host/_5404_/C (sky130_fd_sc_hd__or3_1)
+                  0.11    0.43   14.70 v mprj/u_wb_host/_5404_/X (sky130_fd_sc_hd__or3_1)
+     1    0.01                           mprj/u_wb_host/_2670_ (net)
+                  0.11    0.00   14.70 v mprj/u_wb_host/fanout363/A (sky130_fd_sc_hd__buf_2)
+                  0.12    0.24   14.94 v mprj/u_wb_host/fanout363/X (sky130_fd_sc_hd__buf_2)
+    10    0.05                           mprj/u_wb_host/net363 (net)
+                  0.12    0.00   14.94 v mprj/u_wb_host/fanout362/A (sky130_fd_sc_hd__buf_4)
+                  0.09    0.24   15.19 v mprj/u_wb_host/fanout362/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_wb_host/net362 (net)
+                  0.09    0.00   15.19 v mprj/u_wb_host/_5410_/S (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.34   15.52 v mprj/u_wb_host/_5410_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_1040_ (net)
+                  0.06    0.00   15.52 v mprj/u_wb_host/_6551_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 15.52   data arrival time
+
+                         25.00   25.00   clock wb_clk (rise edge)
+                          0.00   25.00   clock source latency
+                  1.00    0.00   25.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09   29.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00   29.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27   29.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03   29.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37   29.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20   30.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35   30.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01   30.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   30.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00   30.65 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15   30.80 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00   30.80 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   30.94 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_0_0_wbm_clk_i (net)
+                  0.06    0.00   30.94 ^ mprj/u_wb_host/clkbuf_3_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.22    0.25   31.19 ^ mprj/u_wb_host/clkbuf_3_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    18    0.12                           mprj/u_wb_host/clknet_3_1_0_wbm_clk_i (net)
+                  0.22    0.00   31.19 ^ mprj/u_wb_host/clkbuf_leaf_59_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.18   31.37 ^ mprj/u_wb_host/clkbuf_leaf_59_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.02                           mprj/u_wb_host/clknet_leaf_59_wbm_clk_i (net)
+                  0.05    0.00   31.37 ^ mprj/u_wb_host/_6551_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   31.12   clock uncertainty
+                          0.95   32.07   clock reconvergence pessimism
+                         -0.12   31.95   library setup time
+                                 31.95   data required time
+-----------------------------------------------------------------------------
+                                 31.95   data required time
+                                -15.52   data arrival time
+-----------------------------------------------------------------------------
+                                 16.43   slack (MET)
+
+
+Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
+Endpoint: mprj/u_wb_host/_6555_
+          (rising edge-triggered flip-flop clocked by wb_clk)
+Path Group: wb_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           soc/clknet_0_core_clk (net)
+                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_0_core_clk (net)
+                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_1_core_clk (net)
+                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_2_core_clk (net)
+                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_3_core_clk (net)
+                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_4_core_clk (net)
+                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           soc/clknet_1_1_5_core_clk (net)
+                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_1_1_6_core_clk (net)
+                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_2_3_0_core_clk (net)
+                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_2_3_1_core_clk (net)
+                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_0_core_clk (net)
+                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_1_core_clk (net)
+                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_3_7_2_core_clk (net)
+                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_4_14_0_core_clk (net)
+                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_5_28_0_core_clk (net)
+                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.26                           soc/clknet_5_28_1_core_clk (net)
+                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           soc/clknet_leaf_243_core_clk (net)
+                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.11    0.39    6.87 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
+     1    0.01                           soc/core.grant[0] (net)
+                  0.11    0.00    6.87 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
+                  0.16    0.21    7.08 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
+    10    0.10                           soc/net3544 (net)
+                  0.16    0.00    7.08 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
+                  0.22    0.26    7.34 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
+    14    0.11                           soc/net3546 (net)
+                  0.22    0.02    7.36 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
+                  0.31    0.36    7.72 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
+    17    0.11                           soc/net3545 (net)
+                  0.32    0.02    7.75 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
+                  0.09    0.11    7.86 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.01                           soc/_13629_ (net)
+                  0.09    0.00    7.86 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
+                  0.05    0.16    8.02 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
+     4    0.04                           soc/net3233 (net)
+                  0.05    0.00    8.02 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
+                  0.11    0.18    8.20 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
+    16    0.14                           soc/net3235 (net)
+                  0.12    0.03    8.23 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.19    8.42 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
+    12    0.06                           soc/net3231 (net)
+                  0.06    0.00    8.43 v soc/_14146_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.32    8.75 v soc/_14146_/X (sky130_fd_sc_hd__and2_2)
+    10    0.06                           soc/_13643_ (net)
+                  0.15    0.01    8.75 v soc/_14147_/C1 (sky130_fd_sc_hd__a221oi_4)
+                  0.73    0.63    9.38 ^ soc/_14147_/Y (sky130_fd_sc_hd__a221oi_4)
+     6    0.06                           soc/_13644_ (net)
+                  0.73    0.01    9.38 ^ soc/max_length2753/A (sky130_fd_sc_hd__buf_6)
+                  0.21    0.32    9.71 ^ soc/max_length2753/X (sky130_fd_sc_hd__buf_6)
+     9    0.10                           soc/net2753 (net)
+                  0.21    0.02    9.73 ^ soc/wire2751/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.32   10.05 ^ soc/wire2751/X (sky130_fd_sc_hd__buf_4)
+     4    0.09                           soc/net2751 (net)
+                  0.25    0.01   10.06 ^ soc/_14148_/A (sky130_fd_sc_hd__inv_12)
+                  0.09    0.09   10.15 v soc/_14148_/Y (sky130_fd_sc_hd__inv_12)
+     4    0.08                           soc/net634 (net)
+                  0.09    0.01   10.17 v soc/wire2404/A (sky130_fd_sc_hd__buf_12)
+                  0.10    0.19   10.36 v soc/wire2404/X (sky130_fd_sc_hd__buf_12)
+     7    0.17                           soc/net2404 (net)
+                  0.13    0.04   10.40 v soc/output634/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.16   10.56 v soc/output634/X (sky130_fd_sc_hd__buf_12)
+     3    0.01                           mprj_adr_o_core[2] (net)
+                  0.02    0.00   10.56 v mgmt_buffers/input410/A (sky130_fd_sc_hd__buf_6)
+                  0.04    0.13   10.69 v mgmt_buffers/input410/X (sky130_fd_sc_hd__buf_6)
+     2    0.03                           mgmt_buffers/net410 (net)
+                  0.04    0.00   10.69 v mgmt_buffers/wire1596/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.16   10.85 v mgmt_buffers/wire1596/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1596 (net)
+                  0.07    0.01   10.86 v mgmt_buffers/wire1595/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.20   11.06 v mgmt_buffers/wire1595/X (sky130_fd_sc_hd__buf_6)
+     2    0.11                           mgmt_buffers/net1595 (net)
+                  0.10    0.01   11.07 v mgmt_buffers/wire1594/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.19   11.25 v mgmt_buffers/wire1594/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1594 (net)
+                  0.07    0.01   11.26 v mgmt_buffers/wire1593/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   11.44 v mgmt_buffers/wire1593/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1593 (net)
+                  0.07    0.01   11.45 v mgmt_buffers/wire1592/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.17   11.62 v mgmt_buffers/wire1592/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1592 (net)
+                  0.07    0.01   11.63 v mgmt_buffers/_307_/B (sky130_fd_sc_hd__and2_4)
+                  0.06    0.21   11.83 v mgmt_buffers/_307_/X (sky130_fd_sc_hd__and2_4)
+     2    0.03                           mgmt_buffers/net870 (net)
+                  0.06    0.00   11.84 v mgmt_buffers/output870/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.12   11.96 v mgmt_buffers/output870/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_adr_o_user[2] (net)
+                  0.02    0.00   11.96 v mprj/u_rp_south/u_rp[14].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.16    0.23   12.19 v mprj/u_rp_south/u_rp[14].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.08                           mprj/u_rp_south/net70 (net)
+                  0.17    0.02   12.21 v mprj/u_rp_south/wire70/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.24   12.45 v mprj/u_rp_south/wire70/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[14] (net)
+                  0.44    0.21   12.66 v mprj/u_wb_host/input53/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.25   12.91 v mprj/u_wb_host/input53/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/net53 (net)
+                  0.07    0.00   12.91 v mprj/u_wb_host/_4045_/A (sky130_fd_sc_hd__or2_1)
+                  0.09    0.29   13.20 v mprj/u_wb_host/_4045_/X (sky130_fd_sc_hd__or2_1)
+     2    0.01                           mprj/u_wb_host/_2068_ (net)
+                  0.09    0.00   13.20 v mprj/u_wb_host/_4046_/B1 (sky130_fd_sc_hd__o21ai_4)
+                  0.35    0.16   13.37 ^ mprj/u_wb_host/_4046_/Y (sky130_fd_sc_hd__o21ai_4)
+     8    0.05                           mprj/u_wb_host/_2069_ (net)
+                  0.35    0.00   13.37 ^ mprj/u_wb_host/fanout515/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.33   13.70 ^ mprj/u_wb_host/fanout515/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net515 (net)
+                  0.21    0.00   13.70 ^ mprj/u_wb_host/_4071_/A (sky130_fd_sc_hd__nand2_2)
+                  0.23    0.24   13.95 v mprj/u_wb_host/_4071_/Y (sky130_fd_sc_hd__nand2_2)
+     6    0.05                           mprj/u_wb_host/_2087_ (net)
+                  0.23    0.00   13.95 v mprj/u_wb_host/fanout459/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.32   14.27 v mprj/u_wb_host/fanout459/X (sky130_fd_sc_hd__clkbuf_2)
+    12    0.05                           mprj/u_wb_host/net459 (net)
+                  0.19    0.00   14.27 v mprj/u_wb_host/_5404_/C (sky130_fd_sc_hd__or3_1)
+                  0.11    0.43   14.70 v mprj/u_wb_host/_5404_/X (sky130_fd_sc_hd__or3_1)
+     1    0.01                           mprj/u_wb_host/_2670_ (net)
+                  0.11    0.00   14.70 v mprj/u_wb_host/fanout363/A (sky130_fd_sc_hd__buf_2)
+                  0.12    0.24   14.94 v mprj/u_wb_host/fanout363/X (sky130_fd_sc_hd__buf_2)
+    10    0.05                           mprj/u_wb_host/net363 (net)
+                  0.12    0.00   14.94 v mprj/u_wb_host/fanout362/A (sky130_fd_sc_hd__buf_4)
+                  0.09    0.24   15.19 v mprj/u_wb_host/fanout362/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_wb_host/net362 (net)
+                  0.09    0.00   15.19 v mprj/u_wb_host/_5414_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.33   15.52 v mprj/u_wb_host/_5414_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_1044_ (net)
+                  0.05    0.00   15.52 v mprj/u_wb_host/_6555_/D (sky130_fd_sc_hd__dfrtp_2)
+                                 15.52   data arrival time
+
+                         25.00   25.00   clock wb_clk (rise edge)
+                          0.00   25.00   clock source latency
+                  1.00    0.00   25.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09   29.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00   29.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27   29.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03   29.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37   29.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20   30.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35   30.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01   30.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   30.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00   30.65 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15   30.80 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00   30.80 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   30.94 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_0_0_wbm_clk_i (net)
+                  0.06    0.00   30.94 ^ mprj/u_wb_host/clkbuf_3_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.22    0.25   31.19 ^ mprj/u_wb_host/clkbuf_3_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    18    0.12                           mprj/u_wb_host/clknet_3_1_0_wbm_clk_i (net)
+                  0.22    0.00   31.19 ^ mprj/u_wb_host/clkbuf_leaf_61_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18   31.36 ^ mprj/u_wb_host/clkbuf_leaf_61_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     5    0.02                           mprj/u_wb_host/clknet_leaf_61_wbm_clk_i (net)
+                  0.04    0.00   31.36 ^ mprj/u_wb_host/_6555_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                         -0.25   31.11   clock uncertainty
+                          0.95   32.06   clock reconvergence pessimism
+                         -0.12   31.95   library setup time
+                                 31.95   data required time
+-----------------------------------------------------------------------------
+                                 31.95   data required time
+                                -15.52   data arrival time
+-----------------------------------------------------------------------------
+                                 16.43   slack (MET)
+
+
+Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
+Endpoint: mprj/u_wb_host/_6110_
+          (rising edge-triggered flip-flop clocked by wb_clk)
+Path Group: wb_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           soc/clknet_0_core_clk (net)
+                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_0_core_clk (net)
+                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_1_core_clk (net)
+                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_2_core_clk (net)
+                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_3_core_clk (net)
+                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_4_core_clk (net)
+                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           soc/clknet_1_1_5_core_clk (net)
+                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_1_1_6_core_clk (net)
+                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_2_3_0_core_clk (net)
+                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_2_3_1_core_clk (net)
+                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_0_core_clk (net)
+                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_1_core_clk (net)
+                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_3_7_2_core_clk (net)
+                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_4_14_0_core_clk (net)
+                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_5_28_0_core_clk (net)
+                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.26                           soc/clknet_5_28_1_core_clk (net)
+                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           soc/clknet_leaf_243_core_clk (net)
+                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.11    0.39    6.87 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
+     1    0.01                           soc/core.grant[0] (net)
+                  0.11    0.00    6.87 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
+                  0.16    0.21    7.08 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
+    10    0.10                           soc/net3544 (net)
+                  0.16    0.00    7.08 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
+                  0.22    0.26    7.34 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
+    14    0.11                           soc/net3546 (net)
+                  0.22    0.02    7.36 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
+                  0.31    0.36    7.72 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
+    17    0.11                           soc/net3545 (net)
+                  0.32    0.02    7.75 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
+                  0.09    0.11    7.86 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.01                           soc/_13629_ (net)
+                  0.09    0.00    7.86 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
+                  0.05    0.16    8.02 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
+     4    0.04                           soc/net3233 (net)
+                  0.05    0.00    8.02 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
+                  0.11    0.18    8.20 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
+    16    0.14                           soc/net3235 (net)
+                  0.12    0.03    8.23 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.19    8.42 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
+    12    0.06                           soc/net3231 (net)
+                  0.06    0.00    8.43 v soc/wire3232/A (sky130_fd_sc_hd__buf_12)
+                  0.11    0.20    8.63 v soc/wire3232/X (sky130_fd_sc_hd__buf_12)
+    30    0.20                           soc/net3232 (net)
+                  0.11    0.01    8.64 v soc/_14220_/B2 (sky130_fd_sc_hd__a221oi_2)
+                  0.36    0.41    9.05 ^ soc/_14220_/Y (sky130_fd_sc_hd__a221oi_2)
+     1    0.02                           soc/_13683_ (net)
+                  0.36    0.00    9.05 ^ soc/wire2621/A (sky130_fd_sc_hd__buf_4)
+                  0.28    0.37    9.42 ^ soc/wire2621/X (sky130_fd_sc_hd__buf_4)
+    12    0.10                           soc/net2621 (net)
+                  0.28    0.02    9.43 ^ soc/_14221_/A (sky130_fd_sc_hd__inv_2)
+                  0.16    0.21    9.64 v soc/_14221_/Y (sky130_fd_sc_hd__inv_2)
+     6    0.06                           soc/net623 (net)
+                  0.16    0.01    9.65 v soc/output623/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.18    9.83 v soc/output623/X (sky130_fd_sc_hd__buf_12)
+     3    0.01                           mprj_adr_o_core[19] (net)
+                  0.02    0.00    9.83 v mgmt_buffers/input398/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.15    9.98 v mgmt_buffers/input398/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net398 (net)
+                  0.07    0.01    9.99 v mgmt_buffers/wire1647/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.16 v mgmt_buffers/wire1647/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1647 (net)
+                  0.07    0.01   10.17 v mgmt_buffers/wire1646/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.35 v mgmt_buffers/wire1646/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1646 (net)
+                  0.07    0.01   10.36 v mgmt_buffers/wire1645/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.54 v mgmt_buffers/wire1645/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1645 (net)
+                  0.07    0.01   10.55 v mgmt_buffers/_324_/B (sky130_fd_sc_hd__and2_4)
+                  0.08    0.23   10.78 v mgmt_buffers/_324_/X (sky130_fd_sc_hd__and2_4)
+     2    0.05                           mgmt_buffers/net858 (net)
+                  0.08    0.00   10.78 v mgmt_buffers/wire1402/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.96 v mgmt_buffers/wire1402/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1402 (net)
+                  0.07    0.01   10.97 v mgmt_buffers/output858/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.12   11.09 v mgmt_buffers/output858/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_adr_o_user[19] (net)
+                  0.02    0.00   11.09 v mprj/u_rp_south/u_rp[67].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.18    0.22   11.31 v mprj/u_rp_south/u_rp[67].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.05                           mprj/u_rp_south/net25 (net)
+                  0.18    0.01   11.31 v mprj/u_rp_south/wire25/A (sky130_fd_sc_hd__buf_4)
+                  0.32    0.33   11.64 v mprj/u_rp_south/wire25/X (sky130_fd_sc_hd__buf_4)
+     2    0.28                           mprj/ch_out_south[67] (net)
+                  0.53    0.23   11.87 v mprj/u_wb_host/input51/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.29   12.16 v mprj/u_wb_host/input51/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/net51 (net)
+                  0.08    0.00   12.16 v mprj/u_wb_host/_2786_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.17    0.44   12.60 v mprj/u_wb_host/_2786_/X (sky130_fd_sc_hd__mux2_1)
+     4    0.03                           mprj/u_wb_host/_1192_ (net)
+                  0.17    0.00   12.60 v mprj/u_wb_host/_2801_/B (sky130_fd_sc_hd__or2_1)
+                  0.11    0.33   12.93 v mprj/u_wb_host/_2801_/X (sky130_fd_sc_hd__or2_1)
+     2    0.02                           mprj/u_wb_host/_1206_ (net)
+                  0.11    0.00   12.93 v mprj/u_wb_host/_2802_/B (sky130_fd_sc_hd__nor2_2)
+                  0.52    0.45   13.39 ^ mprj/u_wb_host/_2802_/Y (sky130_fd_sc_hd__nor2_2)
+     2    0.05                           mprj/u_wb_host/_1207_ (net)
+                  0.52    0.00   13.39 ^ mprj/u_wb_host/_2803_/B1 (sky130_fd_sc_hd__o31a_4)
+                  0.22    0.40   13.78 ^ mprj/u_wb_host/_2803_/X (sky130_fd_sc_hd__o31a_4)
+    16    0.08                           mprj/u_wb_host/_1208_ (net)
+                  0.22    0.00   13.79 ^ mprj/u_wb_host/_4593_/C (sky130_fd_sc_hd__and3b_4)
+                  0.22    0.38   14.16 ^ mprj/u_wb_host/_4593_/X (sky130_fd_sc_hd__and3b_4)
+     8    0.06                           mprj/u_wb_host/_2328_ (net)
+                  0.22    0.01   14.17 ^ mprj/u_wb_host/fanout395/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.19    0.31   14.49 ^ mprj/u_wb_host/fanout395/X (sky130_fd_sc_hd__clkbuf_4)
+    12    0.06                           mprj/u_wb_host/net395 (net)
+                  0.19    0.00   14.49 ^ mprj/u_wb_host/fanout392/A (sky130_fd_sc_hd__buf_4)
+                  0.24    0.31   14.80 ^ mprj/u_wb_host/fanout392/X (sky130_fd_sc_hd__buf_4)
+    20    0.09                           mprj/u_wb_host/net392 (net)
+                  0.24    0.01   14.81 ^ mprj/u_wb_host/fanout391/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.28    0.39   15.20 ^ mprj/u_wb_host/fanout391/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.09                           mprj/u_wb_host/net391 (net)
+                  0.28    0.00   15.20 ^ mprj/u_wb_host/_4658_/S (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.37   15.57 v mprj/u_wb_host/_4658_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0630_ (net)
+                  0.06    0.00   15.57 v mprj/u_wb_host/_6110_/D (sky130_fd_sc_hd__dfxtp_1)
+                                 15.57   data arrival time
+
+                         25.00   25.00   clock wb_clk (rise edge)
+                          0.00   25.00   clock source latency
+                  1.00    0.00   25.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09   29.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00   29.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27   29.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03   29.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37   29.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20   30.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35   30.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01   30.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   30.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00   30.65 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15   30.80 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00   30.80 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   30.94 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00   30.94 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.25    0.27   31.21 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
+                  0.25    0.00   31.21 ^ mprj/u_wb_host/clkbuf_leaf_19_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.19   31.41 ^ mprj/u_wb_host/clkbuf_leaf_19_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_wb_host/clknet_leaf_19_wbm_clk_i (net)
+                  0.05    0.00   31.41 ^ mprj/u_wb_host/_6110_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                         -0.25   31.16   clock uncertainty
+                          0.95   32.11   clock reconvergence pessimism
+                         -0.11   32.00   library setup time
+                                 32.00   data required time
+-----------------------------------------------------------------------------
+                                 32.00   data required time
+                                -15.57   data arrival time
+-----------------------------------------------------------------------------
+                                 16.43   slack (MET)
+
+
+Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
+Endpoint: mprj/u_wb_host/_6014_
+          (rising edge-triggered flip-flop clocked by wb_clk)
+Path Group: wb_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           soc/clknet_0_core_clk (net)
+                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_0_core_clk (net)
+                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_1_core_clk (net)
+                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_2_core_clk (net)
+                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_3_core_clk (net)
+                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_4_core_clk (net)
+                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           soc/clknet_1_1_5_core_clk (net)
+                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_1_1_6_core_clk (net)
+                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_2_3_0_core_clk (net)
+                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_2_3_1_core_clk (net)
+                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_0_core_clk (net)
+                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_1_core_clk (net)
+                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_3_7_2_core_clk (net)
+                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_4_14_0_core_clk (net)
+                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_5_28_0_core_clk (net)
+                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.26                           soc/clknet_5_28_1_core_clk (net)
+                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           soc/clknet_leaf_243_core_clk (net)
+                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.11    0.39    6.87 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
+     1    0.01                           soc/core.grant[0] (net)
+                  0.11    0.00    6.87 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
+                  0.16    0.21    7.08 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
+    10    0.10                           soc/net3544 (net)
+                  0.16    0.00    7.08 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
+                  0.22    0.26    7.34 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
+    14    0.11                           soc/net3546 (net)
+                  0.22    0.02    7.36 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
+                  0.31    0.36    7.72 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
+    17    0.11                           soc/net3545 (net)
+                  0.32    0.02    7.75 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
+                  0.09    0.11    7.86 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.01                           soc/_13629_ (net)
+                  0.09    0.00    7.86 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
+                  0.05    0.16    8.02 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
+     4    0.04                           soc/net3233 (net)
+                  0.05    0.00    8.02 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
+                  0.11    0.18    8.20 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
+    16    0.14                           soc/net3235 (net)
+                  0.12    0.03    8.23 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.19    8.42 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
+    12    0.06                           soc/net3231 (net)
+                  0.06    0.00    8.43 v soc/wire3232/A (sky130_fd_sc_hd__buf_12)
+                  0.11    0.20    8.63 v soc/wire3232/X (sky130_fd_sc_hd__buf_12)
+    30    0.20                           soc/net3232 (net)
+                  0.11    0.01    8.64 v soc/_14220_/B2 (sky130_fd_sc_hd__a221oi_2)
+                  0.36    0.41    9.05 ^ soc/_14220_/Y (sky130_fd_sc_hd__a221oi_2)
+     1    0.02                           soc/_13683_ (net)
+                  0.36    0.00    9.05 ^ soc/wire2621/A (sky130_fd_sc_hd__buf_4)
+                  0.28    0.37    9.42 ^ soc/wire2621/X (sky130_fd_sc_hd__buf_4)
+    12    0.10                           soc/net2621 (net)
+                  0.28    0.02    9.43 ^ soc/_14221_/A (sky130_fd_sc_hd__inv_2)
+                  0.16    0.21    9.64 v soc/_14221_/Y (sky130_fd_sc_hd__inv_2)
+     6    0.06                           soc/net623 (net)
+                  0.16    0.01    9.65 v soc/output623/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.18    9.83 v soc/output623/X (sky130_fd_sc_hd__buf_12)
+     3    0.01                           mprj_adr_o_core[19] (net)
+                  0.02    0.00    9.83 v mgmt_buffers/input398/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.15    9.98 v mgmt_buffers/input398/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net398 (net)
+                  0.07    0.01    9.99 v mgmt_buffers/wire1647/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.16 v mgmt_buffers/wire1647/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1647 (net)
+                  0.07    0.01   10.17 v mgmt_buffers/wire1646/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.35 v mgmt_buffers/wire1646/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1646 (net)
+                  0.07    0.01   10.36 v mgmt_buffers/wire1645/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.54 v mgmt_buffers/wire1645/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1645 (net)
+                  0.07    0.01   10.55 v mgmt_buffers/_324_/B (sky130_fd_sc_hd__and2_4)
+                  0.08    0.23   10.78 v mgmt_buffers/_324_/X (sky130_fd_sc_hd__and2_4)
+     2    0.05                           mgmt_buffers/net858 (net)
+                  0.08    0.00   10.78 v mgmt_buffers/wire1402/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.96 v mgmt_buffers/wire1402/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1402 (net)
+                  0.07    0.01   10.97 v mgmt_buffers/output858/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.12   11.09 v mgmt_buffers/output858/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_adr_o_user[19] (net)
+                  0.02    0.00   11.09 v mprj/u_rp_south/u_rp[67].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.18    0.22   11.31 v mprj/u_rp_south/u_rp[67].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.05                           mprj/u_rp_south/net25 (net)
+                  0.18    0.01   11.31 v mprj/u_rp_south/wire25/A (sky130_fd_sc_hd__buf_4)
+                  0.32    0.33   11.64 v mprj/u_rp_south/wire25/X (sky130_fd_sc_hd__buf_4)
+     2    0.28                           mprj/ch_out_south[67] (net)
+                  0.53    0.23   11.87 v mprj/u_wb_host/input51/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.29   12.16 v mprj/u_wb_host/input51/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/net51 (net)
+                  0.08    0.00   12.16 v mprj/u_wb_host/_2786_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.17    0.44   12.60 v mprj/u_wb_host/_2786_/X (sky130_fd_sc_hd__mux2_1)
+     4    0.03                           mprj/u_wb_host/_1192_ (net)
+                  0.17    0.00   12.60 v mprj/u_wb_host/_2801_/B (sky130_fd_sc_hd__or2_1)
+                  0.11    0.33   12.93 v mprj/u_wb_host/_2801_/X (sky130_fd_sc_hd__or2_1)
+     2    0.02                           mprj/u_wb_host/_1206_ (net)
+                  0.11    0.00   12.93 v mprj/u_wb_host/_2802_/B (sky130_fd_sc_hd__nor2_2)
+                  0.52    0.45   13.39 ^ mprj/u_wb_host/_2802_/Y (sky130_fd_sc_hd__nor2_2)
+     2    0.05                           mprj/u_wb_host/_1207_ (net)
+                  0.52    0.00   13.39 ^ mprj/u_wb_host/_2803_/B1 (sky130_fd_sc_hd__o31a_4)
+                  0.22    0.40   13.78 ^ mprj/u_wb_host/_2803_/X (sky130_fd_sc_hd__o31a_4)
+    16    0.08                           mprj/u_wb_host/_1208_ (net)
+                  0.22    0.00   13.79 ^ mprj/u_wb_host/_3136_/B (sky130_fd_sc_hd__nand2_2)
+                  0.10    0.14   13.93 v mprj/u_wb_host/_3136_/Y (sky130_fd_sc_hd__nand2_2)
+     4    0.02                           mprj/u_wb_host/_1403_ (net)
+                  0.10    0.00   13.93 v mprj/u_wb_host/_3137_/B (sky130_fd_sc_hd__nor2_4)
+                  0.30    0.28   14.20 ^ mprj/u_wb_host/_3137_/Y (sky130_fd_sc_hd__nor2_4)
+     6    0.05                           mprj/u_wb_host/_1404_ (net)
+                  0.30    0.00   14.21 ^ mprj/u_wb_host/fanout352/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.20    0.35   14.56 ^ mprj/u_wb_host/fanout352/X (sky130_fd_sc_hd__clkbuf_4)
+    12    0.07                           mprj/u_wb_host/net352 (net)
+                  0.20    0.01   14.56 ^ mprj/u_wb_host/fanout349/A (sky130_fd_sc_hd__buf_4)
+                  0.24    0.32   14.88 ^ mprj/u_wb_host/fanout349/X (sky130_fd_sc_hd__buf_4)
+    20    0.09                           mprj/u_wb_host/net349 (net)
+                  0.24    0.00   14.88 ^ mprj/u_wb_host/fanout348/A (sky130_fd_sc_hd__buf_4)
+                  0.22    0.31   15.19 ^ mprj/u_wb_host/fanout348/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net348 (net)
+                  0.22    0.00   15.19 ^ mprj/u_wb_host/_4546_/S (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.37   15.56 v mprj/u_wb_host/_4546_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0534_ (net)
+                  0.06    0.00   15.56 v mprj/u_wb_host/_6014_/D (sky130_fd_sc_hd__dfxtp_1)
+                                 15.56   data arrival time
+
+                         25.00   25.00   clock wb_clk (rise edge)
+                          0.00   25.00   clock source latency
+                  1.00    0.00   25.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09   29.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00   29.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27   29.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03   29.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37   29.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20   30.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35   30.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01   30.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   30.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00   30.65 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15   30.80 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00   30.80 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   30.94 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00   30.94 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.25    0.27   31.21 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
+                  0.25    0.00   31.21 ^ mprj/u_wb_host/clkbuf_leaf_14_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.19   31.41 ^ mprj/u_wb_host/clkbuf_leaf_14_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.03                           mprj/u_wb_host/clknet_leaf_14_wbm_clk_i (net)
+                  0.05    0.00   31.41 ^ mprj/u_wb_host/_6014_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                         -0.25   31.16   clock uncertainty
+                          0.95   32.11   clock reconvergence pessimism
+                         -0.12   31.99   library setup time
+                                 31.99   data required time
+-----------------------------------------------------------------------------
+                                 31.99   data required time
+                                -15.56   data arrival time
+-----------------------------------------------------------------------------
+                                 16.43   slack (MET)
+
+
+Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
+Endpoint: mprj/u_wb_host/_6084_
+          (rising edge-triggered flip-flop clocked by wb_clk)
+Path Group: wb_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           soc/clknet_0_core_clk (net)
+                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_0_core_clk (net)
+                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_1_core_clk (net)
+                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_2_core_clk (net)
+                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_3_core_clk (net)
+                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_4_core_clk (net)
+                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           soc/clknet_1_1_5_core_clk (net)
+                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_1_1_6_core_clk (net)
+                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_2_3_0_core_clk (net)
+                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_2_3_1_core_clk (net)
+                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_0_core_clk (net)
+                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_1_core_clk (net)
+                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_3_7_2_core_clk (net)
+                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_4_14_0_core_clk (net)
+                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_5_28_0_core_clk (net)
+                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.26                           soc/clknet_5_28_1_core_clk (net)
+                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           soc/clknet_leaf_243_core_clk (net)
+                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.11    0.39    6.87 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
+     1    0.01                           soc/core.grant[0] (net)
+                  0.11    0.00    6.87 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
+                  0.16    0.21    7.08 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
+    10    0.10                           soc/net3544 (net)
+                  0.16    0.00    7.08 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
+                  0.22    0.26    7.34 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
+    14    0.11                           soc/net3546 (net)
+                  0.22    0.02    7.36 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
+                  0.31    0.36    7.72 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
+    17    0.11                           soc/net3545 (net)
+                  0.32    0.02    7.75 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
+                  0.09    0.11    7.86 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.01                           soc/_13629_ (net)
+                  0.09    0.00    7.86 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
+                  0.05    0.16    8.02 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
+     4    0.04                           soc/net3233 (net)
+                  0.05    0.00    8.02 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
+                  0.11    0.18    8.20 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
+    16    0.14                           soc/net3235 (net)
+                  0.12    0.03    8.23 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.19    8.42 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
+    12    0.06                           soc/net3231 (net)
+                  0.06    0.00    8.43 v soc/wire3232/A (sky130_fd_sc_hd__buf_12)
+                  0.11    0.20    8.63 v soc/wire3232/X (sky130_fd_sc_hd__buf_12)
+    30    0.20                           soc/net3232 (net)
+                  0.11    0.01    8.64 v soc/_14220_/B2 (sky130_fd_sc_hd__a221oi_2)
+                  0.36    0.41    9.05 ^ soc/_14220_/Y (sky130_fd_sc_hd__a221oi_2)
+     1    0.02                           soc/_13683_ (net)
+                  0.36    0.00    9.05 ^ soc/wire2621/A (sky130_fd_sc_hd__buf_4)
+                  0.28    0.37    9.42 ^ soc/wire2621/X (sky130_fd_sc_hd__buf_4)
+    12    0.10                           soc/net2621 (net)
+                  0.28    0.02    9.43 ^ soc/_14221_/A (sky130_fd_sc_hd__inv_2)
+                  0.16    0.21    9.64 v soc/_14221_/Y (sky130_fd_sc_hd__inv_2)
+     6    0.06                           soc/net623 (net)
+                  0.16    0.01    9.65 v soc/output623/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.18    9.83 v soc/output623/X (sky130_fd_sc_hd__buf_12)
+     3    0.01                           mprj_adr_o_core[19] (net)
+                  0.02    0.00    9.83 v mgmt_buffers/input398/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.15    9.98 v mgmt_buffers/input398/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net398 (net)
+                  0.07    0.01    9.99 v mgmt_buffers/wire1647/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.16 v mgmt_buffers/wire1647/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1647 (net)
+                  0.07    0.01   10.17 v mgmt_buffers/wire1646/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.35 v mgmt_buffers/wire1646/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1646 (net)
+                  0.07    0.01   10.36 v mgmt_buffers/wire1645/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.54 v mgmt_buffers/wire1645/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1645 (net)
+                  0.07    0.01   10.55 v mgmt_buffers/_324_/B (sky130_fd_sc_hd__and2_4)
+                  0.08    0.23   10.78 v mgmt_buffers/_324_/X (sky130_fd_sc_hd__and2_4)
+     2    0.05                           mgmt_buffers/net858 (net)
+                  0.08    0.00   10.78 v mgmt_buffers/wire1402/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.96 v mgmt_buffers/wire1402/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1402 (net)
+                  0.07    0.01   10.97 v mgmt_buffers/output858/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.12   11.09 v mgmt_buffers/output858/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_adr_o_user[19] (net)
+                  0.02    0.00   11.09 v mprj/u_rp_south/u_rp[67].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.18    0.22   11.31 v mprj/u_rp_south/u_rp[67].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.05                           mprj/u_rp_south/net25 (net)
+                  0.18    0.01   11.31 v mprj/u_rp_south/wire25/A (sky130_fd_sc_hd__buf_4)
+                  0.32    0.33   11.64 v mprj/u_rp_south/wire25/X (sky130_fd_sc_hd__buf_4)
+     2    0.28                           mprj/ch_out_south[67] (net)
+                  0.53    0.23   11.87 v mprj/u_wb_host/input51/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.29   12.16 v mprj/u_wb_host/input51/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/net51 (net)
+                  0.08    0.00   12.16 v mprj/u_wb_host/_2786_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.17    0.44   12.60 v mprj/u_wb_host/_2786_/X (sky130_fd_sc_hd__mux2_1)
+     4    0.03                           mprj/u_wb_host/_1192_ (net)
+                  0.17    0.00   12.60 v mprj/u_wb_host/_2801_/B (sky130_fd_sc_hd__or2_1)
+                  0.11    0.33   12.93 v mprj/u_wb_host/_2801_/X (sky130_fd_sc_hd__or2_1)
+     2    0.02                           mprj/u_wb_host/_1206_ (net)
+                  0.11    0.00   12.93 v mprj/u_wb_host/_2802_/B (sky130_fd_sc_hd__nor2_2)
+                  0.52    0.45   13.39 ^ mprj/u_wb_host/_2802_/Y (sky130_fd_sc_hd__nor2_2)
+     2    0.05                           mprj/u_wb_host/_1207_ (net)
+                  0.52    0.00   13.39 ^ mprj/u_wb_host/_2803_/B1 (sky130_fd_sc_hd__o31a_4)
+                  0.22    0.40   13.78 ^ mprj/u_wb_host/_2803_/X (sky130_fd_sc_hd__o31a_4)
+    16    0.08                           mprj/u_wb_host/_1208_ (net)
+                  0.22    0.00   13.79 ^ mprj/u_wb_host/_4593_/C (sky130_fd_sc_hd__and3b_4)
+                  0.22    0.38   14.16 ^ mprj/u_wb_host/_4593_/X (sky130_fd_sc_hd__and3b_4)
+     8    0.06                           mprj/u_wb_host/_2328_ (net)
+                  0.22    0.01   14.17 ^ mprj/u_wb_host/fanout395/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.19    0.31   14.49 ^ mprj/u_wb_host/fanout395/X (sky130_fd_sc_hd__clkbuf_4)
+    12    0.06                           mprj/u_wb_host/net395 (net)
+                  0.19    0.00   14.49 ^ mprj/u_wb_host/fanout392/A (sky130_fd_sc_hd__buf_4)
+                  0.24    0.31   14.80 ^ mprj/u_wb_host/fanout392/X (sky130_fd_sc_hd__buf_4)
+    20    0.09                           mprj/u_wb_host/net392 (net)
+                  0.24    0.01   14.81 ^ mprj/u_wb_host/fanout391/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.28    0.39   15.20 ^ mprj/u_wb_host/fanout391/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.09                           mprj/u_wb_host/net391 (net)
+                  0.28    0.00   15.20 ^ mprj/u_wb_host/_4632_/S (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.37   15.57 v mprj/u_wb_host/_4632_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0604_ (net)
+                  0.06    0.00   15.57 v mprj/u_wb_host/_6084_/D (sky130_fd_sc_hd__dfxtp_1)
+                                 15.57   data arrival time
+
+                         25.00   25.00   clock wb_clk (rise edge)
+                          0.00   25.00   clock source latency
+                  1.00    0.00   25.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09   29.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00   29.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27   29.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03   29.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37   29.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20   30.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35   30.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01   30.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   30.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00   30.65 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15   30.80 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00   30.80 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   30.94 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00   30.94 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.25    0.27   31.21 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
+                  0.25    0.00   31.21 ^ mprj/u_wb_host/clkbuf_leaf_15_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20   31.41 ^ mprj/u_wb_host/clkbuf_leaf_15_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    13    0.04                           mprj/u_wb_host/clknet_leaf_15_wbm_clk_i (net)
+                  0.06    0.00   31.42 ^ mprj/u_wb_host/_6084_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                         -0.25   31.17   clock uncertainty
+                          0.95   32.12   clock reconvergence pessimism
+                         -0.11   32.00   library setup time
+                                 32.00   data required time
+-----------------------------------------------------------------------------
+                                 32.00   data required time
+                                -15.57   data arrival time
+-----------------------------------------------------------------------------
+                                 16.43   slack (MET)
+
+
+Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
+Endpoint: mprj/u_wb_host/_6109_
+          (rising edge-triggered flip-flop clocked by wb_clk)
+Path Group: wb_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           soc/clknet_0_core_clk (net)
+                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_0_core_clk (net)
+                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_1_core_clk (net)
+                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_2_core_clk (net)
+                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_3_core_clk (net)
+                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_4_core_clk (net)
+                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           soc/clknet_1_1_5_core_clk (net)
+                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_1_1_6_core_clk (net)
+                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_2_3_0_core_clk (net)
+                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_2_3_1_core_clk (net)
+                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_0_core_clk (net)
+                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_1_core_clk (net)
+                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_3_7_2_core_clk (net)
+                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_4_14_0_core_clk (net)
+                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_5_28_0_core_clk (net)
+                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.26                           soc/clknet_5_28_1_core_clk (net)
+                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           soc/clknet_leaf_243_core_clk (net)
+                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.11    0.39    6.87 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
+     1    0.01                           soc/core.grant[0] (net)
+                  0.11    0.00    6.87 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
+                  0.16    0.21    7.08 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
+    10    0.10                           soc/net3544 (net)
+                  0.16    0.00    7.08 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
+                  0.22    0.26    7.34 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
+    14    0.11                           soc/net3546 (net)
+                  0.22    0.02    7.36 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
+                  0.31    0.36    7.72 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
+    17    0.11                           soc/net3545 (net)
+                  0.32    0.02    7.75 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
+                  0.09    0.11    7.86 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.01                           soc/_13629_ (net)
+                  0.09    0.00    7.86 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
+                  0.05    0.16    8.02 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
+     4    0.04                           soc/net3233 (net)
+                  0.05    0.00    8.02 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
+                  0.11    0.18    8.20 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
+    16    0.14                           soc/net3235 (net)
+                  0.12    0.03    8.23 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.19    8.42 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
+    12    0.06                           soc/net3231 (net)
+                  0.06    0.00    8.43 v soc/wire3232/A (sky130_fd_sc_hd__buf_12)
+                  0.11    0.20    8.63 v soc/wire3232/X (sky130_fd_sc_hd__buf_12)
+    30    0.20                           soc/net3232 (net)
+                  0.11    0.01    8.64 v soc/_14220_/B2 (sky130_fd_sc_hd__a221oi_2)
+                  0.36    0.41    9.05 ^ soc/_14220_/Y (sky130_fd_sc_hd__a221oi_2)
+     1    0.02                           soc/_13683_ (net)
+                  0.36    0.00    9.05 ^ soc/wire2621/A (sky130_fd_sc_hd__buf_4)
+                  0.28    0.37    9.42 ^ soc/wire2621/X (sky130_fd_sc_hd__buf_4)
+    12    0.10                           soc/net2621 (net)
+                  0.28    0.02    9.43 ^ soc/_14221_/A (sky130_fd_sc_hd__inv_2)
+                  0.16    0.21    9.64 v soc/_14221_/Y (sky130_fd_sc_hd__inv_2)
+     6    0.06                           soc/net623 (net)
+                  0.16    0.01    9.65 v soc/output623/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.18    9.83 v soc/output623/X (sky130_fd_sc_hd__buf_12)
+     3    0.01                           mprj_adr_o_core[19] (net)
+                  0.02    0.00    9.83 v mgmt_buffers/input398/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.15    9.98 v mgmt_buffers/input398/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net398 (net)
+                  0.07    0.01    9.99 v mgmt_buffers/wire1647/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.16 v mgmt_buffers/wire1647/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1647 (net)
+                  0.07    0.01   10.17 v mgmt_buffers/wire1646/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.35 v mgmt_buffers/wire1646/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1646 (net)
+                  0.07    0.01   10.36 v mgmt_buffers/wire1645/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.54 v mgmt_buffers/wire1645/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1645 (net)
+                  0.07    0.01   10.55 v mgmt_buffers/_324_/B (sky130_fd_sc_hd__and2_4)
+                  0.08    0.23   10.78 v mgmt_buffers/_324_/X (sky130_fd_sc_hd__and2_4)
+     2    0.05                           mgmt_buffers/net858 (net)
+                  0.08    0.00   10.78 v mgmt_buffers/wire1402/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.96 v mgmt_buffers/wire1402/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1402 (net)
+                  0.07    0.01   10.97 v mgmt_buffers/output858/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.12   11.09 v mgmt_buffers/output858/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_adr_o_user[19] (net)
+                  0.02    0.00   11.09 v mprj/u_rp_south/u_rp[67].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.18    0.22   11.31 v mprj/u_rp_south/u_rp[67].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.05                           mprj/u_rp_south/net25 (net)
+                  0.18    0.01   11.31 v mprj/u_rp_south/wire25/A (sky130_fd_sc_hd__buf_4)
+                  0.32    0.33   11.64 v mprj/u_rp_south/wire25/X (sky130_fd_sc_hd__buf_4)
+     2    0.28                           mprj/ch_out_south[67] (net)
+                  0.53    0.23   11.87 v mprj/u_wb_host/input51/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.29   12.16 v mprj/u_wb_host/input51/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/net51 (net)
+                  0.08    0.00   12.16 v mprj/u_wb_host/_2786_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.17    0.44   12.60 v mprj/u_wb_host/_2786_/X (sky130_fd_sc_hd__mux2_1)
+     4    0.03                           mprj/u_wb_host/_1192_ (net)
+                  0.17    0.00   12.60 v mprj/u_wb_host/_2801_/B (sky130_fd_sc_hd__or2_1)
+                  0.11    0.33   12.93 v mprj/u_wb_host/_2801_/X (sky130_fd_sc_hd__or2_1)
+     2    0.02                           mprj/u_wb_host/_1206_ (net)
+                  0.11    0.00   12.93 v mprj/u_wb_host/_2802_/B (sky130_fd_sc_hd__nor2_2)
+                  0.52    0.45   13.39 ^ mprj/u_wb_host/_2802_/Y (sky130_fd_sc_hd__nor2_2)
+     2    0.05                           mprj/u_wb_host/_1207_ (net)
+                  0.52    0.00   13.39 ^ mprj/u_wb_host/_2803_/B1 (sky130_fd_sc_hd__o31a_4)
+                  0.22    0.40   13.78 ^ mprj/u_wb_host/_2803_/X (sky130_fd_sc_hd__o31a_4)
+    16    0.08                           mprj/u_wb_host/_1208_ (net)
+                  0.22    0.00   13.79 ^ mprj/u_wb_host/_4593_/C (sky130_fd_sc_hd__and3b_4)
+                  0.22    0.38   14.16 ^ mprj/u_wb_host/_4593_/X (sky130_fd_sc_hd__and3b_4)
+     8    0.06                           mprj/u_wb_host/_2328_ (net)
+                  0.22    0.01   14.17 ^ mprj/u_wb_host/fanout395/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.19    0.31   14.49 ^ mprj/u_wb_host/fanout395/X (sky130_fd_sc_hd__clkbuf_4)
+    12    0.06                           mprj/u_wb_host/net395 (net)
+                  0.19    0.00   14.49 ^ mprj/u_wb_host/fanout392/A (sky130_fd_sc_hd__buf_4)
+                  0.24    0.31   14.80 ^ mprj/u_wb_host/fanout392/X (sky130_fd_sc_hd__buf_4)
+    20    0.09                           mprj/u_wb_host/net392 (net)
+                  0.24    0.01   14.81 ^ mprj/u_wb_host/fanout391/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.28    0.39   15.20 ^ mprj/u_wb_host/fanout391/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.09                           mprj/u_wb_host/net391 (net)
+                  0.28    0.00   15.20 ^ mprj/u_wb_host/_4657_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.36   15.56 v mprj/u_wb_host/_4657_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0629_ (net)
+                  0.05    0.00   15.56 v mprj/u_wb_host/_6109_/D (sky130_fd_sc_hd__dfxtp_1)
+                                 15.56   data arrival time
+
+                         25.00   25.00   clock wb_clk (rise edge)
+                          0.00   25.00   clock source latency
+                  1.00    0.00   25.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09   29.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00   29.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27   29.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03   29.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37   29.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20   30.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35   30.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01   30.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   30.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00   30.65 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15   30.80 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00   30.80 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   30.94 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00   30.94 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.25    0.27   31.21 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
+                  0.25    0.00   31.21 ^ mprj/u_wb_host/clkbuf_leaf_19_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.19   31.41 ^ mprj/u_wb_host/clkbuf_leaf_19_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_wb_host/clknet_leaf_19_wbm_clk_i (net)
+                  0.05    0.00   31.41 ^ mprj/u_wb_host/_6109_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                         -0.25   31.16   clock uncertainty
+                          0.95   32.11   clock reconvergence pessimism
+                         -0.11   32.00   library setup time
+                                 32.00   data required time
+-----------------------------------------------------------------------------
+                                 32.00   data required time
+                                -15.56   data arrival time
+-----------------------------------------------------------------------------
+                                 16.44   slack (MET)
+
+
+Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
+Endpoint: mprj/u_wb_host/_6042_
+          (rising edge-triggered flip-flop clocked by wb_clk)
+Path Group: wb_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           soc/clknet_0_core_clk (net)
+                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_0_core_clk (net)
+                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_1_core_clk (net)
+                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_2_core_clk (net)
+                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_3_core_clk (net)
+                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_4_core_clk (net)
+                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           soc/clknet_1_1_5_core_clk (net)
+                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_1_1_6_core_clk (net)
+                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_2_3_0_core_clk (net)
+                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_2_3_1_core_clk (net)
+                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_0_core_clk (net)
+                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_1_core_clk (net)
+                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_3_7_2_core_clk (net)
+                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_4_14_0_core_clk (net)
+                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_5_28_0_core_clk (net)
+                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.26                           soc/clknet_5_28_1_core_clk (net)
+                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           soc/clknet_leaf_243_core_clk (net)
+                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.11    0.39    6.87 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
+     1    0.01                           soc/core.grant[0] (net)
+                  0.11    0.00    6.87 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
+                  0.16    0.21    7.08 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
+    10    0.10                           soc/net3544 (net)
+                  0.16    0.00    7.08 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
+                  0.22    0.26    7.34 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
+    14    0.11                           soc/net3546 (net)
+                  0.22    0.02    7.36 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
+                  0.31    0.36    7.72 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
+    17    0.11                           soc/net3545 (net)
+                  0.32    0.02    7.75 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
+                  0.09    0.11    7.86 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.01                           soc/_13629_ (net)
+                  0.09    0.00    7.86 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
+                  0.05    0.16    8.02 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
+     4    0.04                           soc/net3233 (net)
+                  0.05    0.00    8.02 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
+                  0.11    0.18    8.20 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
+    16    0.14                           soc/net3235 (net)
+                  0.12    0.03    8.23 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.19    8.42 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
+    12    0.06                           soc/net3231 (net)
+                  0.06    0.00    8.43 v soc/wire3232/A (sky130_fd_sc_hd__buf_12)
+                  0.11    0.20    8.63 v soc/wire3232/X (sky130_fd_sc_hd__buf_12)
+    30    0.20                           soc/net3232 (net)
+                  0.11    0.01    8.64 v soc/_14220_/B2 (sky130_fd_sc_hd__a221oi_2)
+                  0.36    0.41    9.05 ^ soc/_14220_/Y (sky130_fd_sc_hd__a221oi_2)
+     1    0.02                           soc/_13683_ (net)
+                  0.36    0.00    9.05 ^ soc/wire2621/A (sky130_fd_sc_hd__buf_4)
+                  0.28    0.37    9.42 ^ soc/wire2621/X (sky130_fd_sc_hd__buf_4)
+    12    0.10                           soc/net2621 (net)
+                  0.28    0.02    9.43 ^ soc/_14221_/A (sky130_fd_sc_hd__inv_2)
+                  0.16    0.21    9.64 v soc/_14221_/Y (sky130_fd_sc_hd__inv_2)
+     6    0.06                           soc/net623 (net)
+                  0.16    0.01    9.65 v soc/output623/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.18    9.83 v soc/output623/X (sky130_fd_sc_hd__buf_12)
+     3    0.01                           mprj_adr_o_core[19] (net)
+                  0.02    0.00    9.83 v mgmt_buffers/input398/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.15    9.98 v mgmt_buffers/input398/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net398 (net)
+                  0.07    0.01    9.99 v mgmt_buffers/wire1647/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.16 v mgmt_buffers/wire1647/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1647 (net)
+                  0.07    0.01   10.17 v mgmt_buffers/wire1646/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.35 v mgmt_buffers/wire1646/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1646 (net)
+                  0.07    0.01   10.36 v mgmt_buffers/wire1645/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.54 v mgmt_buffers/wire1645/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1645 (net)
+                  0.07    0.01   10.55 v mgmt_buffers/_324_/B (sky130_fd_sc_hd__and2_4)
+                  0.08    0.23   10.78 v mgmt_buffers/_324_/X (sky130_fd_sc_hd__and2_4)
+     2    0.05                           mgmt_buffers/net858 (net)
+                  0.08    0.00   10.78 v mgmt_buffers/wire1402/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.96 v mgmt_buffers/wire1402/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1402 (net)
+                  0.07    0.01   10.97 v mgmt_buffers/output858/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.12   11.09 v mgmt_buffers/output858/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_adr_o_user[19] (net)
+                  0.02    0.00   11.09 v mprj/u_rp_south/u_rp[67].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.18    0.22   11.31 v mprj/u_rp_south/u_rp[67].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.05                           mprj/u_rp_south/net25 (net)
+                  0.18    0.01   11.31 v mprj/u_rp_south/wire25/A (sky130_fd_sc_hd__buf_4)
+                  0.32    0.33   11.64 v mprj/u_rp_south/wire25/X (sky130_fd_sc_hd__buf_4)
+     2    0.28                           mprj/ch_out_south[67] (net)
+                  0.53    0.23   11.87 v mprj/u_wb_host/input51/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.29   12.16 v mprj/u_wb_host/input51/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/net51 (net)
+                  0.08    0.00   12.16 v mprj/u_wb_host/_2786_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.17    0.44   12.60 v mprj/u_wb_host/_2786_/X (sky130_fd_sc_hd__mux2_1)
+     4    0.03                           mprj/u_wb_host/_1192_ (net)
+                  0.17    0.00   12.60 v mprj/u_wb_host/_2801_/B (sky130_fd_sc_hd__or2_1)
+                  0.11    0.33   12.93 v mprj/u_wb_host/_2801_/X (sky130_fd_sc_hd__or2_1)
+     2    0.02                           mprj/u_wb_host/_1206_ (net)
+                  0.11    0.00   12.93 v mprj/u_wb_host/_2802_/B (sky130_fd_sc_hd__nor2_2)
+                  0.52    0.45   13.39 ^ mprj/u_wb_host/_2802_/Y (sky130_fd_sc_hd__nor2_2)
+     2    0.05                           mprj/u_wb_host/_1207_ (net)
+                  0.52    0.00   13.39 ^ mprj/u_wb_host/_2803_/B1 (sky130_fd_sc_hd__o31a_4)
+                  0.22    0.40   13.78 ^ mprj/u_wb_host/_2803_/X (sky130_fd_sc_hd__o31a_4)
+    16    0.08                           mprj/u_wb_host/_1208_ (net)
+                  0.22    0.00   13.79 ^ mprj/u_wb_host/_3136_/B (sky130_fd_sc_hd__nand2_2)
+                  0.10    0.14   13.93 v mprj/u_wb_host/_3136_/Y (sky130_fd_sc_hd__nand2_2)
+     4    0.02                           mprj/u_wb_host/_1403_ (net)
+                  0.10    0.00   13.93 v mprj/u_wb_host/_3137_/B (sky130_fd_sc_hd__nor2_4)
+                  0.30    0.28   14.20 ^ mprj/u_wb_host/_3137_/Y (sky130_fd_sc_hd__nor2_4)
+     6    0.05                           mprj/u_wb_host/_1404_ (net)
+                  0.30    0.00   14.21 ^ mprj/u_wb_host/fanout352/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.20    0.35   14.56 ^ mprj/u_wb_host/fanout352/X (sky130_fd_sc_hd__clkbuf_4)
+    12    0.07                           mprj/u_wb_host/net352 (net)
+                  0.20    0.01   14.56 ^ mprj/u_wb_host/fanout349/A (sky130_fd_sc_hd__buf_4)
+                  0.24    0.32   14.88 ^ mprj/u_wb_host/fanout349/X (sky130_fd_sc_hd__buf_4)
+    20    0.09                           mprj/u_wb_host/net349 (net)
+                  0.24    0.00   14.88 ^ mprj/u_wb_host/fanout348/A (sky130_fd_sc_hd__buf_4)
+                  0.22    0.31   15.19 ^ mprj/u_wb_host/fanout348/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net348 (net)
+                  0.22    0.00   15.19 ^ mprj/u_wb_host/_4589_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.35   15.54 v mprj/u_wb_host/_4589_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0562_ (net)
+                  0.05    0.00   15.54 v mprj/u_wb_host/_6042_/D (sky130_fd_sc_hd__dfxtp_1)
+                                 15.54   data arrival time
+
+                         25.00   25.00   clock wb_clk (rise edge)
+                          0.00   25.00   clock source latency
+                  1.00    0.00   25.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09   29.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00   29.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27   29.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03   29.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37   29.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20   30.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35   30.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01   30.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   30.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00   30.65 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15   30.80 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00   30.80 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   30.94 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00   30.94 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.25    0.27   31.21 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
+                  0.25    0.00   31.21 ^ mprj/u_wb_host/clkbuf_leaf_20_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18   31.39 ^ mprj/u_wb_host/clkbuf_leaf_20_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           mprj/u_wb_host/clknet_leaf_20_wbm_clk_i (net)
+                  0.04    0.00   31.39 ^ mprj/u_wb_host/_6042_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                         -0.25   31.14   clock uncertainty
+                          0.95   32.10   clock reconvergence pessimism
+                         -0.11   31.98   library setup time
+                                 31.98   data required time
+-----------------------------------------------------------------------------
+                                 31.98   data required time
+                                -15.54   data arrival time
+-----------------------------------------------------------------------------
+                                 16.44   slack (MET)
+
+
+Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
+Endpoint: mprj/u_wb_host/_6040_
+          (rising edge-triggered flip-flop clocked by wb_clk)
+Path Group: wb_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           soc/clknet_0_core_clk (net)
+                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_0_core_clk (net)
+                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_1_core_clk (net)
+                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_2_core_clk (net)
+                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_3_core_clk (net)
+                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_4_core_clk (net)
+                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           soc/clknet_1_1_5_core_clk (net)
+                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_1_1_6_core_clk (net)
+                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_2_3_0_core_clk (net)
+                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_2_3_1_core_clk (net)
+                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_0_core_clk (net)
+                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_1_core_clk (net)
+                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_3_7_2_core_clk (net)
+                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_4_14_0_core_clk (net)
+                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_5_28_0_core_clk (net)
+                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.26                           soc/clknet_5_28_1_core_clk (net)
+                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           soc/clknet_leaf_243_core_clk (net)
+                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.11    0.39    6.87 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
+     1    0.01                           soc/core.grant[0] (net)
+                  0.11    0.00    6.87 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
+                  0.16    0.21    7.08 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
+    10    0.10                           soc/net3544 (net)
+                  0.16    0.00    7.08 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
+                  0.22    0.26    7.34 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
+    14    0.11                           soc/net3546 (net)
+                  0.22    0.02    7.36 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
+                  0.31    0.36    7.72 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
+    17    0.11                           soc/net3545 (net)
+                  0.32    0.02    7.75 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
+                  0.09    0.11    7.86 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.01                           soc/_13629_ (net)
+                  0.09    0.00    7.86 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
+                  0.05    0.16    8.02 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
+     4    0.04                           soc/net3233 (net)
+                  0.05    0.00    8.02 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
+                  0.11    0.18    8.20 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
+    16    0.14                           soc/net3235 (net)
+                  0.12    0.03    8.23 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.19    8.42 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
+    12    0.06                           soc/net3231 (net)
+                  0.06    0.00    8.43 v soc/wire3232/A (sky130_fd_sc_hd__buf_12)
+                  0.11    0.20    8.63 v soc/wire3232/X (sky130_fd_sc_hd__buf_12)
+    30    0.20                           soc/net3232 (net)
+                  0.11    0.01    8.64 v soc/_14220_/B2 (sky130_fd_sc_hd__a221oi_2)
+                  0.36    0.41    9.05 ^ soc/_14220_/Y (sky130_fd_sc_hd__a221oi_2)
+     1    0.02                           soc/_13683_ (net)
+                  0.36    0.00    9.05 ^ soc/wire2621/A (sky130_fd_sc_hd__buf_4)
+                  0.28    0.37    9.42 ^ soc/wire2621/X (sky130_fd_sc_hd__buf_4)
+    12    0.10                           soc/net2621 (net)
+                  0.28    0.02    9.43 ^ soc/_14221_/A (sky130_fd_sc_hd__inv_2)
+                  0.16    0.21    9.64 v soc/_14221_/Y (sky130_fd_sc_hd__inv_2)
+     6    0.06                           soc/net623 (net)
+                  0.16    0.01    9.65 v soc/output623/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.18    9.83 v soc/output623/X (sky130_fd_sc_hd__buf_12)
+     3    0.01                           mprj_adr_o_core[19] (net)
+                  0.02    0.00    9.83 v mgmt_buffers/input398/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.15    9.98 v mgmt_buffers/input398/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net398 (net)
+                  0.07    0.01    9.99 v mgmt_buffers/wire1647/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.16 v mgmt_buffers/wire1647/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1647 (net)
+                  0.07    0.01   10.17 v mgmt_buffers/wire1646/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.35 v mgmt_buffers/wire1646/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1646 (net)
+                  0.07    0.01   10.36 v mgmt_buffers/wire1645/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.54 v mgmt_buffers/wire1645/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1645 (net)
+                  0.07    0.01   10.55 v mgmt_buffers/_324_/B (sky130_fd_sc_hd__and2_4)
+                  0.08    0.23   10.78 v mgmt_buffers/_324_/X (sky130_fd_sc_hd__and2_4)
+     2    0.05                           mgmt_buffers/net858 (net)
+                  0.08    0.00   10.78 v mgmt_buffers/wire1402/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.96 v mgmt_buffers/wire1402/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1402 (net)
+                  0.07    0.01   10.97 v mgmt_buffers/output858/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.12   11.09 v mgmt_buffers/output858/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_adr_o_user[19] (net)
+                  0.02    0.00   11.09 v mprj/u_rp_south/u_rp[67].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.18    0.22   11.31 v mprj/u_rp_south/u_rp[67].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.05                           mprj/u_rp_south/net25 (net)
+                  0.18    0.01   11.31 v mprj/u_rp_south/wire25/A (sky130_fd_sc_hd__buf_4)
+                  0.32    0.33   11.64 v mprj/u_rp_south/wire25/X (sky130_fd_sc_hd__buf_4)
+     2    0.28                           mprj/ch_out_south[67] (net)
+                  0.53    0.23   11.87 v mprj/u_wb_host/input51/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.29   12.16 v mprj/u_wb_host/input51/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/net51 (net)
+                  0.08    0.00   12.16 v mprj/u_wb_host/_2786_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.17    0.44   12.60 v mprj/u_wb_host/_2786_/X (sky130_fd_sc_hd__mux2_1)
+     4    0.03                           mprj/u_wb_host/_1192_ (net)
+                  0.17    0.00   12.60 v mprj/u_wb_host/_2801_/B (sky130_fd_sc_hd__or2_1)
+                  0.11    0.33   12.93 v mprj/u_wb_host/_2801_/X (sky130_fd_sc_hd__or2_1)
+     2    0.02                           mprj/u_wb_host/_1206_ (net)
+                  0.11    0.00   12.93 v mprj/u_wb_host/_2802_/B (sky130_fd_sc_hd__nor2_2)
+                  0.52    0.45   13.39 ^ mprj/u_wb_host/_2802_/Y (sky130_fd_sc_hd__nor2_2)
+     2    0.05                           mprj/u_wb_host/_1207_ (net)
+                  0.52    0.00   13.39 ^ mprj/u_wb_host/_2803_/B1 (sky130_fd_sc_hd__o31a_4)
+                  0.22    0.40   13.78 ^ mprj/u_wb_host/_2803_/X (sky130_fd_sc_hd__o31a_4)
+    16    0.08                           mprj/u_wb_host/_1208_ (net)
+                  0.22    0.00   13.79 ^ mprj/u_wb_host/_3136_/B (sky130_fd_sc_hd__nand2_2)
+                  0.10    0.14   13.93 v mprj/u_wb_host/_3136_/Y (sky130_fd_sc_hd__nand2_2)
+     4    0.02                           mprj/u_wb_host/_1403_ (net)
+                  0.10    0.00   13.93 v mprj/u_wb_host/_3137_/B (sky130_fd_sc_hd__nor2_4)
+                  0.30    0.28   14.20 ^ mprj/u_wb_host/_3137_/Y (sky130_fd_sc_hd__nor2_4)
+     6    0.05                           mprj/u_wb_host/_1404_ (net)
+                  0.30    0.00   14.21 ^ mprj/u_wb_host/fanout352/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.20    0.35   14.56 ^ mprj/u_wb_host/fanout352/X (sky130_fd_sc_hd__clkbuf_4)
+    12    0.07                           mprj/u_wb_host/net352 (net)
+                  0.20    0.01   14.56 ^ mprj/u_wb_host/fanout349/A (sky130_fd_sc_hd__buf_4)
+                  0.24    0.32   14.88 ^ mprj/u_wb_host/fanout349/X (sky130_fd_sc_hd__buf_4)
+    20    0.09                           mprj/u_wb_host/net349 (net)
+                  0.24    0.00   14.88 ^ mprj/u_wb_host/fanout348/A (sky130_fd_sc_hd__buf_4)
+                  0.22    0.31   15.19 ^ mprj/u_wb_host/fanout348/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net348 (net)
+                  0.22    0.00   15.19 ^ mprj/u_wb_host/_4587_/S (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.36   15.56 v mprj/u_wb_host/_4587_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0560_ (net)
+                  0.06    0.00   15.56 v mprj/u_wb_host/_6040_/D (sky130_fd_sc_hd__dfxtp_1)
+                                 15.56   data arrival time
+
+                         25.00   25.00   clock wb_clk (rise edge)
+                          0.00   25.00   clock source latency
+                  1.00    0.00   25.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09   29.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00   29.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27   29.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03   29.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37   29.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20   30.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35   30.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01   30.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   30.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00   30.65 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15   30.80 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00   30.80 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   30.94 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00   30.94 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.25    0.27   31.21 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
+                  0.25    0.00   31.21 ^ mprj/u_wb_host/clkbuf_leaf_19_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.19   31.41 ^ mprj/u_wb_host/clkbuf_leaf_19_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_wb_host/clknet_leaf_19_wbm_clk_i (net)
+                  0.05    0.00   31.41 ^ mprj/u_wb_host/_6040_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                         -0.25   31.16   clock uncertainty
+                          0.95   32.11   clock reconvergence pessimism
+                         -0.11   31.99   library setup time
+                                 31.99   data required time
+-----------------------------------------------------------------------------
+                                 31.99   data required time
+                                -15.56   data arrival time
+-----------------------------------------------------------------------------
+                                 16.44   slack (MET)
+
+
+Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
+Endpoint: mprj/u_wb_host/_5997_
+          (rising edge-triggered flip-flop clocked by wb_clk)
+Path Group: wb_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           soc/clknet_0_core_clk (net)
+                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_0_core_clk (net)
+                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_1_core_clk (net)
+                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_2_core_clk (net)
+                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_3_core_clk (net)
+                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_4_core_clk (net)
+                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           soc/clknet_1_1_5_core_clk (net)
+                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_1_1_6_core_clk (net)
+                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_2_3_0_core_clk (net)
+                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_2_3_1_core_clk (net)
+                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_0_core_clk (net)
+                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_1_core_clk (net)
+                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_3_7_2_core_clk (net)
+                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_4_14_0_core_clk (net)
+                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_5_28_0_core_clk (net)
+                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.26                           soc/clknet_5_28_1_core_clk (net)
+                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           soc/clknet_leaf_243_core_clk (net)
+                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.11    0.39    6.87 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
+     1    0.01                           soc/core.grant[0] (net)
+                  0.11    0.00    6.87 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
+                  0.16    0.21    7.08 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
+    10    0.10                           soc/net3544 (net)
+                  0.16    0.00    7.08 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
+                  0.22    0.26    7.34 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
+    14    0.11                           soc/net3546 (net)
+                  0.22    0.02    7.36 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
+                  0.31    0.36    7.72 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
+    17    0.11                           soc/net3545 (net)
+                  0.32    0.02    7.75 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
+                  0.09    0.11    7.86 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.01                           soc/_13629_ (net)
+                  0.09    0.00    7.86 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
+                  0.05    0.16    8.02 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
+     4    0.04                           soc/net3233 (net)
+                  0.05    0.00    8.02 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
+                  0.11    0.18    8.20 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
+    16    0.14                           soc/net3235 (net)
+                  0.12    0.03    8.23 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.19    8.42 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
+    12    0.06                           soc/net3231 (net)
+                  0.06    0.00    8.43 v soc/wire3232/A (sky130_fd_sc_hd__buf_12)
+                  0.11    0.20    8.63 v soc/wire3232/X (sky130_fd_sc_hd__buf_12)
+    30    0.20                           soc/net3232 (net)
+                  0.11    0.01    8.64 v soc/_14220_/B2 (sky130_fd_sc_hd__a221oi_2)
+                  0.36    0.41    9.05 ^ soc/_14220_/Y (sky130_fd_sc_hd__a221oi_2)
+     1    0.02                           soc/_13683_ (net)
+                  0.36    0.00    9.05 ^ soc/wire2621/A (sky130_fd_sc_hd__buf_4)
+                  0.28    0.37    9.42 ^ soc/wire2621/X (sky130_fd_sc_hd__buf_4)
+    12    0.10                           soc/net2621 (net)
+                  0.28    0.02    9.43 ^ soc/_14221_/A (sky130_fd_sc_hd__inv_2)
+                  0.16    0.21    9.64 v soc/_14221_/Y (sky130_fd_sc_hd__inv_2)
+     6    0.06                           soc/net623 (net)
+                  0.16    0.01    9.65 v soc/output623/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.18    9.83 v soc/output623/X (sky130_fd_sc_hd__buf_12)
+     3    0.01                           mprj_adr_o_core[19] (net)
+                  0.02    0.00    9.83 v mgmt_buffers/input398/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.15    9.98 v mgmt_buffers/input398/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net398 (net)
+                  0.07    0.01    9.99 v mgmt_buffers/wire1647/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.16 v mgmt_buffers/wire1647/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1647 (net)
+                  0.07    0.01   10.17 v mgmt_buffers/wire1646/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.35 v mgmt_buffers/wire1646/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1646 (net)
+                  0.07    0.01   10.36 v mgmt_buffers/wire1645/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.54 v mgmt_buffers/wire1645/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1645 (net)
+                  0.07    0.01   10.55 v mgmt_buffers/_324_/B (sky130_fd_sc_hd__and2_4)
+                  0.08    0.23   10.78 v mgmt_buffers/_324_/X (sky130_fd_sc_hd__and2_4)
+     2    0.05                           mgmt_buffers/net858 (net)
+                  0.08    0.00   10.78 v mgmt_buffers/wire1402/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.96 v mgmt_buffers/wire1402/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1402 (net)
+                  0.07    0.01   10.97 v mgmt_buffers/output858/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.12   11.09 v mgmt_buffers/output858/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_adr_o_user[19] (net)
+                  0.02    0.00   11.09 v mprj/u_rp_south/u_rp[67].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.18    0.22   11.31 v mprj/u_rp_south/u_rp[67].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.05                           mprj/u_rp_south/net25 (net)
+                  0.18    0.01   11.31 v mprj/u_rp_south/wire25/A (sky130_fd_sc_hd__buf_4)
+                  0.32    0.33   11.64 v mprj/u_rp_south/wire25/X (sky130_fd_sc_hd__buf_4)
+     2    0.28                           mprj/ch_out_south[67] (net)
+                  0.53    0.23   11.87 v mprj/u_wb_host/input51/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.29   12.16 v mprj/u_wb_host/input51/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/net51 (net)
+                  0.08    0.00   12.16 v mprj/u_wb_host/_2786_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.17    0.44   12.60 v mprj/u_wb_host/_2786_/X (sky130_fd_sc_hd__mux2_1)
+     4    0.03                           mprj/u_wb_host/_1192_ (net)
+                  0.17    0.00   12.60 v mprj/u_wb_host/_2801_/B (sky130_fd_sc_hd__or2_1)
+                  0.11    0.33   12.93 v mprj/u_wb_host/_2801_/X (sky130_fd_sc_hd__or2_1)
+     2    0.02                           mprj/u_wb_host/_1206_ (net)
+                  0.11    0.00   12.93 v mprj/u_wb_host/_2802_/B (sky130_fd_sc_hd__nor2_2)
+                  0.52    0.45   13.39 ^ mprj/u_wb_host/_2802_/Y (sky130_fd_sc_hd__nor2_2)
+     2    0.05                           mprj/u_wb_host/_1207_ (net)
+                  0.52    0.00   13.39 ^ mprj/u_wb_host/_2803_/B1 (sky130_fd_sc_hd__o31a_4)
+                  0.22    0.40   13.78 ^ mprj/u_wb_host/_2803_/X (sky130_fd_sc_hd__o31a_4)
+    16    0.08                           mprj/u_wb_host/_1208_ (net)
+                  0.22    0.00   13.79 ^ mprj/u_wb_host/_3136_/B (sky130_fd_sc_hd__nand2_2)
+                  0.10    0.14   13.93 v mprj/u_wb_host/_3136_/Y (sky130_fd_sc_hd__nand2_2)
+     4    0.02                           mprj/u_wb_host/_1403_ (net)
+                  0.10    0.00   13.93 v mprj/u_wb_host/_3137_/B (sky130_fd_sc_hd__nor2_4)
+                  0.30    0.28   14.20 ^ mprj/u_wb_host/_3137_/Y (sky130_fd_sc_hd__nor2_4)
+     6    0.05                           mprj/u_wb_host/_1404_ (net)
+                  0.30    0.00   14.21 ^ mprj/u_wb_host/fanout352/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.20    0.35   14.56 ^ mprj/u_wb_host/fanout352/X (sky130_fd_sc_hd__clkbuf_4)
+    12    0.07                           mprj/u_wb_host/net352 (net)
+                  0.20    0.00   14.56 ^ mprj/u_wb_host/fanout351/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.32   14.88 ^ mprj/u_wb_host/fanout351/X (sky130_fd_sc_hd__buf_4)
+    20    0.09                           mprj/u_wb_host/net351 (net)
+                  0.25    0.00   14.88 ^ mprj/u_wb_host/fanout350/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.30   15.18 ^ mprj/u_wb_host/fanout350/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_wb_host/net350 (net)
+                  0.21    0.00   15.19 ^ mprj/u_wb_host/_4528_/S (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.36   15.55 v mprj/u_wb_host/_4528_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0517_ (net)
+                  0.06    0.00   15.55 v mprj/u_wb_host/_5997_/D (sky130_fd_sc_hd__dfxtp_1)
+                                 15.55   data arrival time
+
+                         25.00   25.00   clock wb_clk (rise edge)
+                          0.00   25.00   clock source latency
+                  1.00    0.00   25.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09   29.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00   29.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27   29.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03   29.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37   29.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20   30.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35   30.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01   30.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   30.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00   30.65 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15   30.80 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00   30.80 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   30.94 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00   30.94 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.24    0.26   31.20 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
+                  0.24    0.01   31.21 ^ mprj/u_wb_host/clkbuf_leaf_28_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.19   31.40 ^ mprj/u_wb_host/clkbuf_leaf_28_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.03                           mprj/u_wb_host/clknet_leaf_28_wbm_clk_i (net)
+                  0.05    0.00   31.40 ^ mprj/u_wb_host/_5997_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                         -0.25   31.15   clock uncertainty
+                          0.95   32.10   clock reconvergence pessimism
+                         -0.12   31.98   library setup time
+                                 31.98   data required time
+-----------------------------------------------------------------------------
+                                 31.98   data required time
+                                -15.55   data arrival time
+-----------------------------------------------------------------------------
+                                 16.44   slack (MET)
+
+
+Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
+Endpoint: mprj/u_wb_host/_6557_
+          (rising edge-triggered flip-flop clocked by wb_clk)
+Path Group: wb_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           soc/clknet_0_core_clk (net)
+                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_0_core_clk (net)
+                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_1_core_clk (net)
+                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_2_core_clk (net)
+                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_3_core_clk (net)
+                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_4_core_clk (net)
+                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           soc/clknet_1_1_5_core_clk (net)
+                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_1_1_6_core_clk (net)
+                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_2_3_0_core_clk (net)
+                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_2_3_1_core_clk (net)
+                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_0_core_clk (net)
+                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_1_core_clk (net)
+                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_3_7_2_core_clk (net)
+                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_4_14_0_core_clk (net)
+                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_5_28_0_core_clk (net)
+                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.26                           soc/clknet_5_28_1_core_clk (net)
+                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           soc/clknet_leaf_243_core_clk (net)
+                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.11    0.39    6.87 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
+     1    0.01                           soc/core.grant[0] (net)
+                  0.11    0.00    6.87 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
+                  0.16    0.21    7.08 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
+    10    0.10                           soc/net3544 (net)
+                  0.16    0.00    7.08 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
+                  0.22    0.26    7.34 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
+    14    0.11                           soc/net3546 (net)
+                  0.22    0.02    7.36 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
+                  0.31    0.36    7.72 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
+    17    0.11                           soc/net3545 (net)
+                  0.32    0.02    7.75 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
+                  0.09    0.11    7.86 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.01                           soc/_13629_ (net)
+                  0.09    0.00    7.86 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
+                  0.05    0.16    8.02 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
+     4    0.04                           soc/net3233 (net)
+                  0.05    0.00    8.02 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
+                  0.11    0.18    8.20 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
+    16    0.14                           soc/net3235 (net)
+                  0.12    0.03    8.23 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.19    8.42 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
+    12    0.06                           soc/net3231 (net)
+                  0.06    0.00    8.43 v soc/_14146_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.32    8.75 v soc/_14146_/X (sky130_fd_sc_hd__and2_2)
+    10    0.06                           soc/_13643_ (net)
+                  0.15    0.01    8.75 v soc/_14147_/C1 (sky130_fd_sc_hd__a221oi_4)
+                  0.73    0.63    9.38 ^ soc/_14147_/Y (sky130_fd_sc_hd__a221oi_4)
+     6    0.06                           soc/_13644_ (net)
+                  0.73    0.01    9.38 ^ soc/max_length2753/A (sky130_fd_sc_hd__buf_6)
+                  0.21    0.32    9.71 ^ soc/max_length2753/X (sky130_fd_sc_hd__buf_6)
+     9    0.10                           soc/net2753 (net)
+                  0.21    0.02    9.73 ^ soc/wire2751/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.32   10.05 ^ soc/wire2751/X (sky130_fd_sc_hd__buf_4)
+     4    0.09                           soc/net2751 (net)
+                  0.25    0.01   10.06 ^ soc/_14148_/A (sky130_fd_sc_hd__inv_12)
+                  0.09    0.09   10.15 v soc/_14148_/Y (sky130_fd_sc_hd__inv_12)
+     4    0.08                           soc/net634 (net)
+                  0.09    0.01   10.17 v soc/wire2404/A (sky130_fd_sc_hd__buf_12)
+                  0.10    0.19   10.36 v soc/wire2404/X (sky130_fd_sc_hd__buf_12)
+     7    0.17                           soc/net2404 (net)
+                  0.13    0.04   10.40 v soc/output634/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.16   10.56 v soc/output634/X (sky130_fd_sc_hd__buf_12)
+     3    0.01                           mprj_adr_o_core[2] (net)
+                  0.02    0.00   10.56 v mgmt_buffers/input410/A (sky130_fd_sc_hd__buf_6)
+                  0.04    0.13   10.69 v mgmt_buffers/input410/X (sky130_fd_sc_hd__buf_6)
+     2    0.03                           mgmt_buffers/net410 (net)
+                  0.04    0.00   10.69 v mgmt_buffers/wire1596/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.16   10.85 v mgmt_buffers/wire1596/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1596 (net)
+                  0.07    0.01   10.86 v mgmt_buffers/wire1595/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.20   11.06 v mgmt_buffers/wire1595/X (sky130_fd_sc_hd__buf_6)
+     2    0.11                           mgmt_buffers/net1595 (net)
+                  0.10    0.01   11.07 v mgmt_buffers/wire1594/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.19   11.25 v mgmt_buffers/wire1594/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1594 (net)
+                  0.07    0.01   11.26 v mgmt_buffers/wire1593/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   11.44 v mgmt_buffers/wire1593/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1593 (net)
+                  0.07    0.01   11.45 v mgmt_buffers/wire1592/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.17   11.62 v mgmt_buffers/wire1592/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1592 (net)
+                  0.07    0.01   11.63 v mgmt_buffers/_307_/B (sky130_fd_sc_hd__and2_4)
+                  0.06    0.21   11.83 v mgmt_buffers/_307_/X (sky130_fd_sc_hd__and2_4)
+     2    0.03                           mgmt_buffers/net870 (net)
+                  0.06    0.00   11.84 v mgmt_buffers/output870/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.12   11.96 v mgmt_buffers/output870/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_adr_o_user[2] (net)
+                  0.02    0.00   11.96 v mprj/u_rp_south/u_rp[14].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.16    0.23   12.19 v mprj/u_rp_south/u_rp[14].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.08                           mprj/u_rp_south/net70 (net)
+                  0.17    0.02   12.21 v mprj/u_rp_south/wire70/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.24   12.45 v mprj/u_rp_south/wire70/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[14] (net)
+                  0.44    0.21   12.66 v mprj/u_wb_host/input53/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.25   12.91 v mprj/u_wb_host/input53/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/net53 (net)
+                  0.07    0.00   12.91 v mprj/u_wb_host/_4045_/A (sky130_fd_sc_hd__or2_1)
+                  0.09    0.29   13.20 v mprj/u_wb_host/_4045_/X (sky130_fd_sc_hd__or2_1)
+     2    0.01                           mprj/u_wb_host/_2068_ (net)
+                  0.09    0.00   13.20 v mprj/u_wb_host/_4046_/B1 (sky130_fd_sc_hd__o21ai_4)
+                  0.35    0.16   13.37 ^ mprj/u_wb_host/_4046_/Y (sky130_fd_sc_hd__o21ai_4)
+     8    0.05                           mprj/u_wb_host/_2069_ (net)
+                  0.35    0.00   13.37 ^ mprj/u_wb_host/fanout515/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.33   13.70 ^ mprj/u_wb_host/fanout515/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net515 (net)
+                  0.21    0.00   13.70 ^ mprj/u_wb_host/_4071_/A (sky130_fd_sc_hd__nand2_2)
+                  0.23    0.24   13.95 v mprj/u_wb_host/_4071_/Y (sky130_fd_sc_hd__nand2_2)
+     6    0.05                           mprj/u_wb_host/_2087_ (net)
+                  0.23    0.00   13.95 v mprj/u_wb_host/fanout459/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.32   14.27 v mprj/u_wb_host/fanout459/X (sky130_fd_sc_hd__clkbuf_2)
+    12    0.05                           mprj/u_wb_host/net459 (net)
+                  0.19    0.00   14.27 v mprj/u_wb_host/_5404_/C (sky130_fd_sc_hd__or3_1)
+                  0.11    0.43   14.70 v mprj/u_wb_host/_5404_/X (sky130_fd_sc_hd__or3_1)
+     1    0.01                           mprj/u_wb_host/_2670_ (net)
+                  0.11    0.00   14.70 v mprj/u_wb_host/fanout363/A (sky130_fd_sc_hd__buf_2)
+                  0.12    0.24   14.94 v mprj/u_wb_host/fanout363/X (sky130_fd_sc_hd__buf_2)
+    10    0.05                           mprj/u_wb_host/net363 (net)
+                  0.12    0.00   14.95 v mprj/u_wb_host/fanout361/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.25   15.20 v mprj/u_wb_host/fanout361/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net361 (net)
+                  0.11    0.00   15.21 v mprj/u_wb_host/_5416_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.33   15.54 v mprj/u_wb_host/_5416_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_1046_ (net)
+                  0.05    0.00   15.54 v mprj/u_wb_host/_6557_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 15.54   data arrival time
+
+                         25.00   25.00   clock wb_clk (rise edge)
+                          0.00   25.00   clock source latency
+                  1.00    0.00   25.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09   29.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00   29.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27   29.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03   29.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37   29.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20   30.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35   30.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01   30.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   30.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00   30.65 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15   30.80 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00   30.80 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   30.94 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_0_0_wbm_clk_i (net)
+                  0.06    0.00   30.94 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.22    0.25   31.19 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    18    0.13                           mprj/u_wb_host/clknet_3_0_0_wbm_clk_i (net)
+                  0.22    0.01   31.19 ^ mprj/u_wb_host/clkbuf_leaf_2_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20   31.39 ^ mprj/u_wb_host/clkbuf_leaf_2_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    14    0.05                           mprj/u_wb_host/clknet_leaf_2_wbm_clk_i (net)
+                  0.07    0.00   31.39 ^ mprj/u_wb_host/_6557_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   31.14   clock uncertainty
+                          0.95   32.09   clock reconvergence pessimism
+                         -0.11   31.98   library setup time
+                                 31.98   data required time
+-----------------------------------------------------------------------------
+                                 31.98   data required time
+                                -15.54   data arrival time
+-----------------------------------------------------------------------------
+                                 16.44   slack (MET)
+
+
+Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
+Endpoint: mprj/u_wb_host/_6114_
+          (rising edge-triggered flip-flop clocked by wb_clk)
+Path Group: wb_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           soc/clknet_0_core_clk (net)
+                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_0_core_clk (net)
+                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_1_core_clk (net)
+                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_2_core_clk (net)
+                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_3_core_clk (net)
+                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_4_core_clk (net)
+                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           soc/clknet_1_1_5_core_clk (net)
+                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_1_1_6_core_clk (net)
+                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_2_3_0_core_clk (net)
+                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_2_3_1_core_clk (net)
+                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_0_core_clk (net)
+                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_1_core_clk (net)
+                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_3_7_2_core_clk (net)
+                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_4_14_0_core_clk (net)
+                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_5_28_0_core_clk (net)
+                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.26                           soc/clknet_5_28_1_core_clk (net)
+                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           soc/clknet_leaf_243_core_clk (net)
+                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.11    0.39    6.87 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
+     1    0.01                           soc/core.grant[0] (net)
+                  0.11    0.00    6.87 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
+                  0.16    0.21    7.08 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
+    10    0.10                           soc/net3544 (net)
+                  0.16    0.00    7.08 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
+                  0.22    0.26    7.34 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
+    14    0.11                           soc/net3546 (net)
+                  0.22    0.02    7.36 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
+                  0.31    0.36    7.72 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
+    17    0.11                           soc/net3545 (net)
+                  0.32    0.02    7.75 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
+                  0.09    0.11    7.86 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.01                           soc/_13629_ (net)
+                  0.09    0.00    7.86 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
+                  0.05    0.16    8.02 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
+     4    0.04                           soc/net3233 (net)
+                  0.05    0.00    8.02 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
+                  0.11    0.18    8.20 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
+    16    0.14                           soc/net3235 (net)
+                  0.12    0.03    8.23 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.19    8.42 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
+    12    0.06                           soc/net3231 (net)
+                  0.06    0.00    8.43 v soc/wire3232/A (sky130_fd_sc_hd__buf_12)
+                  0.11    0.20    8.63 v soc/wire3232/X (sky130_fd_sc_hd__buf_12)
+    30    0.20                           soc/net3232 (net)
+                  0.11    0.01    8.64 v soc/_14220_/B2 (sky130_fd_sc_hd__a221oi_2)
+                  0.36    0.41    9.05 ^ soc/_14220_/Y (sky130_fd_sc_hd__a221oi_2)
+     1    0.02                           soc/_13683_ (net)
+                  0.36    0.00    9.05 ^ soc/wire2621/A (sky130_fd_sc_hd__buf_4)
+                  0.28    0.37    9.42 ^ soc/wire2621/X (sky130_fd_sc_hd__buf_4)
+    12    0.10                           soc/net2621 (net)
+                  0.28    0.02    9.43 ^ soc/_14221_/A (sky130_fd_sc_hd__inv_2)
+                  0.16    0.21    9.64 v soc/_14221_/Y (sky130_fd_sc_hd__inv_2)
+     6    0.06                           soc/net623 (net)
+                  0.16    0.01    9.65 v soc/output623/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.18    9.83 v soc/output623/X (sky130_fd_sc_hd__buf_12)
+     3    0.01                           mprj_adr_o_core[19] (net)
+                  0.02    0.00    9.83 v mgmt_buffers/input398/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.15    9.98 v mgmt_buffers/input398/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net398 (net)
+                  0.07    0.01    9.99 v mgmt_buffers/wire1647/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.16 v mgmt_buffers/wire1647/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1647 (net)
+                  0.07    0.01   10.17 v mgmt_buffers/wire1646/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.35 v mgmt_buffers/wire1646/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1646 (net)
+                  0.07    0.01   10.36 v mgmt_buffers/wire1645/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.54 v mgmt_buffers/wire1645/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1645 (net)
+                  0.07    0.01   10.55 v mgmt_buffers/_324_/B (sky130_fd_sc_hd__and2_4)
+                  0.08    0.23   10.78 v mgmt_buffers/_324_/X (sky130_fd_sc_hd__and2_4)
+     2    0.05                           mgmt_buffers/net858 (net)
+                  0.08    0.00   10.78 v mgmt_buffers/wire1402/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.96 v mgmt_buffers/wire1402/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1402 (net)
+                  0.07    0.01   10.97 v mgmt_buffers/output858/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.12   11.09 v mgmt_buffers/output858/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_adr_o_user[19] (net)
+                  0.02    0.00   11.09 v mprj/u_rp_south/u_rp[67].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.18    0.22   11.31 v mprj/u_rp_south/u_rp[67].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.05                           mprj/u_rp_south/net25 (net)
+                  0.18    0.01   11.31 v mprj/u_rp_south/wire25/A (sky130_fd_sc_hd__buf_4)
+                  0.32    0.33   11.64 v mprj/u_rp_south/wire25/X (sky130_fd_sc_hd__buf_4)
+     2    0.28                           mprj/ch_out_south[67] (net)
+                  0.53    0.23   11.87 v mprj/u_wb_host/input51/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.29   12.16 v mprj/u_wb_host/input51/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/net51 (net)
+                  0.08    0.00   12.16 v mprj/u_wb_host/_2786_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.17    0.44   12.60 v mprj/u_wb_host/_2786_/X (sky130_fd_sc_hd__mux2_1)
+     4    0.03                           mprj/u_wb_host/_1192_ (net)
+                  0.17    0.00   12.60 v mprj/u_wb_host/_2801_/B (sky130_fd_sc_hd__or2_1)
+                  0.11    0.33   12.93 v mprj/u_wb_host/_2801_/X (sky130_fd_sc_hd__or2_1)
+     2    0.02                           mprj/u_wb_host/_1206_ (net)
+                  0.11    0.00   12.93 v mprj/u_wb_host/_2802_/B (sky130_fd_sc_hd__nor2_2)
+                  0.52    0.45   13.39 ^ mprj/u_wb_host/_2802_/Y (sky130_fd_sc_hd__nor2_2)
+     2    0.05                           mprj/u_wb_host/_1207_ (net)
+                  0.52    0.00   13.39 ^ mprj/u_wb_host/_2803_/B1 (sky130_fd_sc_hd__o31a_4)
+                  0.22    0.40   13.78 ^ mprj/u_wb_host/_2803_/X (sky130_fd_sc_hd__o31a_4)
+    16    0.08                           mprj/u_wb_host/_1208_ (net)
+                  0.22    0.00   13.79 ^ mprj/u_wb_host/_4593_/C (sky130_fd_sc_hd__and3b_4)
+                  0.22    0.38   14.16 ^ mprj/u_wb_host/_4593_/X (sky130_fd_sc_hd__and3b_4)
+     8    0.06                           mprj/u_wb_host/_2328_ (net)
+                  0.22    0.01   14.17 ^ mprj/u_wb_host/fanout395/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.19    0.31   14.49 ^ mprj/u_wb_host/fanout395/X (sky130_fd_sc_hd__clkbuf_4)
+    12    0.06                           mprj/u_wb_host/net395 (net)
+                  0.19    0.00   14.49 ^ mprj/u_wb_host/fanout392/A (sky130_fd_sc_hd__buf_4)
+                  0.24    0.31   14.80 ^ mprj/u_wb_host/fanout392/X (sky130_fd_sc_hd__buf_4)
+    20    0.09                           mprj/u_wb_host/net392 (net)
+                  0.24    0.01   14.81 ^ mprj/u_wb_host/fanout391/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.28    0.39   15.20 ^ mprj/u_wb_host/fanout391/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.09                           mprj/u_wb_host/net391 (net)
+                  0.28    0.00   15.20 ^ mprj/u_wb_host/_4662_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.36   15.56 v mprj/u_wb_host/_4662_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0634_ (net)
+                  0.05    0.00   15.56 v mprj/u_wb_host/_6114_/D (sky130_fd_sc_hd__dfxtp_1)
+                                 15.56   data arrival time
+
+                         25.00   25.00   clock wb_clk (rise edge)
+                          0.00   25.00   clock source latency
+                  1.00    0.00   25.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09   29.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00   29.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27   29.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03   29.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37   29.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20   30.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35   30.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01   30.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   30.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00   30.65 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15   30.80 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00   30.80 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   30.94 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00   30.94 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.25    0.27   31.21 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
+                  0.25    0.00   31.21 ^ mprj/u_wb_host/clkbuf_leaf_15_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20   31.41 ^ mprj/u_wb_host/clkbuf_leaf_15_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    13    0.04                           mprj/u_wb_host/clknet_leaf_15_wbm_clk_i (net)
+                  0.06    0.00   31.42 ^ mprj/u_wb_host/_6114_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                         -0.25   31.17   clock uncertainty
+                          0.95   32.12   clock reconvergence pessimism
+                         -0.11   32.01   library setup time
+                                 32.01   data required time
+-----------------------------------------------------------------------------
+                                 32.01   data required time
+                                -15.56   data arrival time
+-----------------------------------------------------------------------------
+                                 16.44   slack (MET)
+
+
+Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
+Endpoint: mprj/u_wb_host/_6572_
+          (rising edge-triggered flip-flop clocked by wb_clk)
+Path Group: wb_clk
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          0.00    0.00   clock clk (rise edge)
+                          0.00    0.00   clock source latency
+                  4.00    0.00    0.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           soc/clknet_0_core_clk (net)
+                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_0_core_clk (net)
+                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_1_core_clk (net)
+                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_2_core_clk (net)
+                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_3_core_clk (net)
+                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_1_1_4_core_clk (net)
+                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           soc/clknet_1_1_5_core_clk (net)
+                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_1_1_6_core_clk (net)
+                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_2_3_0_core_clk (net)
+                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.05                           soc/clknet_2_3_1_core_clk (net)
+                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_0_core_clk (net)
+                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_3_7_1_core_clk (net)
+                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_3_7_2_core_clk (net)
+                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.04                           soc/clknet_4_14_0_core_clk (net)
+                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           soc/clknet_5_28_0_core_clk (net)
+                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
+    30    0.26                           soc/clknet_5_28_1_core_clk (net)
+                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           soc/clknet_leaf_243_core_clk (net)
+                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                  0.11    0.39    6.87 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
+     1    0.01                           soc/core.grant[0] (net)
+                  0.11    0.00    6.87 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
+                  0.16    0.21    7.08 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
+    10    0.10                           soc/net3544 (net)
+                  0.16    0.00    7.08 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
+                  0.22    0.26    7.34 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
+    14    0.11                           soc/net3546 (net)
+                  0.22    0.02    7.36 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
+                  0.31    0.36    7.72 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
+    17    0.11                           soc/net3545 (net)
+                  0.32    0.02    7.75 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
+                  0.09    0.11    7.86 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.01                           soc/_13629_ (net)
+                  0.09    0.00    7.86 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
+                  0.05    0.16    8.02 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
+     4    0.04                           soc/net3233 (net)
+                  0.05    0.00    8.02 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
+                  0.11    0.18    8.20 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
+    16    0.14                           soc/net3235 (net)
+                  0.12    0.03    8.23 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.19    8.42 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
+    12    0.06                           soc/net3231 (net)
+                  0.06    0.00    8.43 v soc/_14146_/B (sky130_fd_sc_hd__and2_2)
+                  0.15    0.32    8.75 v soc/_14146_/X (sky130_fd_sc_hd__and2_2)
+    10    0.06                           soc/_13643_ (net)
+                  0.15    0.01    8.75 v soc/_14147_/C1 (sky130_fd_sc_hd__a221oi_4)
+                  0.73    0.63    9.38 ^ soc/_14147_/Y (sky130_fd_sc_hd__a221oi_4)
+     6    0.06                           soc/_13644_ (net)
+                  0.73    0.01    9.38 ^ soc/max_length2753/A (sky130_fd_sc_hd__buf_6)
+                  0.21    0.32    9.71 ^ soc/max_length2753/X (sky130_fd_sc_hd__buf_6)
+     9    0.10                           soc/net2753 (net)
+                  0.21    0.02    9.73 ^ soc/wire2751/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.32   10.05 ^ soc/wire2751/X (sky130_fd_sc_hd__buf_4)
+     4    0.09                           soc/net2751 (net)
+                  0.25    0.01   10.06 ^ soc/_14148_/A (sky130_fd_sc_hd__inv_12)
+                  0.09    0.09   10.15 v soc/_14148_/Y (sky130_fd_sc_hd__inv_12)
+     4    0.08                           soc/net634 (net)
+                  0.09    0.01   10.17 v soc/wire2404/A (sky130_fd_sc_hd__buf_12)
+                  0.10    0.19   10.36 v soc/wire2404/X (sky130_fd_sc_hd__buf_12)
+     7    0.17                           soc/net2404 (net)
+                  0.13    0.04   10.40 v soc/output634/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.16   10.56 v soc/output634/X (sky130_fd_sc_hd__buf_12)
+     3    0.01                           mprj_adr_o_core[2] (net)
+                  0.02    0.00   10.56 v mgmt_buffers/input410/A (sky130_fd_sc_hd__buf_6)
+                  0.04    0.13   10.69 v mgmt_buffers/input410/X (sky130_fd_sc_hd__buf_6)
+     2    0.03                           mgmt_buffers/net410 (net)
+                  0.04    0.00   10.69 v mgmt_buffers/wire1596/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.16   10.85 v mgmt_buffers/wire1596/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1596 (net)
+                  0.07    0.01   10.86 v mgmt_buffers/wire1595/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.20   11.06 v mgmt_buffers/wire1595/X (sky130_fd_sc_hd__buf_6)
+     2    0.11                           mgmt_buffers/net1595 (net)
+                  0.10    0.01   11.07 v mgmt_buffers/wire1594/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.19   11.25 v mgmt_buffers/wire1594/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1594 (net)
+                  0.07    0.01   11.26 v mgmt_buffers/wire1593/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   11.44 v mgmt_buffers/wire1593/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1593 (net)
+                  0.07    0.01   11.45 v mgmt_buffers/wire1592/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.17   11.62 v mgmt_buffers/wire1592/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1592 (net)
+                  0.07    0.01   11.63 v mgmt_buffers/_307_/B (sky130_fd_sc_hd__and2_4)
+                  0.06    0.21   11.83 v mgmt_buffers/_307_/X (sky130_fd_sc_hd__and2_4)
+     2    0.03                           mgmt_buffers/net870 (net)
+                  0.06    0.00   11.84 v mgmt_buffers/output870/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.12   11.96 v mgmt_buffers/output870/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_adr_o_user[2] (net)
+                  0.02    0.00   11.96 v mprj/u_rp_south/u_rp[14].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.16    0.23   12.19 v mprj/u_rp_south/u_rp[14].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.08                           mprj/u_rp_south/net70 (net)
+                  0.17    0.02   12.21 v mprj/u_rp_south/wire70/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.24   12.45 v mprj/u_rp_south/wire70/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[14] (net)
+                  0.44    0.21   12.66 v mprj/u_wb_host/input53/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.25   12.91 v mprj/u_wb_host/input53/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/net53 (net)
+                  0.07    0.00   12.91 v mprj/u_wb_host/_4045_/A (sky130_fd_sc_hd__or2_1)
+                  0.09    0.29   13.20 v mprj/u_wb_host/_4045_/X (sky130_fd_sc_hd__or2_1)
+     2    0.01                           mprj/u_wb_host/_2068_ (net)
+                  0.09    0.00   13.20 v mprj/u_wb_host/_4046_/B1 (sky130_fd_sc_hd__o21ai_4)
+                  0.35    0.16   13.37 ^ mprj/u_wb_host/_4046_/Y (sky130_fd_sc_hd__o21ai_4)
+     8    0.05                           mprj/u_wb_host/_2069_ (net)
+                  0.35    0.00   13.37 ^ mprj/u_wb_host/fanout515/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.33   13.70 ^ mprj/u_wb_host/fanout515/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net515 (net)
+                  0.21    0.00   13.70 ^ mprj/u_wb_host/_4071_/A (sky130_fd_sc_hd__nand2_2)
+                  0.23    0.24   13.95 v mprj/u_wb_host/_4071_/Y (sky130_fd_sc_hd__nand2_2)
+     6    0.05                           mprj/u_wb_host/_2087_ (net)
+                  0.23    0.00   13.95 v mprj/u_wb_host/fanout459/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.32   14.27 v mprj/u_wb_host/fanout459/X (sky130_fd_sc_hd__clkbuf_2)
+    12    0.05                           mprj/u_wb_host/net459 (net)
+                  0.19    0.00   14.27 v mprj/u_wb_host/_5404_/C (sky130_fd_sc_hd__or3_1)
+                  0.11    0.43   14.70 v mprj/u_wb_host/_5404_/X (sky130_fd_sc_hd__or3_1)
+     1    0.01                           mprj/u_wb_host/_2670_ (net)
+                  0.11    0.00   14.70 v mprj/u_wb_host/fanout363/A (sky130_fd_sc_hd__buf_2)
+                  0.12    0.24   14.94 v mprj/u_wb_host/fanout363/X (sky130_fd_sc_hd__buf_2)
+    10    0.05                           mprj/u_wb_host/net363 (net)
+                  0.12    0.00   14.95 v mprj/u_wb_host/fanout361/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.25   15.20 v mprj/u_wb_host/fanout361/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net361 (net)
+                  0.11    0.00   15.21 v mprj/u_wb_host/_5431_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.33   15.54 v mprj/u_wb_host/_5431_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_1061_ (net)
+                  0.05    0.00   15.54 v mprj/u_wb_host/_6572_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 15.54   data arrival time
+
+                         25.00   25.00   clock wb_clk (rise edge)
+                          0.00   25.00   clock source latency
+                  1.00    0.00   25.00 ^ clock (in)
+     1    1.12                           clock (net)
+                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
+     0    1.12                           clock (net)
+                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
+     1    0.00                           clock_core (net)
+                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           clock_core_buf (net)
+                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
+                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
+                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/_037_ (net)
+                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0__037_ (net)
+                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
+                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           clock_ctrl/net10 (net)
+                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           clock_ctrl/clknet_0_net10 (net)
+                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.02                           caravel_clk (net)
+                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.01                           caravel_clk_buf (net)
+                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           soc/net1 (net)
+                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           soc/net4208 (net)
+                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           soc/net216 (net)
+                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
+     2    0.00                           clk_passthru (net)
+                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
+     1    0.01                           mgmt_buffers/net1 (net)
+                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
+                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
+     2    0.04                           mgmt_buffers/net955 (net)
+                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1455 (net)
+                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1454 (net)
+                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mgmt_buffers/net1453 (net)
+                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.09   29.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00   29.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27   29.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03   29.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37   29.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20   30.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35   30.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01   30.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   30.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00   30.65 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15   30.80 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
+                  0.07    0.00   30.80 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   30.94 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     2    0.02                           mprj/u_wb_host/clknet_2_0_0_wbm_clk_i (net)
+                  0.06    0.00   30.94 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.22    0.25   31.19 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    18    0.13                           mprj/u_wb_host/clknet_3_0_0_wbm_clk_i (net)
+                  0.22    0.01   31.19 ^ mprj/u_wb_host/clkbuf_leaf_2_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.20   31.39 ^ mprj/u_wb_host/clkbuf_leaf_2_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    14    0.05                           mprj/u_wb_host/clknet_leaf_2_wbm_clk_i (net)
+                  0.07    0.00   31.39 ^ mprj/u_wb_host/_6572_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   31.14   clock uncertainty
+                          0.95   32.09   clock reconvergence pessimism
+                         -0.11   31.98   library setup time
+                                 31.98   data required time
+-----------------------------------------------------------------------------
+                                 31.98   data required time
+                                -15.54   data arrival time
+-----------------------------------------------------------------------------
+                                 16.44   slack (MET)
 
 
 Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
@@ -158124,26 +191425,44 @@
                   0.06    0.00   11.84 v mgmt_buffers/output870/A (sky130_fd_sc_hd__buf_8)
                   0.02    0.12   11.96 v mgmt_buffers/output870/X (sky130_fd_sc_hd__buf_8)
      2    0.00                           mprj_adr_o_user[2] (net)
-                  0.02    0.00   11.96 v mprj/u_wb_host/input53/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.05    0.11   12.06 v mprj/u_wb_host/input53/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.02    0.00   11.96 v mprj/u_rp_south/u_rp[14].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.16    0.23   12.19 v mprj/u_rp_south/u_rp[14].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.08                           mprj/u_rp_south/net70 (net)
+                  0.17    0.02   12.21 v mprj/u_rp_south/wire70/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.24   12.45 v mprj/u_rp_south/wire70/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[14] (net)
+                  0.44    0.21   12.66 v mprj/u_wb_host/input53/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.25   12.91 v mprj/u_wb_host/input53/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/net53 (net)
-                  0.05    0.00   12.06 v mprj/u_wb_host/_4056_/A (sky130_fd_sc_hd__or2_1)
-                  0.10    0.29   12.36 v mprj/u_wb_host/_4056_/X (sky130_fd_sc_hd__or2_1)
-     2    0.01                           mprj/u_wb_host/_2076_ (net)
-                  0.10    0.00   12.36 v mprj/u_wb_host/_4057_/B1 (sky130_fd_sc_hd__o21ai_4)
-                  2.08    0.76   13.12 ^ mprj/u_wb_host/_4057_/Y (sky130_fd_sc_hd__o21ai_4)
-    74    0.32                           mprj/u_wb_host/_2077_ (net)
-                  2.08    0.03   13.15 ^ mprj/u_wb_host/_4082_/A (sky130_fd_sc_hd__nand2_8)
-                  0.65    0.60   13.75 v mprj/u_wb_host/_4082_/Y (sky130_fd_sc_hd__nand2_8)
-    66    0.20                           mprj/u_wb_host/_2095_ (net)
-                  0.65    0.00   13.75 v mprj/u_wb_host/_5410_/C (sky130_fd_sc_hd__or3_4)
-                  0.34    0.87   14.62 v mprj/u_wb_host/_5410_/X (sky130_fd_sc_hd__or3_4)
-    64    0.24                           mprj/u_wb_host/_2673_ (net)
-                  0.34    0.01   14.63 v mprj/u_wb_host/_5411_/S (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.43   15.06 v mprj/u_wb_host/_5411_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_1035_ (net)
-                  0.06    0.00   15.06 v mprj/u_wb_host/_6552_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 15.06   data arrival time
+                  0.07    0.00   12.91 v mprj/u_wb_host/_4045_/A (sky130_fd_sc_hd__or2_1)
+                  0.09    0.29   13.20 v mprj/u_wb_host/_4045_/X (sky130_fd_sc_hd__or2_1)
+     2    0.01                           mprj/u_wb_host/_2068_ (net)
+                  0.09    0.00   13.20 v mprj/u_wb_host/_4046_/B1 (sky130_fd_sc_hd__o21ai_4)
+                  0.35    0.16   13.37 ^ mprj/u_wb_host/_4046_/Y (sky130_fd_sc_hd__o21ai_4)
+     8    0.05                           mprj/u_wb_host/_2069_ (net)
+                  0.35    0.00   13.37 ^ mprj/u_wb_host/fanout515/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.33   13.70 ^ mprj/u_wb_host/fanout515/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net515 (net)
+                  0.21    0.00   13.70 ^ mprj/u_wb_host/_4071_/A (sky130_fd_sc_hd__nand2_2)
+                  0.23    0.24   13.95 v mprj/u_wb_host/_4071_/Y (sky130_fd_sc_hd__nand2_2)
+     6    0.05                           mprj/u_wb_host/_2087_ (net)
+                  0.23    0.00   13.95 v mprj/u_wb_host/fanout459/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.32   14.27 v mprj/u_wb_host/fanout459/X (sky130_fd_sc_hd__clkbuf_2)
+    12    0.05                           mprj/u_wb_host/net459 (net)
+                  0.19    0.00   14.27 v mprj/u_wb_host/_5404_/C (sky130_fd_sc_hd__or3_1)
+                  0.11    0.43   14.70 v mprj/u_wb_host/_5404_/X (sky130_fd_sc_hd__or3_1)
+     1    0.01                           mprj/u_wb_host/_2670_ (net)
+                  0.11    0.00   14.70 v mprj/u_wb_host/fanout363/A (sky130_fd_sc_hd__buf_2)
+                  0.12    0.24   14.94 v mprj/u_wb_host/fanout363/X (sky130_fd_sc_hd__buf_2)
+    10    0.05                           mprj/u_wb_host/net363 (net)
+                  0.12    0.00   14.94 v mprj/u_wb_host/fanout362/A (sky130_fd_sc_hd__buf_4)
+                  0.09    0.24   15.19 v mprj/u_wb_host/fanout362/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_wb_host/net362 (net)
+                  0.09    0.00   15.19 v mprj/u_wb_host/_5411_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.33   15.52 v mprj/u_wb_host/_5411_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_1041_ (net)
+                  0.05    0.00   15.52 v mprj/u_wb_host/_6552_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 15.52   data arrival time
 
                          25.00   25.00   clock wb_clk (rise edge)
                           0.00   25.00   clock source latency
@@ -158211,37 +191530,46 @@
                   0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
      2    0.05                           mgmt_buffers/net1453 (net)
                   0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10   29.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00   29.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13   29.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00   29.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14   29.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.02    0.09   29.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00   29.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27   29.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03   29.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37   29.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20   30.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35   30.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01   30.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   30.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00   30.65 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15   30.80 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00   29.51 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   29.65 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.00   30.80 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   30.94 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      2    0.02                           mprj/u_wb_host/clknet_2_0_0_wbm_clk_i (net)
-                  0.05    0.00   29.65 ^ mprj/u_wb_host/clkbuf_3_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.19    0.23   29.88 ^ mprj/u_wb_host/clkbuf_3_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.11                           mprj/u_wb_host/clknet_3_1_0_wbm_clk_i (net)
-                  0.19    0.01   29.89 ^ mprj/u_wb_host/clkbuf_leaf_57_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.20   30.08 ^ mprj/u_wb_host/clkbuf_leaf_57_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    14    0.06                           mprj/u_wb_host/clknet_leaf_57_wbm_clk_i (net)
-                  0.08    0.00   30.08 ^ mprj/u_wb_host/_6552_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   29.83   clock uncertainty
-                          0.95   30.79   clock reconvergence pessimism
-                         -0.11   30.67   library setup time
-                                 30.67   data required time
+                  0.06    0.00   30.94 ^ mprj/u_wb_host/clkbuf_3_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.22    0.25   31.19 ^ mprj/u_wb_host/clkbuf_3_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    18    0.12                           mprj/u_wb_host/clknet_3_1_0_wbm_clk_i (net)
+                  0.22    0.00   31.19 ^ mprj/u_wb_host/clkbuf_leaf_60_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   31.38 ^ mprj/u_wb_host/clkbuf_leaf_60_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     9    0.03                           mprj/u_wb_host/clknet_leaf_60_wbm_clk_i (net)
+                  0.06    0.00   31.38 ^ mprj/u_wb_host/_6552_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   31.13   clock uncertainty
+                          0.95   32.08   clock reconvergence pessimism
+                         -0.12   31.96   library setup time
+                                 31.96   data required time
 -----------------------------------------------------------------------------
-                                 30.67   data required time
-                                -15.06   data arrival time
+                                 31.96   data required time
+                                -15.52   data arrival time
 -----------------------------------------------------------------------------
-                                 15.61   slack (MET)
+                                 16.44   slack (MET)
 
 
 Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
-Endpoint: mprj/u_wb_host/_6581_
+Endpoint: mprj/u_wb_host/_6554_
           (rising edge-triggered flip-flop clocked by wb_clk)
 Path Group: wb_clk
 Path Type: max
@@ -158406,26 +191734,44 @@
                   0.06    0.00   11.84 v mgmt_buffers/output870/A (sky130_fd_sc_hd__buf_8)
                   0.02    0.12   11.96 v mgmt_buffers/output870/X (sky130_fd_sc_hd__buf_8)
      2    0.00                           mprj_adr_o_user[2] (net)
-                  0.02    0.00   11.96 v mprj/u_wb_host/input53/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.05    0.11   12.06 v mprj/u_wb_host/input53/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.02    0.00   11.96 v mprj/u_rp_south/u_rp[14].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.16    0.23   12.19 v mprj/u_rp_south/u_rp[14].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.08                           mprj/u_rp_south/net70 (net)
+                  0.17    0.02   12.21 v mprj/u_rp_south/wire70/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.24   12.45 v mprj/u_rp_south/wire70/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[14] (net)
+                  0.44    0.21   12.66 v mprj/u_wb_host/input53/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.25   12.91 v mprj/u_wb_host/input53/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/net53 (net)
-                  0.05    0.00   12.06 v mprj/u_wb_host/_4056_/A (sky130_fd_sc_hd__or2_1)
-                  0.10    0.29   12.36 v mprj/u_wb_host/_4056_/X (sky130_fd_sc_hd__or2_1)
-     2    0.01                           mprj/u_wb_host/_2076_ (net)
-                  0.10    0.00   12.36 v mprj/u_wb_host/_4057_/B1 (sky130_fd_sc_hd__o21ai_4)
-                  2.08    0.76   13.12 ^ mprj/u_wb_host/_4057_/Y (sky130_fd_sc_hd__o21ai_4)
-    74    0.32                           mprj/u_wb_host/_2077_ (net)
-                  2.08    0.03   13.15 ^ mprj/u_wb_host/_4082_/A (sky130_fd_sc_hd__nand2_8)
-                  0.65    0.60   13.75 v mprj/u_wb_host/_4082_/Y (sky130_fd_sc_hd__nand2_8)
-    66    0.20                           mprj/u_wb_host/_2095_ (net)
-                  0.65    0.00   13.75 v mprj/u_wb_host/_5410_/C (sky130_fd_sc_hd__or3_4)
-                  0.34    0.87   14.62 v mprj/u_wb_host/_5410_/X (sky130_fd_sc_hd__or3_4)
-    64    0.24                           mprj/u_wb_host/_2673_ (net)
-                  0.34    0.01   14.63 v mprj/u_wb_host/_5440_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.42   15.06 v mprj/u_wb_host/_5440_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_1064_ (net)
-                  0.05    0.00   15.06 v mprj/u_wb_host/_6581_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 15.06   data arrival time
+                  0.07    0.00   12.91 v mprj/u_wb_host/_4045_/A (sky130_fd_sc_hd__or2_1)
+                  0.09    0.29   13.20 v mprj/u_wb_host/_4045_/X (sky130_fd_sc_hd__or2_1)
+     2    0.01                           mprj/u_wb_host/_2068_ (net)
+                  0.09    0.00   13.20 v mprj/u_wb_host/_4046_/B1 (sky130_fd_sc_hd__o21ai_4)
+                  0.35    0.16   13.37 ^ mprj/u_wb_host/_4046_/Y (sky130_fd_sc_hd__o21ai_4)
+     8    0.05                           mprj/u_wb_host/_2069_ (net)
+                  0.35    0.00   13.37 ^ mprj/u_wb_host/fanout515/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.33   13.70 ^ mprj/u_wb_host/fanout515/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net515 (net)
+                  0.21    0.00   13.70 ^ mprj/u_wb_host/_4071_/A (sky130_fd_sc_hd__nand2_2)
+                  0.23    0.24   13.95 v mprj/u_wb_host/_4071_/Y (sky130_fd_sc_hd__nand2_2)
+     6    0.05                           mprj/u_wb_host/_2087_ (net)
+                  0.23    0.00   13.95 v mprj/u_wb_host/fanout459/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.32   14.27 v mprj/u_wb_host/fanout459/X (sky130_fd_sc_hd__clkbuf_2)
+    12    0.05                           mprj/u_wb_host/net459 (net)
+                  0.19    0.00   14.27 v mprj/u_wb_host/_5404_/C (sky130_fd_sc_hd__or3_1)
+                  0.11    0.43   14.70 v mprj/u_wb_host/_5404_/X (sky130_fd_sc_hd__or3_1)
+     1    0.01                           mprj/u_wb_host/_2670_ (net)
+                  0.11    0.00   14.70 v mprj/u_wb_host/fanout363/A (sky130_fd_sc_hd__buf_2)
+                  0.12    0.24   14.94 v mprj/u_wb_host/fanout363/X (sky130_fd_sc_hd__buf_2)
+    10    0.05                           mprj/u_wb_host/net363 (net)
+                  0.12    0.00   14.95 v mprj/u_wb_host/fanout361/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.25   15.20 v mprj/u_wb_host/fanout361/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net361 (net)
+                  0.11    0.00   15.21 v mprj/u_wb_host/_5413_/S (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.34   15.55 v mprj/u_wb_host/_5413_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_1043_ (net)
+                  0.06    0.00   15.55 v mprj/u_wb_host/_6554_/D (sky130_fd_sc_hd__dfstp_1)
+                                 15.55   data arrival time
 
                          25.00   25.00   clock wb_clk (rise edge)
                           0.00   25.00   clock source latency
@@ -158493,37 +191839,46 @@
                   0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
      2    0.05                           mgmt_buffers/net1453 (net)
                   0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10   29.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00   29.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13   29.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00   29.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14   29.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.02    0.09   29.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00   29.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27   29.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03   29.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37   29.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20   30.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35   30.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01   30.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   30.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00   30.65 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15   30.80 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00   29.51 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   29.65 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.00   30.80 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   30.94 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      2    0.02                           mprj/u_wb_host/clknet_2_0_0_wbm_clk_i (net)
-                  0.05    0.00   29.65 ^ mprj/u_wb_host/clkbuf_3_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.19    0.23   29.88 ^ mprj/u_wb_host/clkbuf_3_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.11                           mprj/u_wb_host/clknet_3_1_0_wbm_clk_i (net)
-                  0.19    0.01   29.89 ^ mprj/u_wb_host/clkbuf_leaf_57_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.20   30.08 ^ mprj/u_wb_host/clkbuf_leaf_57_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    14    0.06                           mprj/u_wb_host/clknet_leaf_57_wbm_clk_i (net)
-                  0.08    0.00   30.09 ^ mprj/u_wb_host/_6581_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   29.84   clock uncertainty
-                          0.95   30.79   clock reconvergence pessimism
-                         -0.11   30.68   library setup time
-                                 30.68   data required time
+                  0.06    0.00   30.94 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.22    0.25   31.19 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    18    0.13                           mprj/u_wb_host/clknet_3_0_0_wbm_clk_i (net)
+                  0.22    0.00   31.19 ^ mprj/u_wb_host/clkbuf_leaf_62_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17   31.36 ^ mprj/u_wb_host/clkbuf_leaf_62_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.01                           mprj/u_wb_host/clknet_leaf_62_wbm_clk_i (net)
+                  0.04    0.00   31.36 ^ mprj/u_wb_host/_6554_/CLK (sky130_fd_sc_hd__dfstp_1)
+                         -0.25   31.11   clock uncertainty
+                          0.95   32.06   clock reconvergence pessimism
+                         -0.07   31.99   library setup time
+                                 31.99   data required time
 -----------------------------------------------------------------------------
-                                 30.68   data required time
-                                -15.06   data arrival time
+                                 31.99   data required time
+                                -15.55   data arrival time
 -----------------------------------------------------------------------------
-                                 15.62   slack (MET)
+                                 16.44   slack (MET)
 
 
 Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
-Endpoint: mprj/u_wb_host/_6275_
+Endpoint: mprj/u_wb_host/_6558_
           (rising edge-triggered flip-flop clocked by wb_clk)
 Path Group: wb_clk
 Path Type: max
@@ -158688,29 +192043,44 @@
                   0.06    0.00   11.84 v mgmt_buffers/output870/A (sky130_fd_sc_hd__buf_8)
                   0.02    0.12   11.96 v mgmt_buffers/output870/X (sky130_fd_sc_hd__buf_8)
      2    0.00                           mprj_adr_o_user[2] (net)
-                  0.02    0.00   11.96 v mprj/u_wb_host/input53/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.05    0.11   12.06 v mprj/u_wb_host/input53/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.02    0.00   11.96 v mprj/u_rp_south/u_rp[14].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.16    0.23   12.19 v mprj/u_rp_south/u_rp[14].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.08                           mprj/u_rp_south/net70 (net)
+                  0.17    0.02   12.21 v mprj/u_rp_south/wire70/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.24   12.45 v mprj/u_rp_south/wire70/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[14] (net)
+                  0.44    0.21   12.66 v mprj/u_wb_host/input53/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.25   12.91 v mprj/u_wb_host/input53/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.01                           mprj/u_wb_host/net53 (net)
-                  0.05    0.00   12.06 v mprj/u_wb_host/_4056_/A (sky130_fd_sc_hd__or2_1)
-                  0.10    0.29   12.36 v mprj/u_wb_host/_4056_/X (sky130_fd_sc_hd__or2_1)
-     2    0.01                           mprj/u_wb_host/_2076_ (net)
-                  0.10    0.00   12.36 v mprj/u_wb_host/_4057_/B1 (sky130_fd_sc_hd__o21ai_4)
-                  2.08    0.76   13.12 ^ mprj/u_wb_host/_4057_/Y (sky130_fd_sc_hd__o21ai_4)
-    74    0.32                           mprj/u_wb_host/_2077_ (net)
-                  2.08    0.03   13.15 ^ mprj/u_wb_host/_4063_/A (sky130_fd_sc_hd__nand2_8)
-                  0.50    0.42   13.57 v mprj/u_wb_host/_4063_/Y (sky130_fd_sc_hd__nand2_8)
-    36    0.12                           mprj/u_wb_host/_2083_ (net)
-                  0.50    0.01   13.57 v mprj/u_wb_host/_4222_/C (sky130_fd_sc_hd__or3_4)
-                  0.12    0.59   14.17 v mprj/u_wb_host/_4222_/X (sky130_fd_sc_hd__or3_4)
-     4    0.04                           mprj/u_wb_host/_2158_ (net)
-                  0.12    0.00   14.17 v mprj/u_wb_host/_4821_/A (sky130_fd_sc_hd__nor2_4)
-                  0.43    0.41   14.57 ^ mprj/u_wb_host/_4821_/Y (sky130_fd_sc_hd__nor2_4)
-    16    0.07                           mprj/u_wb_host/_2335_ (net)
-                  0.43    0.01   14.58 ^ mprj/u_wb_host/_4827_/S (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.41   14.99 v mprj/u_wb_host/_4827_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/_0789_ (net)
-                  0.07    0.00   14.99 v mprj/u_wb_host/_6275_/D (sky130_fd_sc_hd__dfrtp_2)
-                                 14.99   data arrival time
+                  0.07    0.00   12.91 v mprj/u_wb_host/_4045_/A (sky130_fd_sc_hd__or2_1)
+                  0.09    0.29   13.20 v mprj/u_wb_host/_4045_/X (sky130_fd_sc_hd__or2_1)
+     2    0.01                           mprj/u_wb_host/_2068_ (net)
+                  0.09    0.00   13.20 v mprj/u_wb_host/_4046_/B1 (sky130_fd_sc_hd__o21ai_4)
+                  0.35    0.16   13.37 ^ mprj/u_wb_host/_4046_/Y (sky130_fd_sc_hd__o21ai_4)
+     8    0.05                           mprj/u_wb_host/_2069_ (net)
+                  0.35    0.00   13.37 ^ mprj/u_wb_host/fanout515/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.33   13.70 ^ mprj/u_wb_host/fanout515/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net515 (net)
+                  0.21    0.00   13.70 ^ mprj/u_wb_host/_4071_/A (sky130_fd_sc_hd__nand2_2)
+                  0.23    0.24   13.95 v mprj/u_wb_host/_4071_/Y (sky130_fd_sc_hd__nand2_2)
+     6    0.05                           mprj/u_wb_host/_2087_ (net)
+                  0.23    0.00   13.95 v mprj/u_wb_host/fanout459/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.19    0.32   14.27 v mprj/u_wb_host/fanout459/X (sky130_fd_sc_hd__clkbuf_2)
+    12    0.05                           mprj/u_wb_host/net459 (net)
+                  0.19    0.00   14.27 v mprj/u_wb_host/_5404_/C (sky130_fd_sc_hd__or3_1)
+                  0.11    0.43   14.70 v mprj/u_wb_host/_5404_/X (sky130_fd_sc_hd__or3_1)
+     1    0.01                           mprj/u_wb_host/_2670_ (net)
+                  0.11    0.00   14.70 v mprj/u_wb_host/fanout363/A (sky130_fd_sc_hd__buf_2)
+                  0.12    0.24   14.94 v mprj/u_wb_host/fanout363/X (sky130_fd_sc_hd__buf_2)
+    10    0.05                           mprj/u_wb_host/net363 (net)
+                  0.12    0.00   14.95 v mprj/u_wb_host/fanout361/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.25   15.20 v mprj/u_wb_host/fanout361/X (sky130_fd_sc_hd__buf_4)
+    20    0.08                           mprj/u_wb_host/net361 (net)
+                  0.11    0.00   15.21 v mprj/u_wb_host/_5417_/S (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.34   15.54 v mprj/u_wb_host/_5417_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_1047_ (net)
+                  0.06    0.00   15.54 v mprj/u_wb_host/_6558_/D (sky130_fd_sc_hd__dfstp_1)
+                                 15.54   data arrival time
 
                          25.00   25.00   clock wb_clk (rise edge)
                           0.00   25.00   clock source latency
@@ -158778,37 +192148,46 @@
                   0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
      2    0.05                           mgmt_buffers/net1453 (net)
                   0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10   29.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00   29.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13   29.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00   29.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14   29.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.02    0.09   29.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00   29.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27   29.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03   29.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37   29.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20   30.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35   30.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01   30.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   30.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00   30.65 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15   30.80 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00   29.51 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   29.65 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.00   30.80 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   30.94 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      2    0.02                           mprj/u_wb_host/clknet_2_0_0_wbm_clk_i (net)
-                  0.05    0.00   29.65 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.21    0.24   29.89 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.12                           mprj/u_wb_host/clknet_3_0_0_wbm_clk_i (net)
-                  0.21    0.01   29.90 ^ mprj/u_wb_host/clkbuf_leaf_3_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.18   30.08 ^ mprj/u_wb_host/clkbuf_leaf_3_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.03                           mprj/u_wb_host/clknet_leaf_3_wbm_clk_i (net)
-                  0.06    0.00   30.08 ^ mprj/u_wb_host/_6275_/CLK (sky130_fd_sc_hd__dfrtp_2)
-                         -0.25   29.83   clock uncertainty
-                          0.95   30.78   clock reconvergence pessimism
-                         -0.13   30.66   library setup time
-                                 30.66   data required time
+                  0.06    0.00   30.94 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.22    0.25   31.19 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    18    0.13                           mprj/u_wb_host/clknet_3_0_0_wbm_clk_i (net)
+                  0.22    0.00   31.19 ^ mprj/u_wb_host/clkbuf_leaf_62_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17   31.36 ^ mprj/u_wb_host/clkbuf_leaf_62_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.01                           mprj/u_wb_host/clknet_leaf_62_wbm_clk_i (net)
+                  0.04    0.00   31.36 ^ mprj/u_wb_host/_6558_/CLK (sky130_fd_sc_hd__dfstp_1)
+                         -0.25   31.11   clock uncertainty
+                          0.95   32.06   clock reconvergence pessimism
+                         -0.07   31.99   library setup time
+                                 31.99   data required time
 -----------------------------------------------------------------------------
-                                 30.66   data required time
-                                -14.99   data arrival time
+                                 31.99   data required time
+                                -15.54   data arrival time
 -----------------------------------------------------------------------------
-                                 15.67   slack (MET)
+                                 16.45   slack (MET)
 
 
 Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
-Endpoint: mprj/u_wb_host/_5841_
+Endpoint: mprj/u_wb_host/_6029_
           (rising edge-triggered flip-flop clocked by wb_clk)
 Path Group: wb_clk
 Path Type: max
@@ -158928,74 +192307,83 @@
                   0.12    0.03    8.23 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
                   0.06    0.19    8.42 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
     12    0.06                           soc/net3231 (net)
-                  0.06    0.00    8.43 v soc/_14146_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.32    8.75 v soc/_14146_/X (sky130_fd_sc_hd__and2_2)
-    10    0.06                           soc/_13643_ (net)
-                  0.15    0.01    8.75 v soc/_14147_/C1 (sky130_fd_sc_hd__a221oi_4)
-                  0.73    0.63    9.38 ^ soc/_14147_/Y (sky130_fd_sc_hd__a221oi_4)
-     6    0.06                           soc/_13644_ (net)
-                  0.73    0.01    9.38 ^ soc/max_length2753/A (sky130_fd_sc_hd__buf_6)
-                  0.21    0.32    9.71 ^ soc/max_length2753/X (sky130_fd_sc_hd__buf_6)
-     9    0.10                           soc/net2753 (net)
-                  0.21    0.02    9.73 ^ soc/wire2751/A (sky130_fd_sc_hd__buf_4)
-                  0.25    0.32   10.05 ^ soc/wire2751/X (sky130_fd_sc_hd__buf_4)
-     4    0.09                           soc/net2751 (net)
-                  0.25    0.01   10.06 ^ soc/_14148_/A (sky130_fd_sc_hd__inv_12)
-                  0.09    0.09   10.15 v soc/_14148_/Y (sky130_fd_sc_hd__inv_12)
-     4    0.08                           soc/net634 (net)
-                  0.09    0.01   10.17 v soc/wire2404/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.19   10.36 v soc/wire2404/X (sky130_fd_sc_hd__buf_12)
-     7    0.17                           soc/net2404 (net)
-                  0.13    0.04   10.40 v soc/output634/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.16   10.56 v soc/output634/X (sky130_fd_sc_hd__buf_12)
-     3    0.01                           mprj_adr_o_core[2] (net)
-                  0.02    0.00   10.56 v mgmt_buffers/input410/A (sky130_fd_sc_hd__buf_6)
-                  0.04    0.13   10.69 v mgmt_buffers/input410/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mgmt_buffers/net410 (net)
-                  0.04    0.00   10.69 v mgmt_buffers/wire1596/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.16   10.85 v mgmt_buffers/wire1596/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1596 (net)
-                  0.07    0.01   10.86 v mgmt_buffers/wire1595/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.20   11.06 v mgmt_buffers/wire1595/X (sky130_fd_sc_hd__buf_6)
-     2    0.11                           mgmt_buffers/net1595 (net)
-                  0.10    0.01   11.07 v mgmt_buffers/wire1594/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19   11.25 v mgmt_buffers/wire1594/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1594 (net)
-                  0.07    0.01   11.26 v mgmt_buffers/wire1593/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.18   11.44 v mgmt_buffers/wire1593/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1593 (net)
-                  0.07    0.01   11.45 v mgmt_buffers/wire1592/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.17   11.62 v mgmt_buffers/wire1592/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1592 (net)
-                  0.07    0.01   11.63 v mgmt_buffers/_307_/B (sky130_fd_sc_hd__and2_4)
-                  0.06    0.21   11.83 v mgmt_buffers/_307_/X (sky130_fd_sc_hd__and2_4)
-     2    0.03                           mgmt_buffers/net870 (net)
-                  0.06    0.00   11.84 v mgmt_buffers/output870/A (sky130_fd_sc_hd__buf_8)
-                  0.02    0.12   11.96 v mgmt_buffers/output870/X (sky130_fd_sc_hd__buf_8)
-     2    0.00                           mprj_adr_o_user[2] (net)
-                  0.02    0.00   11.96 v mprj/u_wb_host/input53/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.05    0.11   12.06 v mprj/u_wb_host/input53/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_wb_host/net53 (net)
-                  0.05    0.00   12.06 v mprj/u_wb_host/_4056_/A (sky130_fd_sc_hd__or2_1)
-                  0.10    0.29   12.36 v mprj/u_wb_host/_4056_/X (sky130_fd_sc_hd__or2_1)
-     2    0.01                           mprj/u_wb_host/_2076_ (net)
-                  0.10    0.00   12.36 v mprj/u_wb_host/_4057_/B1 (sky130_fd_sc_hd__o21ai_4)
-                  2.08    0.76   13.12 ^ mprj/u_wb_host/_4057_/Y (sky130_fd_sc_hd__o21ai_4)
-    74    0.32                           mprj/u_wb_host/_2077_ (net)
-                  2.08    0.03   13.15 ^ mprj/u_wb_host/_4063_/A (sky130_fd_sc_hd__nand2_8)
-                  0.50    0.42   13.57 v mprj/u_wb_host/_4063_/Y (sky130_fd_sc_hd__nand2_8)
-    36    0.12                           mprj/u_wb_host/_2083_ (net)
-                  0.50    0.01   13.57 v mprj/u_wb_host/_4222_/C (sky130_fd_sc_hd__or3_4)
-                  0.12    0.59   14.17 v mprj/u_wb_host/_4222_/X (sky130_fd_sc_hd__or3_4)
-     4    0.04                           mprj/u_wb_host/_2158_ (net)
-                  0.12    0.00   14.17 v mprj/u_wb_host/_4223_/B (sky130_fd_sc_hd__nor2_4)
-                  0.45    0.40   14.56 ^ mprj/u_wb_host/_4223_/Y (sky130_fd_sc_hd__nor2_4)
-    16    0.07                           mprj/u_wb_host/_2159_ (net)
-                  0.45    0.01   14.57 ^ mprj/u_wb_host/_4235_/S (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.39   14.95 v mprj/u_wb_host/_4235_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0367_ (net)
-                  0.06    0.00   14.95 v mprj/u_wb_host/_5841_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 14.95   data arrival time
+                  0.06    0.00    8.43 v soc/wire3232/A (sky130_fd_sc_hd__buf_12)
+                  0.11    0.20    8.63 v soc/wire3232/X (sky130_fd_sc_hd__buf_12)
+    30    0.20                           soc/net3232 (net)
+                  0.11    0.01    8.64 v soc/_14220_/B2 (sky130_fd_sc_hd__a221oi_2)
+                  0.36    0.41    9.05 ^ soc/_14220_/Y (sky130_fd_sc_hd__a221oi_2)
+     1    0.02                           soc/_13683_ (net)
+                  0.36    0.00    9.05 ^ soc/wire2621/A (sky130_fd_sc_hd__buf_4)
+                  0.28    0.37    9.42 ^ soc/wire2621/X (sky130_fd_sc_hd__buf_4)
+    12    0.10                           soc/net2621 (net)
+                  0.28    0.02    9.43 ^ soc/_14221_/A (sky130_fd_sc_hd__inv_2)
+                  0.16    0.21    9.64 v soc/_14221_/Y (sky130_fd_sc_hd__inv_2)
+     6    0.06                           soc/net623 (net)
+                  0.16    0.01    9.65 v soc/output623/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.18    9.83 v soc/output623/X (sky130_fd_sc_hd__buf_12)
+     3    0.01                           mprj_adr_o_core[19] (net)
+                  0.02    0.00    9.83 v mgmt_buffers/input398/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.15    9.98 v mgmt_buffers/input398/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net398 (net)
+                  0.07    0.01    9.99 v mgmt_buffers/wire1647/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.16 v mgmt_buffers/wire1647/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1647 (net)
+                  0.07    0.01   10.17 v mgmt_buffers/wire1646/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.35 v mgmt_buffers/wire1646/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1646 (net)
+                  0.07    0.01   10.36 v mgmt_buffers/wire1645/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.54 v mgmt_buffers/wire1645/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1645 (net)
+                  0.07    0.01   10.55 v mgmt_buffers/_324_/B (sky130_fd_sc_hd__and2_4)
+                  0.08    0.23   10.78 v mgmt_buffers/_324_/X (sky130_fd_sc_hd__and2_4)
+     2    0.05                           mgmt_buffers/net858 (net)
+                  0.08    0.00   10.78 v mgmt_buffers/wire1402/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.96 v mgmt_buffers/wire1402/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1402 (net)
+                  0.07    0.01   10.97 v mgmt_buffers/output858/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.12   11.09 v mgmt_buffers/output858/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_adr_o_user[19] (net)
+                  0.02    0.00   11.09 v mprj/u_rp_south/u_rp[67].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.18    0.22   11.31 v mprj/u_rp_south/u_rp[67].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.05                           mprj/u_rp_south/net25 (net)
+                  0.18    0.01   11.31 v mprj/u_rp_south/wire25/A (sky130_fd_sc_hd__buf_4)
+                  0.32    0.33   11.64 v mprj/u_rp_south/wire25/X (sky130_fd_sc_hd__buf_4)
+     2    0.28                           mprj/ch_out_south[67] (net)
+                  0.53    0.23   11.87 v mprj/u_wb_host/input51/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.29   12.16 v mprj/u_wb_host/input51/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/net51 (net)
+                  0.08    0.00   12.16 v mprj/u_wb_host/_2786_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.17    0.44   12.60 v mprj/u_wb_host/_2786_/X (sky130_fd_sc_hd__mux2_1)
+     4    0.03                           mprj/u_wb_host/_1192_ (net)
+                  0.17    0.00   12.60 v mprj/u_wb_host/_2801_/B (sky130_fd_sc_hd__or2_1)
+                  0.11    0.33   12.93 v mprj/u_wb_host/_2801_/X (sky130_fd_sc_hd__or2_1)
+     2    0.02                           mprj/u_wb_host/_1206_ (net)
+                  0.11    0.00   12.93 v mprj/u_wb_host/_2802_/B (sky130_fd_sc_hd__nor2_2)
+                  0.52    0.45   13.39 ^ mprj/u_wb_host/_2802_/Y (sky130_fd_sc_hd__nor2_2)
+     2    0.05                           mprj/u_wb_host/_1207_ (net)
+                  0.52    0.00   13.39 ^ mprj/u_wb_host/_2803_/B1 (sky130_fd_sc_hd__o31a_4)
+                  0.22    0.40   13.78 ^ mprj/u_wb_host/_2803_/X (sky130_fd_sc_hd__o31a_4)
+    16    0.08                           mprj/u_wb_host/_1208_ (net)
+                  0.22    0.00   13.79 ^ mprj/u_wb_host/_3136_/B (sky130_fd_sc_hd__nand2_2)
+                  0.10    0.14   13.93 v mprj/u_wb_host/_3136_/Y (sky130_fd_sc_hd__nand2_2)
+     4    0.02                           mprj/u_wb_host/_1403_ (net)
+                  0.10    0.00   13.93 v mprj/u_wb_host/_3137_/B (sky130_fd_sc_hd__nor2_4)
+                  0.30    0.28   14.20 ^ mprj/u_wb_host/_3137_/Y (sky130_fd_sc_hd__nor2_4)
+     6    0.05                           mprj/u_wb_host/_1404_ (net)
+                  0.30    0.00   14.21 ^ mprj/u_wb_host/fanout352/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.20    0.35   14.56 ^ mprj/u_wb_host/fanout352/X (sky130_fd_sc_hd__clkbuf_4)
+    12    0.07                           mprj/u_wb_host/net352 (net)
+                  0.20    0.00   14.56 ^ mprj/u_wb_host/fanout351/A (sky130_fd_sc_hd__buf_4)
+                  0.25    0.32   14.88 ^ mprj/u_wb_host/fanout351/X (sky130_fd_sc_hd__buf_4)
+    20    0.09                           mprj/u_wb_host/net351 (net)
+                  0.25    0.00   14.88 ^ mprj/u_wb_host/fanout350/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.30   15.18 ^ mprj/u_wb_host/fanout350/X (sky130_fd_sc_hd__buf_4)
+    20    0.07                           mprj/u_wb_host/net350 (net)
+                  0.21    0.00   15.19 ^ mprj/u_wb_host/_4574_/S (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.36   15.54 v mprj/u_wb_host/_4574_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0549_ (net)
+                  0.06    0.00   15.54 v mprj/u_wb_host/_6029_/D (sky130_fd_sc_hd__dfxtp_1)
+                                 15.54   data arrival time
 
                          25.00   25.00   clock wb_clk (rise edge)
                           0.00   25.00   clock source latency
@@ -159063,322 +192451,46 @@
                   0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
      2    0.05                           mgmt_buffers/net1453 (net)
                   0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10   29.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00   29.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13   29.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00   29.37 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14   29.50 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
-                  0.06    0.00   29.50 ^ mprj/u_wb_host/clkbuf_2_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14   29.64 ^ mprj/u_wb_host/clkbuf_2_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_2_0_wbm_clk_i (net)
-                  0.06    0.00   29.64 ^ mprj/u_wb_host/clkbuf_3_4_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.19    0.23   29.87 ^ mprj/u_wb_host/clkbuf_3_4_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.11                           mprj/u_wb_host/clknet_3_4_0_wbm_clk_i (net)
-                  0.19    0.00   29.88 ^ mprj/u_wb_host/clkbuf_leaf_50_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.17   30.05 ^ mprj/u_wb_host/clkbuf_leaf_50_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     6    0.02                           mprj/u_wb_host/clknet_leaf_50_wbm_clk_i (net)
-                  0.05    0.00   30.05 ^ mprj/u_wb_host/_5841_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   29.80   clock uncertainty
-                          0.95   30.75   clock reconvergence pessimism
-                         -0.12   30.63   library setup time
-                                 30.63   data required time
------------------------------------------------------------------------------
-                                 30.63   data required time
-                                -14.95   data arrival time
------------------------------------------------------------------------------
-                                 15.68   slack (MET)
-
-
-Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
-Endpoint: mprj/u_wb_host/_6274_
-          (rising edge-triggered flip-flop clocked by wb_clk)
-Path Group: wb_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           soc/clknet_0_core_clk (net)
-                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_0_core_clk (net)
-                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_1_core_clk (net)
-                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_2_core_clk (net)
-                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_3_core_clk (net)
-                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_4_core_clk (net)
-                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           soc/clknet_1_1_5_core_clk (net)
-                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_1_1_6_core_clk (net)
-                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_2_3_0_core_clk (net)
-                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_2_3_1_core_clk (net)
-                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_0_core_clk (net)
-                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_1_core_clk (net)
-                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_3_7_2_core_clk (net)
-                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_4_14_0_core_clk (net)
-                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_5_28_0_core_clk (net)
-                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           soc/clknet_5_28_1_core_clk (net)
-                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           soc/clknet_leaf_243_core_clk (net)
-                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.11    0.39    6.87 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
-     1    0.01                           soc/core.grant[0] (net)
-                  0.11    0.00    6.87 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
-                  0.16    0.21    7.08 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
-    10    0.10                           soc/net3544 (net)
-                  0.16    0.00    7.08 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
-                  0.22    0.26    7.34 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
-    14    0.11                           soc/net3546 (net)
-                  0.22    0.02    7.36 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
-                  0.31    0.36    7.72 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
-    17    0.11                           soc/net3545 (net)
-                  0.32    0.02    7.75 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
-                  0.09    0.11    7.86 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
-     1    0.01                           soc/_13629_ (net)
-                  0.09    0.00    7.86 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
-                  0.05    0.16    8.02 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
-     4    0.04                           soc/net3233 (net)
-                  0.05    0.00    8.02 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
-                  0.11    0.18    8.20 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
-    16    0.14                           soc/net3235 (net)
-                  0.12    0.03    8.23 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
-                  0.06    0.19    8.42 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
-    12    0.06                           soc/net3231 (net)
-                  0.06    0.00    8.43 v soc/_14146_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.32    8.75 v soc/_14146_/X (sky130_fd_sc_hd__and2_2)
-    10    0.06                           soc/_13643_ (net)
-                  0.15    0.01    8.75 v soc/_14147_/C1 (sky130_fd_sc_hd__a221oi_4)
-                  0.73    0.63    9.38 ^ soc/_14147_/Y (sky130_fd_sc_hd__a221oi_4)
-     6    0.06                           soc/_13644_ (net)
-                  0.73    0.01    9.38 ^ soc/max_length2753/A (sky130_fd_sc_hd__buf_6)
-                  0.21    0.32    9.71 ^ soc/max_length2753/X (sky130_fd_sc_hd__buf_6)
-     9    0.10                           soc/net2753 (net)
-                  0.21    0.02    9.73 ^ soc/wire2751/A (sky130_fd_sc_hd__buf_4)
-                  0.25    0.32   10.05 ^ soc/wire2751/X (sky130_fd_sc_hd__buf_4)
-     4    0.09                           soc/net2751 (net)
-                  0.25    0.01   10.06 ^ soc/_14148_/A (sky130_fd_sc_hd__inv_12)
-                  0.09    0.09   10.15 v soc/_14148_/Y (sky130_fd_sc_hd__inv_12)
-     4    0.08                           soc/net634 (net)
-                  0.09    0.01   10.17 v soc/wire2404/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.19   10.36 v soc/wire2404/X (sky130_fd_sc_hd__buf_12)
-     7    0.17                           soc/net2404 (net)
-                  0.13    0.04   10.40 v soc/output634/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.16   10.56 v soc/output634/X (sky130_fd_sc_hd__buf_12)
-     3    0.01                           mprj_adr_o_core[2] (net)
-                  0.02    0.00   10.56 v mgmt_buffers/input410/A (sky130_fd_sc_hd__buf_6)
-                  0.04    0.13   10.69 v mgmt_buffers/input410/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mgmt_buffers/net410 (net)
-                  0.04    0.00   10.69 v mgmt_buffers/wire1596/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.16   10.85 v mgmt_buffers/wire1596/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1596 (net)
-                  0.07    0.01   10.86 v mgmt_buffers/wire1595/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.20   11.06 v mgmt_buffers/wire1595/X (sky130_fd_sc_hd__buf_6)
-     2    0.11                           mgmt_buffers/net1595 (net)
-                  0.10    0.01   11.07 v mgmt_buffers/wire1594/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19   11.25 v mgmt_buffers/wire1594/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1594 (net)
-                  0.07    0.01   11.26 v mgmt_buffers/wire1593/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.18   11.44 v mgmt_buffers/wire1593/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1593 (net)
-                  0.07    0.01   11.45 v mgmt_buffers/wire1592/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.17   11.62 v mgmt_buffers/wire1592/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1592 (net)
-                  0.07    0.01   11.63 v mgmt_buffers/_307_/B (sky130_fd_sc_hd__and2_4)
-                  0.06    0.21   11.83 v mgmt_buffers/_307_/X (sky130_fd_sc_hd__and2_4)
-     2    0.03                           mgmt_buffers/net870 (net)
-                  0.06    0.00   11.84 v mgmt_buffers/output870/A (sky130_fd_sc_hd__buf_8)
-                  0.02    0.12   11.96 v mgmt_buffers/output870/X (sky130_fd_sc_hd__buf_8)
-     2    0.00                           mprj_adr_o_user[2] (net)
-                  0.02    0.00   11.96 v mprj/u_wb_host/input53/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.05    0.11   12.06 v mprj/u_wb_host/input53/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_wb_host/net53 (net)
-                  0.05    0.00   12.06 v mprj/u_wb_host/_4056_/A (sky130_fd_sc_hd__or2_1)
-                  0.10    0.29   12.36 v mprj/u_wb_host/_4056_/X (sky130_fd_sc_hd__or2_1)
-     2    0.01                           mprj/u_wb_host/_2076_ (net)
-                  0.10    0.00   12.36 v mprj/u_wb_host/_4057_/B1 (sky130_fd_sc_hd__o21ai_4)
-                  2.08    0.76   13.12 ^ mprj/u_wb_host/_4057_/Y (sky130_fd_sc_hd__o21ai_4)
-    74    0.32                           mprj/u_wb_host/_2077_ (net)
-                  2.08    0.03   13.15 ^ mprj/u_wb_host/_4063_/A (sky130_fd_sc_hd__nand2_8)
-                  0.50    0.42   13.57 v mprj/u_wb_host/_4063_/Y (sky130_fd_sc_hd__nand2_8)
-    36    0.12                           mprj/u_wb_host/_2083_ (net)
-                  0.50    0.01   13.57 v mprj/u_wb_host/_4222_/C (sky130_fd_sc_hd__or3_4)
-                  0.12    0.59   14.17 v mprj/u_wb_host/_4222_/X (sky130_fd_sc_hd__or3_4)
-     4    0.04                           mprj/u_wb_host/_2158_ (net)
-                  0.12    0.00   14.17 v mprj/u_wb_host/_4821_/A (sky130_fd_sc_hd__nor2_4)
-                  0.43    0.41   14.57 ^ mprj/u_wb_host/_4821_/Y (sky130_fd_sc_hd__nor2_4)
-    16    0.07                           mprj/u_wb_host/_2335_ (net)
-                  0.43    0.01   14.58 ^ mprj/u_wb_host/_4826_/S (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.39   14.97 v mprj/u_wb_host/_4826_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0788_ (net)
-                  0.07    0.00   14.97 v mprj/u_wb_host/_6274_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 14.97   data arrival time
-
-                         25.00   25.00   clock wb_clk (rise edge)
-                          0.00   25.00   clock source latency
-                  1.00    0.00   25.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10   29.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00   29.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13   29.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00   29.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14   29.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.02    0.09   29.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00   29.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27   29.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03   29.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37   29.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20   30.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35   30.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01   30.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   30.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00   30.65 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15   30.80 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00   29.51 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   29.65 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_0_0_wbm_clk_i (net)
-                  0.05    0.00   29.65 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.21    0.24   29.89 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.12                           mprj/u_wb_host/clknet_3_0_0_wbm_clk_i (net)
-                  0.21    0.01   29.90 ^ mprj/u_wb_host/clkbuf_leaf_3_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.18   30.08 ^ mprj/u_wb_host/clkbuf_leaf_3_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.03                           mprj/u_wb_host/clknet_leaf_3_wbm_clk_i (net)
-                  0.06    0.00   30.08 ^ mprj/u_wb_host/_6274_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   29.83   clock uncertainty
-                          0.95   30.78   clock reconvergence pessimism
-                         -0.12   30.66   library setup time
-                                 30.66   data required time
+                  0.07    0.00   30.80 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   30.94 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00   30.94 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.24    0.26   31.20 ^ mprj/u_wb_host/clkbuf_3_3_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_3_0_wbm_clk_i (net)
+                  0.24    0.00   31.20 ^ mprj/u_wb_host/clkbuf_leaf_11_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20   31.40 ^ mprj/u_wb_host/clkbuf_leaf_11_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    11    0.04                           mprj/u_wb_host/clknet_leaf_11_wbm_clk_i (net)
+                  0.06    0.00   31.40 ^ mprj/u_wb_host/_6029_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                         -0.25   31.15   clock uncertainty
+                          0.95   32.10   clock reconvergence pessimism
+                         -0.11   31.99   library setup time
+                                 31.99   data required time
 -----------------------------------------------------------------------------
-                                 30.66   data required time
-                                -14.97   data arrival time
+                                 31.99   data required time
+                                -15.54   data arrival time
 -----------------------------------------------------------------------------
-                                 15.69   slack (MET)
+                                 16.45   slack (MET)
 
 
 Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
-Endpoint: mprj/u_wb_host/_5839_
+Endpoint: mprj/u_wb_host/_6047_
           (rising edge-triggered flip-flop clocked by wb_clk)
 Path Group: wb_clk
 Path Type: max
@@ -159498,74 +192610,80 @@
                   0.12    0.03    8.23 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
                   0.06    0.19    8.42 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
     12    0.06                           soc/net3231 (net)
-                  0.06    0.00    8.43 v soc/_14146_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.32    8.75 v soc/_14146_/X (sky130_fd_sc_hd__and2_2)
-    10    0.06                           soc/_13643_ (net)
-                  0.15    0.01    8.75 v soc/_14147_/C1 (sky130_fd_sc_hd__a221oi_4)
-                  0.73    0.63    9.38 ^ soc/_14147_/Y (sky130_fd_sc_hd__a221oi_4)
-     6    0.06                           soc/_13644_ (net)
-                  0.73    0.01    9.38 ^ soc/max_length2753/A (sky130_fd_sc_hd__buf_6)
-                  0.21    0.32    9.71 ^ soc/max_length2753/X (sky130_fd_sc_hd__buf_6)
-     9    0.10                           soc/net2753 (net)
-                  0.21    0.02    9.73 ^ soc/wire2751/A (sky130_fd_sc_hd__buf_4)
-                  0.25    0.32   10.05 ^ soc/wire2751/X (sky130_fd_sc_hd__buf_4)
-     4    0.09                           soc/net2751 (net)
-                  0.25    0.01   10.06 ^ soc/_14148_/A (sky130_fd_sc_hd__inv_12)
-                  0.09    0.09   10.15 v soc/_14148_/Y (sky130_fd_sc_hd__inv_12)
-     4    0.08                           soc/net634 (net)
-                  0.09    0.01   10.17 v soc/wire2404/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.19   10.36 v soc/wire2404/X (sky130_fd_sc_hd__buf_12)
-     7    0.17                           soc/net2404 (net)
-                  0.13    0.04   10.40 v soc/output634/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.16   10.56 v soc/output634/X (sky130_fd_sc_hd__buf_12)
-     3    0.01                           mprj_adr_o_core[2] (net)
-                  0.02    0.00   10.56 v mgmt_buffers/input410/A (sky130_fd_sc_hd__buf_6)
-                  0.04    0.13   10.69 v mgmt_buffers/input410/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mgmt_buffers/net410 (net)
-                  0.04    0.00   10.69 v mgmt_buffers/wire1596/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.16   10.85 v mgmt_buffers/wire1596/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1596 (net)
-                  0.07    0.01   10.86 v mgmt_buffers/wire1595/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.20   11.06 v mgmt_buffers/wire1595/X (sky130_fd_sc_hd__buf_6)
-     2    0.11                           mgmt_buffers/net1595 (net)
-                  0.10    0.01   11.07 v mgmt_buffers/wire1594/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19   11.25 v mgmt_buffers/wire1594/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1594 (net)
-                  0.07    0.01   11.26 v mgmt_buffers/wire1593/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.18   11.44 v mgmt_buffers/wire1593/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1593 (net)
-                  0.07    0.01   11.45 v mgmt_buffers/wire1592/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.17   11.62 v mgmt_buffers/wire1592/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1592 (net)
-                  0.07    0.01   11.63 v mgmt_buffers/_307_/B (sky130_fd_sc_hd__and2_4)
-                  0.06    0.21   11.83 v mgmt_buffers/_307_/X (sky130_fd_sc_hd__and2_4)
-     2    0.03                           mgmt_buffers/net870 (net)
-                  0.06    0.00   11.84 v mgmt_buffers/output870/A (sky130_fd_sc_hd__buf_8)
-                  0.02    0.12   11.96 v mgmt_buffers/output870/X (sky130_fd_sc_hd__buf_8)
-     2    0.00                           mprj_adr_o_user[2] (net)
-                  0.02    0.00   11.96 v mprj/u_wb_host/input53/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.05    0.11   12.06 v mprj/u_wb_host/input53/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_wb_host/net53 (net)
-                  0.05    0.00   12.06 v mprj/u_wb_host/_4056_/A (sky130_fd_sc_hd__or2_1)
-                  0.10    0.29   12.36 v mprj/u_wb_host/_4056_/X (sky130_fd_sc_hd__or2_1)
-     2    0.01                           mprj/u_wb_host/_2076_ (net)
-                  0.10    0.00   12.36 v mprj/u_wb_host/_4057_/B1 (sky130_fd_sc_hd__o21ai_4)
-                  2.08    0.76   13.12 ^ mprj/u_wb_host/_4057_/Y (sky130_fd_sc_hd__o21ai_4)
-    74    0.32                           mprj/u_wb_host/_2077_ (net)
-                  2.08    0.03   13.15 ^ mprj/u_wb_host/_4063_/A (sky130_fd_sc_hd__nand2_8)
-                  0.50    0.42   13.57 v mprj/u_wb_host/_4063_/Y (sky130_fd_sc_hd__nand2_8)
-    36    0.12                           mprj/u_wb_host/_2083_ (net)
-                  0.50    0.01   13.57 v mprj/u_wb_host/_4222_/C (sky130_fd_sc_hd__or3_4)
-                  0.12    0.59   14.17 v mprj/u_wb_host/_4222_/X (sky130_fd_sc_hd__or3_4)
-     4    0.04                           mprj/u_wb_host/_2158_ (net)
-                  0.12    0.00   14.17 v mprj/u_wb_host/_4223_/B (sky130_fd_sc_hd__nor2_4)
-                  0.45    0.40   14.56 ^ mprj/u_wb_host/_4223_/Y (sky130_fd_sc_hd__nor2_4)
-    16    0.07                           mprj/u_wb_host/_2159_ (net)
-                  0.45    0.01   14.57 ^ mprj/u_wb_host/_4231_/S (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.38   14.95 v mprj/u_wb_host/_4231_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0365_ (net)
-                  0.06    0.00   14.95 v mprj/u_wb_host/_5839_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 14.95   data arrival time
+                  0.06    0.00    8.43 v soc/wire3232/A (sky130_fd_sc_hd__buf_12)
+                  0.11    0.20    8.63 v soc/wire3232/X (sky130_fd_sc_hd__buf_12)
+    30    0.20                           soc/net3232 (net)
+                  0.11    0.01    8.64 v soc/_14220_/B2 (sky130_fd_sc_hd__a221oi_2)
+                  0.36    0.41    9.05 ^ soc/_14220_/Y (sky130_fd_sc_hd__a221oi_2)
+     1    0.02                           soc/_13683_ (net)
+                  0.36    0.00    9.05 ^ soc/wire2621/A (sky130_fd_sc_hd__buf_4)
+                  0.28    0.37    9.42 ^ soc/wire2621/X (sky130_fd_sc_hd__buf_4)
+    12    0.10                           soc/net2621 (net)
+                  0.28    0.02    9.43 ^ soc/_14221_/A (sky130_fd_sc_hd__inv_2)
+                  0.16    0.21    9.64 v soc/_14221_/Y (sky130_fd_sc_hd__inv_2)
+     6    0.06                           soc/net623 (net)
+                  0.16    0.01    9.65 v soc/output623/A (sky130_fd_sc_hd__buf_12)
+                  0.02    0.18    9.83 v soc/output623/X (sky130_fd_sc_hd__buf_12)
+     3    0.01                           mprj_adr_o_core[19] (net)
+                  0.02    0.00    9.83 v mgmt_buffers/input398/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.15    9.98 v mgmt_buffers/input398/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net398 (net)
+                  0.07    0.01    9.99 v mgmt_buffers/wire1647/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.16 v mgmt_buffers/wire1647/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1647 (net)
+                  0.07    0.01   10.17 v mgmt_buffers/wire1646/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.35 v mgmt_buffers/wire1646/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1646 (net)
+                  0.07    0.01   10.36 v mgmt_buffers/wire1645/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.54 v mgmt_buffers/wire1645/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mgmt_buffers/net1645 (net)
+                  0.07    0.01   10.55 v mgmt_buffers/_324_/B (sky130_fd_sc_hd__and2_4)
+                  0.08    0.23   10.78 v mgmt_buffers/_324_/X (sky130_fd_sc_hd__and2_4)
+     2    0.05                           mgmt_buffers/net858 (net)
+                  0.08    0.00   10.78 v mgmt_buffers/wire1402/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.18   10.96 v mgmt_buffers/wire1402/X (sky130_fd_sc_hd__buf_6)
+     2    0.06                           mgmt_buffers/net1402 (net)
+                  0.07    0.01   10.97 v mgmt_buffers/output858/A (sky130_fd_sc_hd__buf_8)
+                  0.02    0.12   11.09 v mgmt_buffers/output858/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_adr_o_user[19] (net)
+                  0.02    0.00   11.09 v mprj/u_rp_south/u_rp[67].u_buf/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.18    0.22   11.31 v mprj/u_rp_south/u_rp[67].u_buf/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.05                           mprj/u_rp_south/net25 (net)
+                  0.18    0.01   11.31 v mprj/u_rp_south/wire25/A (sky130_fd_sc_hd__buf_4)
+                  0.32    0.33   11.64 v mprj/u_rp_south/wire25/X (sky130_fd_sc_hd__buf_4)
+     2    0.28                           mprj/ch_out_south[67] (net)
+                  0.53    0.23   11.87 v mprj/u_wb_host/input51/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.29   12.16 v mprj/u_wb_host/input51/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_wb_host/net51 (net)
+                  0.08    0.00   12.16 v mprj/u_wb_host/_2786_/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.17    0.44   12.60 v mprj/u_wb_host/_2786_/X (sky130_fd_sc_hd__mux2_1)
+     4    0.03                           mprj/u_wb_host/_1192_ (net)
+                  0.17    0.00   12.60 v mprj/u_wb_host/_2801_/B (sky130_fd_sc_hd__or2_1)
+                  0.11    0.33   12.93 v mprj/u_wb_host/_2801_/X (sky130_fd_sc_hd__or2_1)
+     2    0.02                           mprj/u_wb_host/_1206_ (net)
+                  0.11    0.00   12.93 v mprj/u_wb_host/_2802_/B (sky130_fd_sc_hd__nor2_2)
+                  0.52    0.45   13.39 ^ mprj/u_wb_host/_2802_/Y (sky130_fd_sc_hd__nor2_2)
+     2    0.05                           mprj/u_wb_host/_1207_ (net)
+                  0.52    0.00   13.39 ^ mprj/u_wb_host/_2803_/B1 (sky130_fd_sc_hd__o31a_4)
+                  0.22    0.40   13.78 ^ mprj/u_wb_host/_2803_/X (sky130_fd_sc_hd__o31a_4)
+    16    0.08                           mprj/u_wb_host/_1208_ (net)
+                  0.22    0.00   13.79 ^ mprj/u_wb_host/_4593_/C (sky130_fd_sc_hd__and3b_4)
+                  0.22    0.38   14.16 ^ mprj/u_wb_host/_4593_/X (sky130_fd_sc_hd__and3b_4)
+     8    0.06                           mprj/u_wb_host/_2328_ (net)
+                  0.22    0.01   14.17 ^ mprj/u_wb_host/fanout395/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.19    0.31   14.49 ^ mprj/u_wb_host/fanout395/X (sky130_fd_sc_hd__clkbuf_4)
+    12    0.06                           mprj/u_wb_host/net395 (net)
+                  0.19    0.00   14.49 ^ mprj/u_wb_host/fanout392/A (sky130_fd_sc_hd__buf_4)
+                  0.24    0.31   14.80 ^ mprj/u_wb_host/fanout392/X (sky130_fd_sc_hd__buf_4)
+    20    0.09                           mprj/u_wb_host/net392 (net)
+                  0.24    0.01   14.81 ^ mprj/u_wb_host/fanout391/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.28    0.39   15.20 ^ mprj/u_wb_host/fanout391/X (sky130_fd_sc_hd__clkbuf_4)
+    20    0.09                           mprj/u_wb_host/net391 (net)
+                  0.28    0.00   15.20 ^ mprj/u_wb_host/_4595_/S (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.36   15.56 v mprj/u_wb_host/_4595_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_wb_host/_0567_ (net)
+                  0.05    0.00   15.56 v mprj/u_wb_host/_6047_/D (sky130_fd_sc_hd__dfxtp_1)
+                                 15.56   data arrival time
 
                          25.00   25.00   clock wb_clk (rise edge)
                           0.00   25.00   clock source latency
@@ -159633,12215 +192751,42 @@
                   0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
      2    0.05                           mgmt_buffers/net1453 (net)
                   0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10   29.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00   29.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13   29.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00   29.37 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14   29.50 ^ mprj/u_wb_host/clkbuf_1_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_1_0_wbm_clk_i (net)
-                  0.06    0.00   29.50 ^ mprj/u_wb_host/clkbuf_2_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14   29.64 ^ mprj/u_wb_host/clkbuf_2_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_2_0_wbm_clk_i (net)
-                  0.06    0.00   29.64 ^ mprj/u_wb_host/clkbuf_3_4_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.19    0.23   29.87 ^ mprj/u_wb_host/clkbuf_3_4_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.11                           mprj/u_wb_host/clknet_3_4_0_wbm_clk_i (net)
-                  0.19    0.00   29.88 ^ mprj/u_wb_host/clkbuf_leaf_49_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.18   30.06 ^ mprj/u_wb_host/clkbuf_leaf_49_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     9    0.03                           mprj/u_wb_host/clknet_leaf_49_wbm_clk_i (net)
-                  0.06    0.00   30.06 ^ mprj/u_wb_host/_5839_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   29.81   clock uncertainty
-                          0.95   30.76   clock reconvergence pessimism
-                         -0.12   30.65   library setup time
-                                 30.65   data required time
------------------------------------------------------------------------------
-                                 30.65   data required time
-                                -14.95   data arrival time
------------------------------------------------------------------------------
-                                 15.70   slack (MET)
-
-
-Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
-Endpoint: mprj/u_wb_host/_6282_
-          (rising edge-triggered flip-flop clocked by wb_clk)
-Path Group: wb_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           soc/clknet_0_core_clk (net)
-                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_0_core_clk (net)
-                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_1_core_clk (net)
-                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_2_core_clk (net)
-                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_3_core_clk (net)
-                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_4_core_clk (net)
-                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           soc/clknet_1_1_5_core_clk (net)
-                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_1_1_6_core_clk (net)
-                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_2_3_0_core_clk (net)
-                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_2_3_1_core_clk (net)
-                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_0_core_clk (net)
-                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_1_core_clk (net)
-                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_3_7_2_core_clk (net)
-                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_4_14_0_core_clk (net)
-                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_5_28_0_core_clk (net)
-                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           soc/clknet_5_28_1_core_clk (net)
-                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           soc/clknet_leaf_243_core_clk (net)
-                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.11    0.39    6.87 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
-     1    0.01                           soc/core.grant[0] (net)
-                  0.11    0.00    6.87 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
-                  0.16    0.21    7.08 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
-    10    0.10                           soc/net3544 (net)
-                  0.16    0.00    7.08 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
-                  0.22    0.26    7.34 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
-    14    0.11                           soc/net3546 (net)
-                  0.22    0.02    7.36 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
-                  0.31    0.36    7.72 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
-    17    0.11                           soc/net3545 (net)
-                  0.32    0.02    7.75 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
-                  0.09    0.11    7.86 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
-     1    0.01                           soc/_13629_ (net)
-                  0.09    0.00    7.86 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
-                  0.05    0.16    8.02 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
-     4    0.04                           soc/net3233 (net)
-                  0.05    0.00    8.02 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
-                  0.11    0.18    8.20 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
-    16    0.14                           soc/net3235 (net)
-                  0.12    0.03    8.23 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
-                  0.06    0.19    8.42 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
-    12    0.06                           soc/net3231 (net)
-                  0.06    0.00    8.43 v soc/_14146_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.32    8.75 v soc/_14146_/X (sky130_fd_sc_hd__and2_2)
-    10    0.06                           soc/_13643_ (net)
-                  0.15    0.01    8.75 v soc/_14147_/C1 (sky130_fd_sc_hd__a221oi_4)
-                  0.73    0.63    9.38 ^ soc/_14147_/Y (sky130_fd_sc_hd__a221oi_4)
-     6    0.06                           soc/_13644_ (net)
-                  0.73    0.01    9.38 ^ soc/max_length2753/A (sky130_fd_sc_hd__buf_6)
-                  0.21    0.32    9.71 ^ soc/max_length2753/X (sky130_fd_sc_hd__buf_6)
-     9    0.10                           soc/net2753 (net)
-                  0.21    0.02    9.73 ^ soc/wire2751/A (sky130_fd_sc_hd__buf_4)
-                  0.25    0.32   10.05 ^ soc/wire2751/X (sky130_fd_sc_hd__buf_4)
-     4    0.09                           soc/net2751 (net)
-                  0.25    0.01   10.06 ^ soc/_14148_/A (sky130_fd_sc_hd__inv_12)
-                  0.09    0.09   10.15 v soc/_14148_/Y (sky130_fd_sc_hd__inv_12)
-     4    0.08                           soc/net634 (net)
-                  0.09    0.01   10.17 v soc/wire2404/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.19   10.36 v soc/wire2404/X (sky130_fd_sc_hd__buf_12)
-     7    0.17                           soc/net2404 (net)
-                  0.13    0.04   10.40 v soc/output634/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.16   10.56 v soc/output634/X (sky130_fd_sc_hd__buf_12)
-     3    0.01                           mprj_adr_o_core[2] (net)
-                  0.02    0.00   10.56 v mgmt_buffers/input410/A (sky130_fd_sc_hd__buf_6)
-                  0.04    0.13   10.69 v mgmt_buffers/input410/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mgmt_buffers/net410 (net)
-                  0.04    0.00   10.69 v mgmt_buffers/wire1596/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.16   10.85 v mgmt_buffers/wire1596/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1596 (net)
-                  0.07    0.01   10.86 v mgmt_buffers/wire1595/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.20   11.06 v mgmt_buffers/wire1595/X (sky130_fd_sc_hd__buf_6)
-     2    0.11                           mgmt_buffers/net1595 (net)
-                  0.10    0.01   11.07 v mgmt_buffers/wire1594/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19   11.25 v mgmt_buffers/wire1594/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1594 (net)
-                  0.07    0.01   11.26 v mgmt_buffers/wire1593/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.18   11.44 v mgmt_buffers/wire1593/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1593 (net)
-                  0.07    0.01   11.45 v mgmt_buffers/wire1592/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.17   11.62 v mgmt_buffers/wire1592/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1592 (net)
-                  0.07    0.01   11.63 v mgmt_buffers/_307_/B (sky130_fd_sc_hd__and2_4)
-                  0.06    0.21   11.83 v mgmt_buffers/_307_/X (sky130_fd_sc_hd__and2_4)
-     2    0.03                           mgmt_buffers/net870 (net)
-                  0.06    0.00   11.84 v mgmt_buffers/output870/A (sky130_fd_sc_hd__buf_8)
-                  0.02    0.12   11.96 v mgmt_buffers/output870/X (sky130_fd_sc_hd__buf_8)
-     2    0.00                           mprj_adr_o_user[2] (net)
-                  0.02    0.00   11.96 v mprj/u_wb_host/input53/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.05    0.11   12.06 v mprj/u_wb_host/input53/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_wb_host/net53 (net)
-                  0.05    0.00   12.06 v mprj/u_wb_host/_4056_/A (sky130_fd_sc_hd__or2_1)
-                  0.10    0.29   12.36 v mprj/u_wb_host/_4056_/X (sky130_fd_sc_hd__or2_1)
-     2    0.01                           mprj/u_wb_host/_2076_ (net)
-                  0.10    0.00   12.36 v mprj/u_wb_host/_4057_/B1 (sky130_fd_sc_hd__o21ai_4)
-                  2.08    0.76   13.12 ^ mprj/u_wb_host/_4057_/Y (sky130_fd_sc_hd__o21ai_4)
-    74    0.32                           mprj/u_wb_host/_2077_ (net)
-                  2.08    0.03   13.15 ^ mprj/u_wb_host/_4063_/A (sky130_fd_sc_hd__nand2_8)
-                  0.50    0.42   13.57 v mprj/u_wb_host/_4063_/Y (sky130_fd_sc_hd__nand2_8)
-    36    0.12                           mprj/u_wb_host/_2083_ (net)
-                  0.50    0.01   13.57 v mprj/u_wb_host/_4222_/C (sky130_fd_sc_hd__or3_4)
-                  0.12    0.59   14.17 v mprj/u_wb_host/_4222_/X (sky130_fd_sc_hd__or3_4)
-     4    0.04                           mprj/u_wb_host/_2158_ (net)
-                  0.12    0.00   14.17 v mprj/u_wb_host/_4830_/A (sky130_fd_sc_hd__nor2_4)
-                  0.39    0.38   14.54 ^ mprj/u_wb_host/_4830_/Y (sky130_fd_sc_hd__nor2_4)
-    16    0.06                           mprj/u_wb_host/_2336_ (net)
-                  0.39    0.00   14.55 ^ mprj/u_wb_host/_4835_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.37   14.92 v mprj/u_wb_host/_4835_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0796_ (net)
-                  0.05    0.00   14.92 v mprj/u_wb_host/_6282_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 14.92   data arrival time
-
-                         25.00   25.00   clock wb_clk (rise edge)
-                          0.00   25.00   clock source latency
-                  1.00    0.00   25.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10   29.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00   29.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13   29.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00   29.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14   29.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.02    0.09   29.23 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
+     2    0.00                           mprj_clock (net)
+                  0.02    0.00   29.23 ^ mprj/u_rp_south/u_rp[0].u_buf/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.30    0.27   29.50 ^ mprj/u_rp_south/u_rp[0].u_buf/X (sky130_fd_sc_hd__clkbuf_4)
+     2    0.10                           mprj/u_rp_south/net100 (net)
+                  0.30    0.03   29.54 ^ mprj/u_rp_south/wire100/A (sky130_fd_sc_hd__buf_6)
+                  0.52    0.37   29.91 ^ mprj/u_rp_south/wire100/X (sky130_fd_sc_hd__buf_6)
+     2    0.27                           mprj/ch_out_south[0] (net)
+                  0.65    0.20   30.11 ^ mprj/u_wb_host/wire1/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.35   30.45 ^ mprj/u_wb_host/wire1/X (sky130_fd_sc_hd__buf_2)
+     2    0.04                           mprj/u_wb_host/net852 (net)
+                  0.22    0.01   30.46 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19   30.65 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
+                  0.06    0.00   30.65 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.07    0.15   30.80 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00   29.51 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   29.65 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_0_0_wbm_clk_i (net)
-                  0.05    0.00   29.65 ^ mprj/u_wb_host/clkbuf_3_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.19    0.23   29.88 ^ mprj/u_wb_host/clkbuf_3_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.11                           mprj/u_wb_host/clknet_3_1_0_wbm_clk_i (net)
-                  0.19    0.00   29.88 ^ mprj/u_wb_host/clkbuf_leaf_55_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.17   30.06 ^ mprj/u_wb_host/clkbuf_leaf_55_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     7    0.02                           mprj/u_wb_host/clknet_leaf_55_wbm_clk_i (net)
-                  0.05    0.00   30.06 ^ mprj/u_wb_host/_6282_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   29.81   clock uncertainty
-                          0.95   30.76   clock reconvergence pessimism
-                         -0.12   30.64   library setup time
-                                 30.64   data required time
+                  0.07    0.00   30.80 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.14   30.94 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     4    0.03                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
+                  0.06    0.00   30.94 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.25    0.27   31.21 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    20    0.14                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
+                  0.25    0.00   31.21 ^ mprj/u_wb_host/clkbuf_leaf_15_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.20   31.41 ^ mprj/u_wb_host/clkbuf_leaf_15_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    13    0.04                           mprj/u_wb_host/clknet_leaf_15_wbm_clk_i (net)
+                  0.06    0.00   31.42 ^ mprj/u_wb_host/_6047_/CLK (sky130_fd_sc_hd__dfxtp_1)
+                         -0.25   31.17   clock uncertainty
+                          0.95   32.12   clock reconvergence pessimism
+                         -0.11   32.01   library setup time
+                                 32.01   data required time
 -----------------------------------------------------------------------------
-                                 30.64   data required time
-                                -14.92   data arrival time
+                                 32.01   data required time
+                                -15.56   data arrival time
 -----------------------------------------------------------------------------
-                                 15.72   slack (MET)
-
-
-Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
-Endpoint: mprj/u_wb_host/_6273_
-          (rising edge-triggered flip-flop clocked by wb_clk)
-Path Group: wb_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           soc/clknet_0_core_clk (net)
-                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_0_core_clk (net)
-                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_1_core_clk (net)
-                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_2_core_clk (net)
-                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_3_core_clk (net)
-                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_4_core_clk (net)
-                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           soc/clknet_1_1_5_core_clk (net)
-                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_1_1_6_core_clk (net)
-                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_2_3_0_core_clk (net)
-                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_2_3_1_core_clk (net)
-                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_0_core_clk (net)
-                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_1_core_clk (net)
-                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_3_7_2_core_clk (net)
-                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_4_14_0_core_clk (net)
-                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_5_28_0_core_clk (net)
-                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           soc/clknet_5_28_1_core_clk (net)
-                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           soc/clknet_leaf_243_core_clk (net)
-                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.11    0.39    6.87 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
-     1    0.01                           soc/core.grant[0] (net)
-                  0.11    0.00    6.87 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
-                  0.16    0.21    7.08 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
-    10    0.10                           soc/net3544 (net)
-                  0.16    0.00    7.08 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
-                  0.22    0.26    7.34 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
-    14    0.11                           soc/net3546 (net)
-                  0.22    0.02    7.36 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
-                  0.31    0.36    7.72 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
-    17    0.11                           soc/net3545 (net)
-                  0.32    0.02    7.75 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
-                  0.09    0.11    7.86 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
-     1    0.01                           soc/_13629_ (net)
-                  0.09    0.00    7.86 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
-                  0.05    0.16    8.02 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
-     4    0.04                           soc/net3233 (net)
-                  0.05    0.00    8.02 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
-                  0.11    0.18    8.20 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
-    16    0.14                           soc/net3235 (net)
-                  0.12    0.03    8.23 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
-                  0.06    0.19    8.42 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
-    12    0.06                           soc/net3231 (net)
-                  0.06    0.00    8.43 v soc/_14146_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.32    8.75 v soc/_14146_/X (sky130_fd_sc_hd__and2_2)
-    10    0.06                           soc/_13643_ (net)
-                  0.15    0.01    8.75 v soc/_14147_/C1 (sky130_fd_sc_hd__a221oi_4)
-                  0.73    0.63    9.38 ^ soc/_14147_/Y (sky130_fd_sc_hd__a221oi_4)
-     6    0.06                           soc/_13644_ (net)
-                  0.73    0.01    9.38 ^ soc/max_length2753/A (sky130_fd_sc_hd__buf_6)
-                  0.21    0.32    9.71 ^ soc/max_length2753/X (sky130_fd_sc_hd__buf_6)
-     9    0.10                           soc/net2753 (net)
-                  0.21    0.02    9.73 ^ soc/wire2751/A (sky130_fd_sc_hd__buf_4)
-                  0.25    0.32   10.05 ^ soc/wire2751/X (sky130_fd_sc_hd__buf_4)
-     4    0.09                           soc/net2751 (net)
-                  0.25    0.01   10.06 ^ soc/_14148_/A (sky130_fd_sc_hd__inv_12)
-                  0.09    0.09   10.15 v soc/_14148_/Y (sky130_fd_sc_hd__inv_12)
-     4    0.08                           soc/net634 (net)
-                  0.09    0.01   10.17 v soc/wire2404/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.19   10.36 v soc/wire2404/X (sky130_fd_sc_hd__buf_12)
-     7    0.17                           soc/net2404 (net)
-                  0.13    0.04   10.40 v soc/output634/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.16   10.56 v soc/output634/X (sky130_fd_sc_hd__buf_12)
-     3    0.01                           mprj_adr_o_core[2] (net)
-                  0.02    0.00   10.56 v mgmt_buffers/input410/A (sky130_fd_sc_hd__buf_6)
-                  0.04    0.13   10.69 v mgmt_buffers/input410/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mgmt_buffers/net410 (net)
-                  0.04    0.00   10.69 v mgmt_buffers/wire1596/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.16   10.85 v mgmt_buffers/wire1596/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1596 (net)
-                  0.07    0.01   10.86 v mgmt_buffers/wire1595/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.20   11.06 v mgmt_buffers/wire1595/X (sky130_fd_sc_hd__buf_6)
-     2    0.11                           mgmt_buffers/net1595 (net)
-                  0.10    0.01   11.07 v mgmt_buffers/wire1594/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19   11.25 v mgmt_buffers/wire1594/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1594 (net)
-                  0.07    0.01   11.26 v mgmt_buffers/wire1593/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.18   11.44 v mgmt_buffers/wire1593/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1593 (net)
-                  0.07    0.01   11.45 v mgmt_buffers/wire1592/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.17   11.62 v mgmt_buffers/wire1592/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1592 (net)
-                  0.07    0.01   11.63 v mgmt_buffers/_307_/B (sky130_fd_sc_hd__and2_4)
-                  0.06    0.21   11.83 v mgmt_buffers/_307_/X (sky130_fd_sc_hd__and2_4)
-     2    0.03                           mgmt_buffers/net870 (net)
-                  0.06    0.00   11.84 v mgmt_buffers/output870/A (sky130_fd_sc_hd__buf_8)
-                  0.02    0.12   11.96 v mgmt_buffers/output870/X (sky130_fd_sc_hd__buf_8)
-     2    0.00                           mprj_adr_o_user[2] (net)
-                  0.02    0.00   11.96 v mprj/u_wb_host/input53/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.05    0.11   12.06 v mprj/u_wb_host/input53/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_wb_host/net53 (net)
-                  0.05    0.00   12.06 v mprj/u_wb_host/_4056_/A (sky130_fd_sc_hd__or2_1)
-                  0.10    0.29   12.36 v mprj/u_wb_host/_4056_/X (sky130_fd_sc_hd__or2_1)
-     2    0.01                           mprj/u_wb_host/_2076_ (net)
-                  0.10    0.00   12.36 v mprj/u_wb_host/_4057_/B1 (sky130_fd_sc_hd__o21ai_4)
-                  2.08    0.76   13.12 ^ mprj/u_wb_host/_4057_/Y (sky130_fd_sc_hd__o21ai_4)
-    74    0.32                           mprj/u_wb_host/_2077_ (net)
-                  2.08    0.03   13.15 ^ mprj/u_wb_host/_4063_/A (sky130_fd_sc_hd__nand2_8)
-                  0.50    0.42   13.57 v mprj/u_wb_host/_4063_/Y (sky130_fd_sc_hd__nand2_8)
-    36    0.12                           mprj/u_wb_host/_2083_ (net)
-                  0.50    0.01   13.57 v mprj/u_wb_host/_4222_/C (sky130_fd_sc_hd__or3_4)
-                  0.12    0.59   14.17 v mprj/u_wb_host/_4222_/X (sky130_fd_sc_hd__or3_4)
-     4    0.04                           mprj/u_wb_host/_2158_ (net)
-                  0.12    0.00   14.17 v mprj/u_wb_host/_4821_/A (sky130_fd_sc_hd__nor2_4)
-                  0.43    0.41   14.57 ^ mprj/u_wb_host/_4821_/Y (sky130_fd_sc_hd__nor2_4)
-    16    0.07                           mprj/u_wb_host/_2335_ (net)
-                  0.43    0.00   14.58 ^ mprj/u_wb_host/_4825_/S (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.38   14.96 v mprj/u_wb_host/_4825_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0787_ (net)
-                  0.06    0.00   14.96 v mprj/u_wb_host/_6273_/D (sky130_fd_sc_hd__dfrtp_2)
-                                 14.96   data arrival time
-
-                         25.00   25.00   clock wb_clk (rise edge)
-                          0.00   25.00   clock source latency
-                  1.00    0.00   25.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10   29.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00   29.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13   29.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00   29.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14   29.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00   29.51 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14   29.65 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
-                  0.06    0.00   29.65 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.25   29.90 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.13                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
-                  0.22    0.00   29.90 ^ mprj/u_wb_host/clkbuf_leaf_12_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.19   30.09 ^ mprj/u_wb_host/clkbuf_leaf_12_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     9    0.04                           mprj/u_wb_host/clknet_leaf_12_wbm_clk_i (net)
-                  0.06    0.00   30.10 ^ mprj/u_wb_host/_6273_/CLK (sky130_fd_sc_hd__dfrtp_2)
-                         -0.25   29.85   clock uncertainty
-                          0.95   30.80   clock reconvergence pessimism
-                         -0.12   30.68   library setup time
-                                 30.68   data required time
------------------------------------------------------------------------------
-                                 30.68   data required time
-                                -14.96   data arrival time
------------------------------------------------------------------------------
-                                 15.72   slack (MET)
-
-
-Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
-Endpoint: mprj/u_wb_host/_5842_
-          (rising edge-triggered flip-flop clocked by wb_clk)
-Path Group: wb_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           soc/clknet_0_core_clk (net)
-                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_0_core_clk (net)
-                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_1_core_clk (net)
-                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_2_core_clk (net)
-                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_3_core_clk (net)
-                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_4_core_clk (net)
-                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           soc/clknet_1_1_5_core_clk (net)
-                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_1_1_6_core_clk (net)
-                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_2_3_0_core_clk (net)
-                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_2_3_1_core_clk (net)
-                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_0_core_clk (net)
-                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_1_core_clk (net)
-                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_3_7_2_core_clk (net)
-                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_4_14_0_core_clk (net)
-                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_5_28_0_core_clk (net)
-                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           soc/clknet_5_28_1_core_clk (net)
-                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           soc/clknet_leaf_243_core_clk (net)
-                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.11    0.39    6.87 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
-     1    0.01                           soc/core.grant[0] (net)
-                  0.11    0.00    6.87 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
-                  0.16    0.21    7.08 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
-    10    0.10                           soc/net3544 (net)
-                  0.16    0.00    7.08 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
-                  0.22    0.26    7.34 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
-    14    0.11                           soc/net3546 (net)
-                  0.22    0.02    7.36 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
-                  0.31    0.36    7.72 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
-    17    0.11                           soc/net3545 (net)
-                  0.32    0.02    7.75 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
-                  0.09    0.11    7.86 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
-     1    0.01                           soc/_13629_ (net)
-                  0.09    0.00    7.86 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
-                  0.05    0.16    8.02 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
-     4    0.04                           soc/net3233 (net)
-                  0.05    0.00    8.02 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
-                  0.11    0.18    8.20 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
-    16    0.14                           soc/net3235 (net)
-                  0.12    0.03    8.23 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
-                  0.06    0.19    8.42 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
-    12    0.06                           soc/net3231 (net)
-                  0.06    0.00    8.43 v soc/_14146_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.32    8.75 v soc/_14146_/X (sky130_fd_sc_hd__and2_2)
-    10    0.06                           soc/_13643_ (net)
-                  0.15    0.01    8.75 v soc/_14147_/C1 (sky130_fd_sc_hd__a221oi_4)
-                  0.73    0.63    9.38 ^ soc/_14147_/Y (sky130_fd_sc_hd__a221oi_4)
-     6    0.06                           soc/_13644_ (net)
-                  0.73    0.01    9.38 ^ soc/max_length2753/A (sky130_fd_sc_hd__buf_6)
-                  0.21    0.32    9.71 ^ soc/max_length2753/X (sky130_fd_sc_hd__buf_6)
-     9    0.10                           soc/net2753 (net)
-                  0.21    0.02    9.73 ^ soc/wire2751/A (sky130_fd_sc_hd__buf_4)
-                  0.25    0.32   10.05 ^ soc/wire2751/X (sky130_fd_sc_hd__buf_4)
-     4    0.09                           soc/net2751 (net)
-                  0.25    0.01   10.06 ^ soc/_14148_/A (sky130_fd_sc_hd__inv_12)
-                  0.09    0.09   10.15 v soc/_14148_/Y (sky130_fd_sc_hd__inv_12)
-     4    0.08                           soc/net634 (net)
-                  0.09    0.01   10.17 v soc/wire2404/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.19   10.36 v soc/wire2404/X (sky130_fd_sc_hd__buf_12)
-     7    0.17                           soc/net2404 (net)
-                  0.13    0.04   10.40 v soc/output634/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.16   10.56 v soc/output634/X (sky130_fd_sc_hd__buf_12)
-     3    0.01                           mprj_adr_o_core[2] (net)
-                  0.02    0.00   10.56 v mgmt_buffers/input410/A (sky130_fd_sc_hd__buf_6)
-                  0.04    0.13   10.69 v mgmt_buffers/input410/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mgmt_buffers/net410 (net)
-                  0.04    0.00   10.69 v mgmt_buffers/wire1596/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.16   10.85 v mgmt_buffers/wire1596/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1596 (net)
-                  0.07    0.01   10.86 v mgmt_buffers/wire1595/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.20   11.06 v mgmt_buffers/wire1595/X (sky130_fd_sc_hd__buf_6)
-     2    0.11                           mgmt_buffers/net1595 (net)
-                  0.10    0.01   11.07 v mgmt_buffers/wire1594/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19   11.25 v mgmt_buffers/wire1594/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1594 (net)
-                  0.07    0.01   11.26 v mgmt_buffers/wire1593/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.18   11.44 v mgmt_buffers/wire1593/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1593 (net)
-                  0.07    0.01   11.45 v mgmt_buffers/wire1592/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.17   11.62 v mgmt_buffers/wire1592/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1592 (net)
-                  0.07    0.01   11.63 v mgmt_buffers/_307_/B (sky130_fd_sc_hd__and2_4)
-                  0.06    0.21   11.83 v mgmt_buffers/_307_/X (sky130_fd_sc_hd__and2_4)
-     2    0.03                           mgmt_buffers/net870 (net)
-                  0.06    0.00   11.84 v mgmt_buffers/output870/A (sky130_fd_sc_hd__buf_8)
-                  0.02    0.12   11.96 v mgmt_buffers/output870/X (sky130_fd_sc_hd__buf_8)
-     2    0.00                           mprj_adr_o_user[2] (net)
-                  0.02    0.00   11.96 v mprj/u_wb_host/input53/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.05    0.11   12.06 v mprj/u_wb_host/input53/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_wb_host/net53 (net)
-                  0.05    0.00   12.06 v mprj/u_wb_host/_4056_/A (sky130_fd_sc_hd__or2_1)
-                  0.10    0.29   12.36 v mprj/u_wb_host/_4056_/X (sky130_fd_sc_hd__or2_1)
-     2    0.01                           mprj/u_wb_host/_2076_ (net)
-                  0.10    0.00   12.36 v mprj/u_wb_host/_4057_/B1 (sky130_fd_sc_hd__o21ai_4)
-                  2.08    0.76   13.12 ^ mprj/u_wb_host/_4057_/Y (sky130_fd_sc_hd__o21ai_4)
-    74    0.32                           mprj/u_wb_host/_2077_ (net)
-                  2.08    0.03   13.15 ^ mprj/u_wb_host/_4063_/A (sky130_fd_sc_hd__nand2_8)
-                  0.50    0.42   13.57 v mprj/u_wb_host/_4063_/Y (sky130_fd_sc_hd__nand2_8)
-    36    0.12                           mprj/u_wb_host/_2083_ (net)
-                  0.50    0.01   13.57 v mprj/u_wb_host/_4222_/C (sky130_fd_sc_hd__or3_4)
-                  0.12    0.59   14.17 v mprj/u_wb_host/_4222_/X (sky130_fd_sc_hd__or3_4)
-     4    0.04                           mprj/u_wb_host/_2158_ (net)
-                  0.12    0.00   14.17 v mprj/u_wb_host/_4223_/B (sky130_fd_sc_hd__nor2_4)
-                  0.45    0.40   14.56 ^ mprj/u_wb_host/_4223_/Y (sky130_fd_sc_hd__nor2_4)
-    16    0.07                           mprj/u_wb_host/_2159_ (net)
-                  0.45    0.01   14.57 ^ mprj/u_wb_host/_4237_/S (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.38   14.95 v mprj/u_wb_host/_4237_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0368_ (net)
-                  0.06    0.00   14.95 v mprj/u_wb_host/_5842_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 14.95   data arrival time
-
-                         25.00   25.00   clock wb_clk (rise edge)
-                          0.00   25.00   clock source latency
-                  1.00    0.00   25.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10   29.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00   29.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13   29.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00   29.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14   29.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00   29.51 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   29.65 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_0_0_wbm_clk_i (net)
-                  0.05    0.00   29.65 ^ mprj/u_wb_host/clkbuf_3_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.19    0.23   29.88 ^ mprj/u_wb_host/clkbuf_3_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.11                           mprj/u_wb_host/clknet_3_1_0_wbm_clk_i (net)
-                  0.19    0.01   29.89 ^ mprj/u_wb_host/clkbuf_leaf_57_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.20   30.08 ^ mprj/u_wb_host/clkbuf_leaf_57_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    14    0.06                           mprj/u_wb_host/clknet_leaf_57_wbm_clk_i (net)
-                  0.08    0.00   30.08 ^ mprj/u_wb_host/_5842_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   29.83   clock uncertainty
-                          0.95   30.79   clock reconvergence pessimism
-                         -0.11   30.67   library setup time
-                                 30.67   data required time
------------------------------------------------------------------------------
-                                 30.67   data required time
-                                -14.95   data arrival time
------------------------------------------------------------------------------
-                                 15.72   slack (MET)
-
-
-Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
-Endpoint: mprj/u_wb_host/_5837_
-          (rising edge-triggered flip-flop clocked by wb_clk)
-Path Group: wb_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           soc/clknet_0_core_clk (net)
-                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_0_core_clk (net)
-                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_1_core_clk (net)
-                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_2_core_clk (net)
-                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_3_core_clk (net)
-                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_4_core_clk (net)
-                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           soc/clknet_1_1_5_core_clk (net)
-                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_1_1_6_core_clk (net)
-                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_2_3_0_core_clk (net)
-                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_2_3_1_core_clk (net)
-                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_0_core_clk (net)
-                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_1_core_clk (net)
-                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_3_7_2_core_clk (net)
-                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_4_14_0_core_clk (net)
-                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_5_28_0_core_clk (net)
-                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           soc/clknet_5_28_1_core_clk (net)
-                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           soc/clknet_leaf_243_core_clk (net)
-                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.11    0.39    6.87 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
-     1    0.01                           soc/core.grant[0] (net)
-                  0.11    0.00    6.87 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
-                  0.16    0.21    7.08 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
-    10    0.10                           soc/net3544 (net)
-                  0.16    0.00    7.08 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
-                  0.22    0.26    7.34 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
-    14    0.11                           soc/net3546 (net)
-                  0.22    0.02    7.36 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
-                  0.31    0.36    7.72 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
-    17    0.11                           soc/net3545 (net)
-                  0.32    0.02    7.75 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
-                  0.09    0.11    7.86 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
-     1    0.01                           soc/_13629_ (net)
-                  0.09    0.00    7.86 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
-                  0.05    0.16    8.02 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
-     4    0.04                           soc/net3233 (net)
-                  0.05    0.00    8.02 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
-                  0.11    0.18    8.20 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
-    16    0.14                           soc/net3235 (net)
-                  0.12    0.03    8.23 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
-                  0.06    0.19    8.42 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
-    12    0.06                           soc/net3231 (net)
-                  0.06    0.00    8.43 v soc/_14146_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.32    8.75 v soc/_14146_/X (sky130_fd_sc_hd__and2_2)
-    10    0.06                           soc/_13643_ (net)
-                  0.15    0.01    8.75 v soc/_14147_/C1 (sky130_fd_sc_hd__a221oi_4)
-                  0.73    0.63    9.38 ^ soc/_14147_/Y (sky130_fd_sc_hd__a221oi_4)
-     6    0.06                           soc/_13644_ (net)
-                  0.73    0.01    9.38 ^ soc/max_length2753/A (sky130_fd_sc_hd__buf_6)
-                  0.21    0.32    9.71 ^ soc/max_length2753/X (sky130_fd_sc_hd__buf_6)
-     9    0.10                           soc/net2753 (net)
-                  0.21    0.02    9.73 ^ soc/wire2751/A (sky130_fd_sc_hd__buf_4)
-                  0.25    0.32   10.05 ^ soc/wire2751/X (sky130_fd_sc_hd__buf_4)
-     4    0.09                           soc/net2751 (net)
-                  0.25    0.01   10.06 ^ soc/_14148_/A (sky130_fd_sc_hd__inv_12)
-                  0.09    0.09   10.15 v soc/_14148_/Y (sky130_fd_sc_hd__inv_12)
-     4    0.08                           soc/net634 (net)
-                  0.09    0.01   10.17 v soc/wire2404/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.19   10.36 v soc/wire2404/X (sky130_fd_sc_hd__buf_12)
-     7    0.17                           soc/net2404 (net)
-                  0.13    0.04   10.40 v soc/output634/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.16   10.56 v soc/output634/X (sky130_fd_sc_hd__buf_12)
-     3    0.01                           mprj_adr_o_core[2] (net)
-                  0.02    0.00   10.56 v mgmt_buffers/input410/A (sky130_fd_sc_hd__buf_6)
-                  0.04    0.13   10.69 v mgmt_buffers/input410/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mgmt_buffers/net410 (net)
-                  0.04    0.00   10.69 v mgmt_buffers/wire1596/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.16   10.85 v mgmt_buffers/wire1596/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1596 (net)
-                  0.07    0.01   10.86 v mgmt_buffers/wire1595/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.20   11.06 v mgmt_buffers/wire1595/X (sky130_fd_sc_hd__buf_6)
-     2    0.11                           mgmt_buffers/net1595 (net)
-                  0.10    0.01   11.07 v mgmt_buffers/wire1594/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19   11.25 v mgmt_buffers/wire1594/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1594 (net)
-                  0.07    0.01   11.26 v mgmt_buffers/wire1593/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.18   11.44 v mgmt_buffers/wire1593/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1593 (net)
-                  0.07    0.01   11.45 v mgmt_buffers/wire1592/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.17   11.62 v mgmt_buffers/wire1592/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1592 (net)
-                  0.07    0.01   11.63 v mgmt_buffers/_307_/B (sky130_fd_sc_hd__and2_4)
-                  0.06    0.21   11.83 v mgmt_buffers/_307_/X (sky130_fd_sc_hd__and2_4)
-     2    0.03                           mgmt_buffers/net870 (net)
-                  0.06    0.00   11.84 v mgmt_buffers/output870/A (sky130_fd_sc_hd__buf_8)
-                  0.02    0.12   11.96 v mgmt_buffers/output870/X (sky130_fd_sc_hd__buf_8)
-     2    0.00                           mprj_adr_o_user[2] (net)
-                  0.02    0.00   11.96 v mprj/u_wb_host/input53/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.05    0.11   12.06 v mprj/u_wb_host/input53/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_wb_host/net53 (net)
-                  0.05    0.00   12.06 v mprj/u_wb_host/_4056_/A (sky130_fd_sc_hd__or2_1)
-                  0.10    0.29   12.36 v mprj/u_wb_host/_4056_/X (sky130_fd_sc_hd__or2_1)
-     2    0.01                           mprj/u_wb_host/_2076_ (net)
-                  0.10    0.00   12.36 v mprj/u_wb_host/_4057_/B1 (sky130_fd_sc_hd__o21ai_4)
-                  2.08    0.76   13.12 ^ mprj/u_wb_host/_4057_/Y (sky130_fd_sc_hd__o21ai_4)
-    74    0.32                           mprj/u_wb_host/_2077_ (net)
-                  2.08    0.03   13.15 ^ mprj/u_wb_host/_4063_/A (sky130_fd_sc_hd__nand2_8)
-                  0.50    0.42   13.57 v mprj/u_wb_host/_4063_/Y (sky130_fd_sc_hd__nand2_8)
-    36    0.12                           mprj/u_wb_host/_2083_ (net)
-                  0.50    0.01   13.57 v mprj/u_wb_host/_4222_/C (sky130_fd_sc_hd__or3_4)
-                  0.12    0.59   14.17 v mprj/u_wb_host/_4222_/X (sky130_fd_sc_hd__or3_4)
-     4    0.04                           mprj/u_wb_host/_2158_ (net)
-                  0.12    0.00   14.17 v mprj/u_wb_host/_4223_/B (sky130_fd_sc_hd__nor2_4)
-                  0.45    0.40   14.56 ^ mprj/u_wb_host/_4223_/Y (sky130_fd_sc_hd__nor2_4)
-    16    0.07                           mprj/u_wb_host/_2159_ (net)
-                  0.45    0.01   14.57 ^ mprj/u_wb_host/_4227_/S (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.38   14.95 v mprj/u_wb_host/_4227_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0363_ (net)
-                  0.06    0.00   14.95 v mprj/u_wb_host/_5837_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 14.95   data arrival time
-
-                         25.00   25.00   clock wb_clk (rise edge)
-                          0.00   25.00   clock source latency
-                  1.00    0.00   25.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10   29.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00   29.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13   29.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00   29.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14   29.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00   29.51 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   29.65 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_0_0_wbm_clk_i (net)
-                  0.05    0.00   29.65 ^ mprj/u_wb_host/clkbuf_3_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.19    0.23   29.88 ^ mprj/u_wb_host/clkbuf_3_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.11                           mprj/u_wb_host/clknet_3_1_0_wbm_clk_i (net)
-                  0.19    0.01   29.89 ^ mprj/u_wb_host/clkbuf_leaf_57_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.20   30.08 ^ mprj/u_wb_host/clkbuf_leaf_57_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    14    0.06                           mprj/u_wb_host/clknet_leaf_57_wbm_clk_i (net)
-                  0.08    0.00   30.08 ^ mprj/u_wb_host/_5837_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   29.83   clock uncertainty
-                          0.95   30.79   clock reconvergence pessimism
-                         -0.11   30.67   library setup time
-                                 30.67   data required time
------------------------------------------------------------------------------
-                                 30.67   data required time
-                                -14.95   data arrival time
------------------------------------------------------------------------------
-                                 15.72   slack (MET)
-
-
-Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
-Endpoint: mprj/u_wb_host/_6283_
-          (rising edge-triggered flip-flop clocked by wb_clk)
-Path Group: wb_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           soc/clknet_0_core_clk (net)
-                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_0_core_clk (net)
-                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_1_core_clk (net)
-                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_2_core_clk (net)
-                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_3_core_clk (net)
-                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_4_core_clk (net)
-                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           soc/clknet_1_1_5_core_clk (net)
-                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_1_1_6_core_clk (net)
-                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_2_3_0_core_clk (net)
-                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_2_3_1_core_clk (net)
-                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_0_core_clk (net)
-                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_1_core_clk (net)
-                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_3_7_2_core_clk (net)
-                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_4_14_0_core_clk (net)
-                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_5_28_0_core_clk (net)
-                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           soc/clknet_5_28_1_core_clk (net)
-                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           soc/clknet_leaf_243_core_clk (net)
-                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.11    0.39    6.87 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
-     1    0.01                           soc/core.grant[0] (net)
-                  0.11    0.00    6.87 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
-                  0.16    0.21    7.08 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
-    10    0.10                           soc/net3544 (net)
-                  0.16    0.00    7.08 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
-                  0.22    0.26    7.34 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
-    14    0.11                           soc/net3546 (net)
-                  0.22    0.02    7.36 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
-                  0.31    0.36    7.72 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
-    17    0.11                           soc/net3545 (net)
-                  0.32    0.02    7.75 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
-                  0.09    0.11    7.86 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
-     1    0.01                           soc/_13629_ (net)
-                  0.09    0.00    7.86 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
-                  0.05    0.16    8.02 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
-     4    0.04                           soc/net3233 (net)
-                  0.05    0.00    8.02 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
-                  0.11    0.18    8.20 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
-    16    0.14                           soc/net3235 (net)
-                  0.12    0.03    8.23 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
-                  0.06    0.19    8.42 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
-    12    0.06                           soc/net3231 (net)
-                  0.06    0.00    8.43 v soc/_14146_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.32    8.75 v soc/_14146_/X (sky130_fd_sc_hd__and2_2)
-    10    0.06                           soc/_13643_ (net)
-                  0.15    0.01    8.75 v soc/_14147_/C1 (sky130_fd_sc_hd__a221oi_4)
-                  0.73    0.63    9.38 ^ soc/_14147_/Y (sky130_fd_sc_hd__a221oi_4)
-     6    0.06                           soc/_13644_ (net)
-                  0.73    0.01    9.38 ^ soc/max_length2753/A (sky130_fd_sc_hd__buf_6)
-                  0.21    0.32    9.71 ^ soc/max_length2753/X (sky130_fd_sc_hd__buf_6)
-     9    0.10                           soc/net2753 (net)
-                  0.21    0.02    9.73 ^ soc/wire2751/A (sky130_fd_sc_hd__buf_4)
-                  0.25    0.32   10.05 ^ soc/wire2751/X (sky130_fd_sc_hd__buf_4)
-     4    0.09                           soc/net2751 (net)
-                  0.25    0.01   10.06 ^ soc/_14148_/A (sky130_fd_sc_hd__inv_12)
-                  0.09    0.09   10.15 v soc/_14148_/Y (sky130_fd_sc_hd__inv_12)
-     4    0.08                           soc/net634 (net)
-                  0.09    0.01   10.17 v soc/wire2404/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.19   10.36 v soc/wire2404/X (sky130_fd_sc_hd__buf_12)
-     7    0.17                           soc/net2404 (net)
-                  0.13    0.04   10.40 v soc/output634/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.16   10.56 v soc/output634/X (sky130_fd_sc_hd__buf_12)
-     3    0.01                           mprj_adr_o_core[2] (net)
-                  0.02    0.00   10.56 v mgmt_buffers/input410/A (sky130_fd_sc_hd__buf_6)
-                  0.04    0.13   10.69 v mgmt_buffers/input410/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mgmt_buffers/net410 (net)
-                  0.04    0.00   10.69 v mgmt_buffers/wire1596/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.16   10.85 v mgmt_buffers/wire1596/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1596 (net)
-                  0.07    0.01   10.86 v mgmt_buffers/wire1595/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.20   11.06 v mgmt_buffers/wire1595/X (sky130_fd_sc_hd__buf_6)
-     2    0.11                           mgmt_buffers/net1595 (net)
-                  0.10    0.01   11.07 v mgmt_buffers/wire1594/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19   11.25 v mgmt_buffers/wire1594/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1594 (net)
-                  0.07    0.01   11.26 v mgmt_buffers/wire1593/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.18   11.44 v mgmt_buffers/wire1593/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1593 (net)
-                  0.07    0.01   11.45 v mgmt_buffers/wire1592/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.17   11.62 v mgmt_buffers/wire1592/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1592 (net)
-                  0.07    0.01   11.63 v mgmt_buffers/_307_/B (sky130_fd_sc_hd__and2_4)
-                  0.06    0.21   11.83 v mgmt_buffers/_307_/X (sky130_fd_sc_hd__and2_4)
-     2    0.03                           mgmt_buffers/net870 (net)
-                  0.06    0.00   11.84 v mgmt_buffers/output870/A (sky130_fd_sc_hd__buf_8)
-                  0.02    0.12   11.96 v mgmt_buffers/output870/X (sky130_fd_sc_hd__buf_8)
-     2    0.00                           mprj_adr_o_user[2] (net)
-                  0.02    0.00   11.96 v mprj/u_wb_host/input53/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.05    0.11   12.06 v mprj/u_wb_host/input53/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_wb_host/net53 (net)
-                  0.05    0.00   12.06 v mprj/u_wb_host/_4056_/A (sky130_fd_sc_hd__or2_1)
-                  0.10    0.29   12.36 v mprj/u_wb_host/_4056_/X (sky130_fd_sc_hd__or2_1)
-     2    0.01                           mprj/u_wb_host/_2076_ (net)
-                  0.10    0.00   12.36 v mprj/u_wb_host/_4057_/B1 (sky130_fd_sc_hd__o21ai_4)
-                  2.08    0.76   13.12 ^ mprj/u_wb_host/_4057_/Y (sky130_fd_sc_hd__o21ai_4)
-    74    0.32                           mprj/u_wb_host/_2077_ (net)
-                  2.08    0.03   13.15 ^ mprj/u_wb_host/_4063_/A (sky130_fd_sc_hd__nand2_8)
-                  0.50    0.42   13.57 v mprj/u_wb_host/_4063_/Y (sky130_fd_sc_hd__nand2_8)
-    36    0.12                           mprj/u_wb_host/_2083_ (net)
-                  0.50    0.01   13.57 v mprj/u_wb_host/_4222_/C (sky130_fd_sc_hd__or3_4)
-                  0.12    0.59   14.17 v mprj/u_wb_host/_4222_/X (sky130_fd_sc_hd__or3_4)
-     4    0.04                           mprj/u_wb_host/_2158_ (net)
-                  0.12    0.00   14.17 v mprj/u_wb_host/_4830_/A (sky130_fd_sc_hd__nor2_4)
-                  0.39    0.38   14.54 ^ mprj/u_wb_host/_4830_/Y (sky130_fd_sc_hd__nor2_4)
-    16    0.06                           mprj/u_wb_host/_2336_ (net)
-                  0.39    0.00   14.55 ^ mprj/u_wb_host/_4836_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.37   14.92 v mprj/u_wb_host/_4836_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0797_ (net)
-                  0.05    0.00   14.92 v mprj/u_wb_host/_6283_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 14.92   data arrival time
-
-                         25.00   25.00   clock wb_clk (rise edge)
-                          0.00   25.00   clock source latency
-                  1.00    0.00   25.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10   29.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00   29.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13   29.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00   29.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14   29.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00   29.51 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   29.65 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_0_0_wbm_clk_i (net)
-                  0.05    0.00   29.65 ^ mprj/u_wb_host/clkbuf_3_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.19    0.23   29.88 ^ mprj/u_wb_host/clkbuf_3_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.11                           mprj/u_wb_host/clknet_3_1_0_wbm_clk_i (net)
-                  0.19    0.00   29.88 ^ mprj/u_wb_host/clkbuf_leaf_55_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.17   30.06 ^ mprj/u_wb_host/clkbuf_leaf_55_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     7    0.02                           mprj/u_wb_host/clknet_leaf_55_wbm_clk_i (net)
-                  0.05    0.00   30.06 ^ mprj/u_wb_host/_6283_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   29.81   clock uncertainty
-                          0.95   30.76   clock reconvergence pessimism
-                         -0.12   30.64   library setup time
-                                 30.64   data required time
------------------------------------------------------------------------------
-                                 30.64   data required time
-                                -14.92   data arrival time
------------------------------------------------------------------------------
-                                 15.72   slack (MET)
-
-
-Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
-Endpoint: mprj/u_wb_host/_5838_
-          (rising edge-triggered flip-flop clocked by wb_clk)
-Path Group: wb_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           soc/clknet_0_core_clk (net)
-                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_0_core_clk (net)
-                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_1_core_clk (net)
-                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_2_core_clk (net)
-                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_3_core_clk (net)
-                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_4_core_clk (net)
-                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           soc/clknet_1_1_5_core_clk (net)
-                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_1_1_6_core_clk (net)
-                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_2_3_0_core_clk (net)
-                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_2_3_1_core_clk (net)
-                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_0_core_clk (net)
-                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_1_core_clk (net)
-                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_3_7_2_core_clk (net)
-                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_4_14_0_core_clk (net)
-                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_5_28_0_core_clk (net)
-                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           soc/clknet_5_28_1_core_clk (net)
-                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           soc/clknet_leaf_243_core_clk (net)
-                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.11    0.39    6.87 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
-     1    0.01                           soc/core.grant[0] (net)
-                  0.11    0.00    6.87 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
-                  0.16    0.21    7.08 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
-    10    0.10                           soc/net3544 (net)
-                  0.16    0.00    7.08 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
-                  0.22    0.26    7.34 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
-    14    0.11                           soc/net3546 (net)
-                  0.22    0.02    7.36 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
-                  0.31    0.36    7.72 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
-    17    0.11                           soc/net3545 (net)
-                  0.32    0.02    7.75 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
-                  0.09    0.11    7.86 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
-     1    0.01                           soc/_13629_ (net)
-                  0.09    0.00    7.86 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
-                  0.05    0.16    8.02 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
-     4    0.04                           soc/net3233 (net)
-                  0.05    0.00    8.02 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
-                  0.11    0.18    8.20 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
-    16    0.14                           soc/net3235 (net)
-                  0.12    0.03    8.23 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
-                  0.06    0.19    8.42 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
-    12    0.06                           soc/net3231 (net)
-                  0.06    0.00    8.43 v soc/_14146_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.32    8.75 v soc/_14146_/X (sky130_fd_sc_hd__and2_2)
-    10    0.06                           soc/_13643_ (net)
-                  0.15    0.01    8.75 v soc/_14147_/C1 (sky130_fd_sc_hd__a221oi_4)
-                  0.73    0.63    9.38 ^ soc/_14147_/Y (sky130_fd_sc_hd__a221oi_4)
-     6    0.06                           soc/_13644_ (net)
-                  0.73    0.01    9.38 ^ soc/max_length2753/A (sky130_fd_sc_hd__buf_6)
-                  0.21    0.32    9.71 ^ soc/max_length2753/X (sky130_fd_sc_hd__buf_6)
-     9    0.10                           soc/net2753 (net)
-                  0.21    0.02    9.73 ^ soc/wire2751/A (sky130_fd_sc_hd__buf_4)
-                  0.25    0.32   10.05 ^ soc/wire2751/X (sky130_fd_sc_hd__buf_4)
-     4    0.09                           soc/net2751 (net)
-                  0.25    0.01   10.06 ^ soc/_14148_/A (sky130_fd_sc_hd__inv_12)
-                  0.09    0.09   10.15 v soc/_14148_/Y (sky130_fd_sc_hd__inv_12)
-     4    0.08                           soc/net634 (net)
-                  0.09    0.01   10.17 v soc/wire2404/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.19   10.36 v soc/wire2404/X (sky130_fd_sc_hd__buf_12)
-     7    0.17                           soc/net2404 (net)
-                  0.13    0.04   10.40 v soc/output634/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.16   10.56 v soc/output634/X (sky130_fd_sc_hd__buf_12)
-     3    0.01                           mprj_adr_o_core[2] (net)
-                  0.02    0.00   10.56 v mgmt_buffers/input410/A (sky130_fd_sc_hd__buf_6)
-                  0.04    0.13   10.69 v mgmt_buffers/input410/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mgmt_buffers/net410 (net)
-                  0.04    0.00   10.69 v mgmt_buffers/wire1596/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.16   10.85 v mgmt_buffers/wire1596/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1596 (net)
-                  0.07    0.01   10.86 v mgmt_buffers/wire1595/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.20   11.06 v mgmt_buffers/wire1595/X (sky130_fd_sc_hd__buf_6)
-     2    0.11                           mgmt_buffers/net1595 (net)
-                  0.10    0.01   11.07 v mgmt_buffers/wire1594/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19   11.25 v mgmt_buffers/wire1594/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1594 (net)
-                  0.07    0.01   11.26 v mgmt_buffers/wire1593/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.18   11.44 v mgmt_buffers/wire1593/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1593 (net)
-                  0.07    0.01   11.45 v mgmt_buffers/wire1592/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.17   11.62 v mgmt_buffers/wire1592/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1592 (net)
-                  0.07    0.01   11.63 v mgmt_buffers/_307_/B (sky130_fd_sc_hd__and2_4)
-                  0.06    0.21   11.83 v mgmt_buffers/_307_/X (sky130_fd_sc_hd__and2_4)
-     2    0.03                           mgmt_buffers/net870 (net)
-                  0.06    0.00   11.84 v mgmt_buffers/output870/A (sky130_fd_sc_hd__buf_8)
-                  0.02    0.12   11.96 v mgmt_buffers/output870/X (sky130_fd_sc_hd__buf_8)
-     2    0.00                           mprj_adr_o_user[2] (net)
-                  0.02    0.00   11.96 v mprj/u_wb_host/input53/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.05    0.11   12.06 v mprj/u_wb_host/input53/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_wb_host/net53 (net)
-                  0.05    0.00   12.06 v mprj/u_wb_host/_4056_/A (sky130_fd_sc_hd__or2_1)
-                  0.10    0.29   12.36 v mprj/u_wb_host/_4056_/X (sky130_fd_sc_hd__or2_1)
-     2    0.01                           mprj/u_wb_host/_2076_ (net)
-                  0.10    0.00   12.36 v mprj/u_wb_host/_4057_/B1 (sky130_fd_sc_hd__o21ai_4)
-                  2.08    0.76   13.12 ^ mprj/u_wb_host/_4057_/Y (sky130_fd_sc_hd__o21ai_4)
-    74    0.32                           mprj/u_wb_host/_2077_ (net)
-                  2.08    0.03   13.15 ^ mprj/u_wb_host/_4063_/A (sky130_fd_sc_hd__nand2_8)
-                  0.50    0.42   13.57 v mprj/u_wb_host/_4063_/Y (sky130_fd_sc_hd__nand2_8)
-    36    0.12                           mprj/u_wb_host/_2083_ (net)
-                  0.50    0.01   13.57 v mprj/u_wb_host/_4222_/C (sky130_fd_sc_hd__or3_4)
-                  0.12    0.59   14.17 v mprj/u_wb_host/_4222_/X (sky130_fd_sc_hd__or3_4)
-     4    0.04                           mprj/u_wb_host/_2158_ (net)
-                  0.12    0.00   14.17 v mprj/u_wb_host/_4223_/B (sky130_fd_sc_hd__nor2_4)
-                  0.45    0.40   14.56 ^ mprj/u_wb_host/_4223_/Y (sky130_fd_sc_hd__nor2_4)
-    16    0.07                           mprj/u_wb_host/_2159_ (net)
-                  0.45    0.01   14.57 ^ mprj/u_wb_host/_4229_/S (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.38   14.95 v mprj/u_wb_host/_4229_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0364_ (net)
-                  0.06    0.00   14.95 v mprj/u_wb_host/_5838_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 14.95   data arrival time
-
-                         25.00   25.00   clock wb_clk (rise edge)
-                          0.00   25.00   clock source latency
-                  1.00    0.00   25.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10   29.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00   29.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13   29.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00   29.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14   29.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00   29.51 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   29.65 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_0_0_wbm_clk_i (net)
-                  0.05    0.00   29.65 ^ mprj/u_wb_host/clkbuf_3_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.19    0.23   29.88 ^ mprj/u_wb_host/clkbuf_3_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.11                           mprj/u_wb_host/clknet_3_1_0_wbm_clk_i (net)
-                  0.19    0.01   29.89 ^ mprj/u_wb_host/clkbuf_leaf_57_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.20   30.08 ^ mprj/u_wb_host/clkbuf_leaf_57_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    14    0.06                           mprj/u_wb_host/clknet_leaf_57_wbm_clk_i (net)
-                  0.08    0.00   30.08 ^ mprj/u_wb_host/_5838_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   29.83   clock uncertainty
-                          0.95   30.79   clock reconvergence pessimism
-                         -0.11   30.67   library setup time
-                                 30.67   data required time
------------------------------------------------------------------------------
-                                 30.67   data required time
-                                -14.95   data arrival time
------------------------------------------------------------------------------
-                                 15.73   slack (MET)
-
-
-Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
-Endpoint: mprj/u_wb_host/_6285_
-          (rising edge-triggered flip-flop clocked by wb_clk)
-Path Group: wb_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           soc/clknet_0_core_clk (net)
-                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_0_core_clk (net)
-                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_1_core_clk (net)
-                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_2_core_clk (net)
-                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_3_core_clk (net)
-                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_4_core_clk (net)
-                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           soc/clknet_1_1_5_core_clk (net)
-                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_1_1_6_core_clk (net)
-                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_2_3_0_core_clk (net)
-                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_2_3_1_core_clk (net)
-                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_0_core_clk (net)
-                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_1_core_clk (net)
-                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_3_7_2_core_clk (net)
-                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_4_14_0_core_clk (net)
-                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_5_28_0_core_clk (net)
-                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           soc/clknet_5_28_1_core_clk (net)
-                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           soc/clknet_leaf_243_core_clk (net)
-                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.11    0.39    6.87 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
-     1    0.01                           soc/core.grant[0] (net)
-                  0.11    0.00    6.87 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
-                  0.16    0.21    7.08 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
-    10    0.10                           soc/net3544 (net)
-                  0.16    0.00    7.08 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
-                  0.22    0.26    7.34 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
-    14    0.11                           soc/net3546 (net)
-                  0.22    0.02    7.36 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
-                  0.31    0.36    7.72 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
-    17    0.11                           soc/net3545 (net)
-                  0.32    0.02    7.75 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
-                  0.09    0.11    7.86 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
-     1    0.01                           soc/_13629_ (net)
-                  0.09    0.00    7.86 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
-                  0.05    0.16    8.02 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
-     4    0.04                           soc/net3233 (net)
-                  0.05    0.00    8.02 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
-                  0.11    0.18    8.20 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
-    16    0.14                           soc/net3235 (net)
-                  0.12    0.03    8.23 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
-                  0.06    0.19    8.42 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
-    12    0.06                           soc/net3231 (net)
-                  0.06    0.00    8.43 v soc/_14146_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.32    8.75 v soc/_14146_/X (sky130_fd_sc_hd__and2_2)
-    10    0.06                           soc/_13643_ (net)
-                  0.15    0.01    8.75 v soc/_14147_/C1 (sky130_fd_sc_hd__a221oi_4)
-                  0.73    0.63    9.38 ^ soc/_14147_/Y (sky130_fd_sc_hd__a221oi_4)
-     6    0.06                           soc/_13644_ (net)
-                  0.73    0.01    9.38 ^ soc/max_length2753/A (sky130_fd_sc_hd__buf_6)
-                  0.21    0.32    9.71 ^ soc/max_length2753/X (sky130_fd_sc_hd__buf_6)
-     9    0.10                           soc/net2753 (net)
-                  0.21    0.02    9.73 ^ soc/wire2751/A (sky130_fd_sc_hd__buf_4)
-                  0.25    0.32   10.05 ^ soc/wire2751/X (sky130_fd_sc_hd__buf_4)
-     4    0.09                           soc/net2751 (net)
-                  0.25    0.01   10.06 ^ soc/_14148_/A (sky130_fd_sc_hd__inv_12)
-                  0.09    0.09   10.15 v soc/_14148_/Y (sky130_fd_sc_hd__inv_12)
-     4    0.08                           soc/net634 (net)
-                  0.09    0.01   10.17 v soc/wire2404/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.19   10.36 v soc/wire2404/X (sky130_fd_sc_hd__buf_12)
-     7    0.17                           soc/net2404 (net)
-                  0.13    0.04   10.40 v soc/output634/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.16   10.56 v soc/output634/X (sky130_fd_sc_hd__buf_12)
-     3    0.01                           mprj_adr_o_core[2] (net)
-                  0.02    0.00   10.56 v mgmt_buffers/input410/A (sky130_fd_sc_hd__buf_6)
-                  0.04    0.13   10.69 v mgmt_buffers/input410/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mgmt_buffers/net410 (net)
-                  0.04    0.00   10.69 v mgmt_buffers/wire1596/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.16   10.85 v mgmt_buffers/wire1596/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1596 (net)
-                  0.07    0.01   10.86 v mgmt_buffers/wire1595/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.20   11.06 v mgmt_buffers/wire1595/X (sky130_fd_sc_hd__buf_6)
-     2    0.11                           mgmt_buffers/net1595 (net)
-                  0.10    0.01   11.07 v mgmt_buffers/wire1594/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19   11.25 v mgmt_buffers/wire1594/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1594 (net)
-                  0.07    0.01   11.26 v mgmt_buffers/wire1593/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.18   11.44 v mgmt_buffers/wire1593/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1593 (net)
-                  0.07    0.01   11.45 v mgmt_buffers/wire1592/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.17   11.62 v mgmt_buffers/wire1592/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1592 (net)
-                  0.07    0.01   11.63 v mgmt_buffers/_307_/B (sky130_fd_sc_hd__and2_4)
-                  0.06    0.21   11.83 v mgmt_buffers/_307_/X (sky130_fd_sc_hd__and2_4)
-     2    0.03                           mgmt_buffers/net870 (net)
-                  0.06    0.00   11.84 v mgmt_buffers/output870/A (sky130_fd_sc_hd__buf_8)
-                  0.02    0.12   11.96 v mgmt_buffers/output870/X (sky130_fd_sc_hd__buf_8)
-     2    0.00                           mprj_adr_o_user[2] (net)
-                  0.02    0.00   11.96 v mprj/u_wb_host/input53/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.05    0.11   12.06 v mprj/u_wb_host/input53/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_wb_host/net53 (net)
-                  0.05    0.00   12.06 v mprj/u_wb_host/_4056_/A (sky130_fd_sc_hd__or2_1)
-                  0.10    0.29   12.36 v mprj/u_wb_host/_4056_/X (sky130_fd_sc_hd__or2_1)
-     2    0.01                           mprj/u_wb_host/_2076_ (net)
-                  0.10    0.00   12.36 v mprj/u_wb_host/_4057_/B1 (sky130_fd_sc_hd__o21ai_4)
-                  2.08    0.76   13.12 ^ mprj/u_wb_host/_4057_/Y (sky130_fd_sc_hd__o21ai_4)
-    74    0.32                           mprj/u_wb_host/_2077_ (net)
-                  2.08    0.03   13.15 ^ mprj/u_wb_host/_4063_/A (sky130_fd_sc_hd__nand2_8)
-                  0.50    0.42   13.57 v mprj/u_wb_host/_4063_/Y (sky130_fd_sc_hd__nand2_8)
-    36    0.12                           mprj/u_wb_host/_2083_ (net)
-                  0.50    0.01   13.57 v mprj/u_wb_host/_4222_/C (sky130_fd_sc_hd__or3_4)
-                  0.12    0.59   14.17 v mprj/u_wb_host/_4222_/X (sky130_fd_sc_hd__or3_4)
-     4    0.04                           mprj/u_wb_host/_2158_ (net)
-                  0.12    0.00   14.17 v mprj/u_wb_host/_4830_/A (sky130_fd_sc_hd__nor2_4)
-                  0.39    0.38   14.54 ^ mprj/u_wb_host/_4830_/Y (sky130_fd_sc_hd__nor2_4)
-    16    0.06                           mprj/u_wb_host/_2336_ (net)
-                  0.39    0.00   14.55 ^ mprj/u_wb_host/_4838_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.37   14.92 v mprj/u_wb_host/_4838_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0799_ (net)
-                  0.05    0.00   14.92 v mprj/u_wb_host/_6285_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 14.92   data arrival time
-
-                         25.00   25.00   clock wb_clk (rise edge)
-                          0.00   25.00   clock source latency
-                  1.00    0.00   25.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10   29.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00   29.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13   29.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00   29.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14   29.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00   29.51 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   29.65 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_0_0_wbm_clk_i (net)
-                  0.05    0.00   29.65 ^ mprj/u_wb_host/clkbuf_3_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.19    0.23   29.88 ^ mprj/u_wb_host/clkbuf_3_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.11                           mprj/u_wb_host/clknet_3_1_0_wbm_clk_i (net)
-                  0.19    0.00   29.88 ^ mprj/u_wb_host/clkbuf_leaf_56_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.17   30.06 ^ mprj/u_wb_host/clkbuf_leaf_56_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     7    0.02                           mprj/u_wb_host/clknet_leaf_56_wbm_clk_i (net)
-                  0.05    0.00   30.06 ^ mprj/u_wb_host/_6285_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   29.81   clock uncertainty
-                          0.95   30.76   clock reconvergence pessimism
-                         -0.12   30.64   library setup time
-                                 30.64   data required time
------------------------------------------------------------------------------
-                                 30.64   data required time
-                                -14.92   data arrival time
------------------------------------------------------------------------------
-                                 15.73   slack (MET)
-
-
-Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
-Endpoint: mprj/u_wb_host/_5843_
-          (rising edge-triggered flip-flop clocked by wb_clk)
-Path Group: wb_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           soc/clknet_0_core_clk (net)
-                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_0_core_clk (net)
-                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_1_core_clk (net)
-                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_2_core_clk (net)
-                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_3_core_clk (net)
-                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_4_core_clk (net)
-                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           soc/clknet_1_1_5_core_clk (net)
-                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_1_1_6_core_clk (net)
-                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_2_3_0_core_clk (net)
-                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_2_3_1_core_clk (net)
-                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_0_core_clk (net)
-                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_1_core_clk (net)
-                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_3_7_2_core_clk (net)
-                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_4_14_0_core_clk (net)
-                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_5_28_0_core_clk (net)
-                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           soc/clknet_5_28_1_core_clk (net)
-                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           soc/clknet_leaf_243_core_clk (net)
-                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.11    0.39    6.87 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
-     1    0.01                           soc/core.grant[0] (net)
-                  0.11    0.00    6.87 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
-                  0.16    0.21    7.08 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
-    10    0.10                           soc/net3544 (net)
-                  0.16    0.00    7.08 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
-                  0.22    0.26    7.34 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
-    14    0.11                           soc/net3546 (net)
-                  0.22    0.02    7.36 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
-                  0.31    0.36    7.72 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
-    17    0.11                           soc/net3545 (net)
-                  0.32    0.02    7.75 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
-                  0.09    0.11    7.86 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
-     1    0.01                           soc/_13629_ (net)
-                  0.09    0.00    7.86 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
-                  0.05    0.16    8.02 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
-     4    0.04                           soc/net3233 (net)
-                  0.05    0.00    8.02 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
-                  0.11    0.18    8.20 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
-    16    0.14                           soc/net3235 (net)
-                  0.12    0.03    8.23 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
-                  0.06    0.19    8.42 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
-    12    0.06                           soc/net3231 (net)
-                  0.06    0.00    8.43 v soc/_14146_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.32    8.75 v soc/_14146_/X (sky130_fd_sc_hd__and2_2)
-    10    0.06                           soc/_13643_ (net)
-                  0.15    0.01    8.75 v soc/_14147_/C1 (sky130_fd_sc_hd__a221oi_4)
-                  0.73    0.63    9.38 ^ soc/_14147_/Y (sky130_fd_sc_hd__a221oi_4)
-     6    0.06                           soc/_13644_ (net)
-                  0.73    0.01    9.38 ^ soc/max_length2753/A (sky130_fd_sc_hd__buf_6)
-                  0.21    0.32    9.71 ^ soc/max_length2753/X (sky130_fd_sc_hd__buf_6)
-     9    0.10                           soc/net2753 (net)
-                  0.21    0.02    9.73 ^ soc/wire2751/A (sky130_fd_sc_hd__buf_4)
-                  0.25    0.32   10.05 ^ soc/wire2751/X (sky130_fd_sc_hd__buf_4)
-     4    0.09                           soc/net2751 (net)
-                  0.25    0.01   10.06 ^ soc/_14148_/A (sky130_fd_sc_hd__inv_12)
-                  0.09    0.09   10.15 v soc/_14148_/Y (sky130_fd_sc_hd__inv_12)
-     4    0.08                           soc/net634 (net)
-                  0.09    0.01   10.17 v soc/wire2404/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.19   10.36 v soc/wire2404/X (sky130_fd_sc_hd__buf_12)
-     7    0.17                           soc/net2404 (net)
-                  0.13    0.04   10.40 v soc/output634/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.16   10.56 v soc/output634/X (sky130_fd_sc_hd__buf_12)
-     3    0.01                           mprj_adr_o_core[2] (net)
-                  0.02    0.00   10.56 v mgmt_buffers/input410/A (sky130_fd_sc_hd__buf_6)
-                  0.04    0.13   10.69 v mgmt_buffers/input410/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mgmt_buffers/net410 (net)
-                  0.04    0.00   10.69 v mgmt_buffers/wire1596/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.16   10.85 v mgmt_buffers/wire1596/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1596 (net)
-                  0.07    0.01   10.86 v mgmt_buffers/wire1595/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.20   11.06 v mgmt_buffers/wire1595/X (sky130_fd_sc_hd__buf_6)
-     2    0.11                           mgmt_buffers/net1595 (net)
-                  0.10    0.01   11.07 v mgmt_buffers/wire1594/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19   11.25 v mgmt_buffers/wire1594/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1594 (net)
-                  0.07    0.01   11.26 v mgmt_buffers/wire1593/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.18   11.44 v mgmt_buffers/wire1593/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1593 (net)
-                  0.07    0.01   11.45 v mgmt_buffers/wire1592/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.17   11.62 v mgmt_buffers/wire1592/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1592 (net)
-                  0.07    0.01   11.63 v mgmt_buffers/_307_/B (sky130_fd_sc_hd__and2_4)
-                  0.06    0.21   11.83 v mgmt_buffers/_307_/X (sky130_fd_sc_hd__and2_4)
-     2    0.03                           mgmt_buffers/net870 (net)
-                  0.06    0.00   11.84 v mgmt_buffers/output870/A (sky130_fd_sc_hd__buf_8)
-                  0.02    0.12   11.96 v mgmt_buffers/output870/X (sky130_fd_sc_hd__buf_8)
-     2    0.00                           mprj_adr_o_user[2] (net)
-                  0.02    0.00   11.96 v mprj/u_wb_host/input53/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.05    0.11   12.06 v mprj/u_wb_host/input53/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_wb_host/net53 (net)
-                  0.05    0.00   12.06 v mprj/u_wb_host/_4056_/A (sky130_fd_sc_hd__or2_1)
-                  0.10    0.29   12.36 v mprj/u_wb_host/_4056_/X (sky130_fd_sc_hd__or2_1)
-     2    0.01                           mprj/u_wb_host/_2076_ (net)
-                  0.10    0.00   12.36 v mprj/u_wb_host/_4057_/B1 (sky130_fd_sc_hd__o21ai_4)
-                  2.08    0.76   13.12 ^ mprj/u_wb_host/_4057_/Y (sky130_fd_sc_hd__o21ai_4)
-    74    0.32                           mprj/u_wb_host/_2077_ (net)
-                  2.08    0.03   13.15 ^ mprj/u_wb_host/_4063_/A (sky130_fd_sc_hd__nand2_8)
-                  0.50    0.42   13.57 v mprj/u_wb_host/_4063_/Y (sky130_fd_sc_hd__nand2_8)
-    36    0.12                           mprj/u_wb_host/_2083_ (net)
-                  0.50    0.01   13.57 v mprj/u_wb_host/_4222_/C (sky130_fd_sc_hd__or3_4)
-                  0.12    0.59   14.17 v mprj/u_wb_host/_4222_/X (sky130_fd_sc_hd__or3_4)
-     4    0.04                           mprj/u_wb_host/_2158_ (net)
-                  0.12    0.00   14.17 v mprj/u_wb_host/_4223_/B (sky130_fd_sc_hd__nor2_4)
-                  0.45    0.40   14.56 ^ mprj/u_wb_host/_4223_/Y (sky130_fd_sc_hd__nor2_4)
-    16    0.07                           mprj/u_wb_host/_2159_ (net)
-                  0.45    0.01   14.57 ^ mprj/u_wb_host/_4239_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.38   14.94 v mprj/u_wb_host/_4239_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0369_ (net)
-                  0.05    0.00   14.94 v mprj/u_wb_host/_5843_/D (sky130_fd_sc_hd__dfrtp_4)
-                                 14.94   data arrival time
-
-                         25.00   25.00   clock wb_clk (rise edge)
-                          0.00   25.00   clock source latency
-                  1.00    0.00   25.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10   29.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00   29.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13   29.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00   29.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14   29.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00   29.51 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   29.65 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_0_0_wbm_clk_i (net)
-                  0.05    0.00   29.65 ^ mprj/u_wb_host/clkbuf_3_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.19    0.23   29.88 ^ mprj/u_wb_host/clkbuf_3_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.11                           mprj/u_wb_host/clknet_3_1_0_wbm_clk_i (net)
-                  0.19    0.01   29.89 ^ mprj/u_wb_host/clkbuf_leaf_54_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.20   30.08 ^ mprj/u_wb_host/clkbuf_leaf_54_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    16    0.05                           mprj/u_wb_host/clknet_leaf_54_wbm_clk_i (net)
-                  0.07    0.00   30.08 ^ mprj/u_wb_host/_5843_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                         -0.25   29.83   clock uncertainty
-                          0.95   30.79   clock reconvergence pessimism
-                         -0.11   30.67   library setup time
-                                 30.67   data required time
------------------------------------------------------------------------------
-                                 30.67   data required time
-                                -14.94   data arrival time
------------------------------------------------------------------------------
-                                 15.73   slack (MET)
-
-
-Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
-Endpoint: mprj/u_wb_host/_6284_
-          (rising edge-triggered flip-flop clocked by wb_clk)
-Path Group: wb_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           soc/clknet_0_core_clk (net)
-                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_0_core_clk (net)
-                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_1_core_clk (net)
-                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_2_core_clk (net)
-                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_3_core_clk (net)
-                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_4_core_clk (net)
-                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           soc/clknet_1_1_5_core_clk (net)
-                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_1_1_6_core_clk (net)
-                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_2_3_0_core_clk (net)
-                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_2_3_1_core_clk (net)
-                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_0_core_clk (net)
-                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_1_core_clk (net)
-                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_3_7_2_core_clk (net)
-                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_4_14_0_core_clk (net)
-                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_5_28_0_core_clk (net)
-                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           soc/clknet_5_28_1_core_clk (net)
-                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           soc/clknet_leaf_243_core_clk (net)
-                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.11    0.39    6.87 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
-     1    0.01                           soc/core.grant[0] (net)
-                  0.11    0.00    6.87 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
-                  0.16    0.21    7.08 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
-    10    0.10                           soc/net3544 (net)
-                  0.16    0.00    7.08 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
-                  0.22    0.26    7.34 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
-    14    0.11                           soc/net3546 (net)
-                  0.22    0.02    7.36 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
-                  0.31    0.36    7.72 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
-    17    0.11                           soc/net3545 (net)
-                  0.32    0.02    7.75 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
-                  0.09    0.11    7.86 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
-     1    0.01                           soc/_13629_ (net)
-                  0.09    0.00    7.86 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
-                  0.05    0.16    8.02 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
-     4    0.04                           soc/net3233 (net)
-                  0.05    0.00    8.02 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
-                  0.11    0.18    8.20 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
-    16    0.14                           soc/net3235 (net)
-                  0.12    0.03    8.23 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
-                  0.06    0.19    8.42 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
-    12    0.06                           soc/net3231 (net)
-                  0.06    0.00    8.43 v soc/_14146_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.32    8.75 v soc/_14146_/X (sky130_fd_sc_hd__and2_2)
-    10    0.06                           soc/_13643_ (net)
-                  0.15    0.01    8.75 v soc/_14147_/C1 (sky130_fd_sc_hd__a221oi_4)
-                  0.73    0.63    9.38 ^ soc/_14147_/Y (sky130_fd_sc_hd__a221oi_4)
-     6    0.06                           soc/_13644_ (net)
-                  0.73    0.01    9.38 ^ soc/max_length2753/A (sky130_fd_sc_hd__buf_6)
-                  0.21    0.32    9.71 ^ soc/max_length2753/X (sky130_fd_sc_hd__buf_6)
-     9    0.10                           soc/net2753 (net)
-                  0.21    0.02    9.73 ^ soc/wire2751/A (sky130_fd_sc_hd__buf_4)
-                  0.25    0.32   10.05 ^ soc/wire2751/X (sky130_fd_sc_hd__buf_4)
-     4    0.09                           soc/net2751 (net)
-                  0.25    0.01   10.06 ^ soc/_14148_/A (sky130_fd_sc_hd__inv_12)
-                  0.09    0.09   10.15 v soc/_14148_/Y (sky130_fd_sc_hd__inv_12)
-     4    0.08                           soc/net634 (net)
-                  0.09    0.01   10.17 v soc/wire2404/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.19   10.36 v soc/wire2404/X (sky130_fd_sc_hd__buf_12)
-     7    0.17                           soc/net2404 (net)
-                  0.13    0.04   10.40 v soc/output634/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.16   10.56 v soc/output634/X (sky130_fd_sc_hd__buf_12)
-     3    0.01                           mprj_adr_o_core[2] (net)
-                  0.02    0.00   10.56 v mgmt_buffers/input410/A (sky130_fd_sc_hd__buf_6)
-                  0.04    0.13   10.69 v mgmt_buffers/input410/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mgmt_buffers/net410 (net)
-                  0.04    0.00   10.69 v mgmt_buffers/wire1596/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.16   10.85 v mgmt_buffers/wire1596/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1596 (net)
-                  0.07    0.01   10.86 v mgmt_buffers/wire1595/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.20   11.06 v mgmt_buffers/wire1595/X (sky130_fd_sc_hd__buf_6)
-     2    0.11                           mgmt_buffers/net1595 (net)
-                  0.10    0.01   11.07 v mgmt_buffers/wire1594/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19   11.25 v mgmt_buffers/wire1594/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1594 (net)
-                  0.07    0.01   11.26 v mgmt_buffers/wire1593/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.18   11.44 v mgmt_buffers/wire1593/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1593 (net)
-                  0.07    0.01   11.45 v mgmt_buffers/wire1592/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.17   11.62 v mgmt_buffers/wire1592/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1592 (net)
-                  0.07    0.01   11.63 v mgmt_buffers/_307_/B (sky130_fd_sc_hd__and2_4)
-                  0.06    0.21   11.83 v mgmt_buffers/_307_/X (sky130_fd_sc_hd__and2_4)
-     2    0.03                           mgmt_buffers/net870 (net)
-                  0.06    0.00   11.84 v mgmt_buffers/output870/A (sky130_fd_sc_hd__buf_8)
-                  0.02    0.12   11.96 v mgmt_buffers/output870/X (sky130_fd_sc_hd__buf_8)
-     2    0.00                           mprj_adr_o_user[2] (net)
-                  0.02    0.00   11.96 v mprj/u_wb_host/input53/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.05    0.11   12.06 v mprj/u_wb_host/input53/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_wb_host/net53 (net)
-                  0.05    0.00   12.06 v mprj/u_wb_host/_4056_/A (sky130_fd_sc_hd__or2_1)
-                  0.10    0.29   12.36 v mprj/u_wb_host/_4056_/X (sky130_fd_sc_hd__or2_1)
-     2    0.01                           mprj/u_wb_host/_2076_ (net)
-                  0.10    0.00   12.36 v mprj/u_wb_host/_4057_/B1 (sky130_fd_sc_hd__o21ai_4)
-                  2.08    0.76   13.12 ^ mprj/u_wb_host/_4057_/Y (sky130_fd_sc_hd__o21ai_4)
-    74    0.32                           mprj/u_wb_host/_2077_ (net)
-                  2.08    0.03   13.15 ^ mprj/u_wb_host/_4063_/A (sky130_fd_sc_hd__nand2_8)
-                  0.50    0.42   13.57 v mprj/u_wb_host/_4063_/Y (sky130_fd_sc_hd__nand2_8)
-    36    0.12                           mprj/u_wb_host/_2083_ (net)
-                  0.50    0.01   13.57 v mprj/u_wb_host/_4222_/C (sky130_fd_sc_hd__or3_4)
-                  0.12    0.59   14.17 v mprj/u_wb_host/_4222_/X (sky130_fd_sc_hd__or3_4)
-     4    0.04                           mprj/u_wb_host/_2158_ (net)
-                  0.12    0.00   14.17 v mprj/u_wb_host/_4830_/A (sky130_fd_sc_hd__nor2_4)
-                  0.39    0.38   14.54 ^ mprj/u_wb_host/_4830_/Y (sky130_fd_sc_hd__nor2_4)
-    16    0.06                           mprj/u_wb_host/_2336_ (net)
-                  0.39    0.00   14.55 ^ mprj/u_wb_host/_4837_/S (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.38   14.93 v mprj/u_wb_host/_4837_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0798_ (net)
-                  0.06    0.00   14.93 v mprj/u_wb_host/_6284_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 14.93   data arrival time
-
-                         25.00   25.00   clock wb_clk (rise edge)
-                          0.00   25.00   clock source latency
-                  1.00    0.00   25.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10   29.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00   29.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13   29.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00   29.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14   29.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00   29.51 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   29.65 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_0_0_wbm_clk_i (net)
-                  0.05    0.00   29.65 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.21    0.24   29.89 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.12                           mprj/u_wb_host/clknet_3_0_0_wbm_clk_i (net)
-                  0.21    0.00   29.89 ^ mprj/u_wb_host/clkbuf_leaf_1_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.18   30.07 ^ mprj/u_wb_host/clkbuf_leaf_1_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.03                           mprj/u_wb_host/clknet_leaf_1_wbm_clk_i (net)
-                  0.05    0.00   30.08 ^ mprj/u_wb_host/_6284_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   29.83   clock uncertainty
-                          0.95   30.78   clock reconvergence pessimism
-                         -0.12   30.66   library setup time
-                                 30.66   data required time
------------------------------------------------------------------------------
-                                 30.66   data required time
-                                -14.93   data arrival time
------------------------------------------------------------------------------
-                                 15.73   slack (MET)
-
-
-Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
-Endpoint: mprj/u_wb_host/_6277_
-          (rising edge-triggered flip-flop clocked by wb_clk)
-Path Group: wb_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           soc/clknet_0_core_clk (net)
-                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_0_core_clk (net)
-                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_1_core_clk (net)
-                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_2_core_clk (net)
-                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_3_core_clk (net)
-                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_4_core_clk (net)
-                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           soc/clknet_1_1_5_core_clk (net)
-                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_1_1_6_core_clk (net)
-                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_2_3_0_core_clk (net)
-                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_2_3_1_core_clk (net)
-                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_0_core_clk (net)
-                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_1_core_clk (net)
-                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_3_7_2_core_clk (net)
-                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_4_14_0_core_clk (net)
-                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_5_28_0_core_clk (net)
-                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           soc/clknet_5_28_1_core_clk (net)
-                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           soc/clknet_leaf_243_core_clk (net)
-                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.11    0.39    6.87 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
-     1    0.01                           soc/core.grant[0] (net)
-                  0.11    0.00    6.87 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
-                  0.16    0.21    7.08 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
-    10    0.10                           soc/net3544 (net)
-                  0.16    0.00    7.08 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
-                  0.22    0.26    7.34 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
-    14    0.11                           soc/net3546 (net)
-                  0.22    0.02    7.36 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
-                  0.31    0.36    7.72 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
-    17    0.11                           soc/net3545 (net)
-                  0.32    0.02    7.75 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
-                  0.09    0.11    7.86 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
-     1    0.01                           soc/_13629_ (net)
-                  0.09    0.00    7.86 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
-                  0.05    0.16    8.02 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
-     4    0.04                           soc/net3233 (net)
-                  0.05    0.00    8.02 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
-                  0.11    0.18    8.20 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
-    16    0.14                           soc/net3235 (net)
-                  0.12    0.03    8.23 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
-                  0.06    0.19    8.42 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
-    12    0.06                           soc/net3231 (net)
-                  0.06    0.00    8.43 v soc/_14146_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.32    8.75 v soc/_14146_/X (sky130_fd_sc_hd__and2_2)
-    10    0.06                           soc/_13643_ (net)
-                  0.15    0.01    8.75 v soc/_14147_/C1 (sky130_fd_sc_hd__a221oi_4)
-                  0.73    0.63    9.38 ^ soc/_14147_/Y (sky130_fd_sc_hd__a221oi_4)
-     6    0.06                           soc/_13644_ (net)
-                  0.73    0.01    9.38 ^ soc/max_length2753/A (sky130_fd_sc_hd__buf_6)
-                  0.21    0.32    9.71 ^ soc/max_length2753/X (sky130_fd_sc_hd__buf_6)
-     9    0.10                           soc/net2753 (net)
-                  0.21    0.02    9.73 ^ soc/wire2751/A (sky130_fd_sc_hd__buf_4)
-                  0.25    0.32   10.05 ^ soc/wire2751/X (sky130_fd_sc_hd__buf_4)
-     4    0.09                           soc/net2751 (net)
-                  0.25    0.01   10.06 ^ soc/_14148_/A (sky130_fd_sc_hd__inv_12)
-                  0.09    0.09   10.15 v soc/_14148_/Y (sky130_fd_sc_hd__inv_12)
-     4    0.08                           soc/net634 (net)
-                  0.09    0.01   10.17 v soc/wire2404/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.19   10.36 v soc/wire2404/X (sky130_fd_sc_hd__buf_12)
-     7    0.17                           soc/net2404 (net)
-                  0.13    0.04   10.40 v soc/output634/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.16   10.56 v soc/output634/X (sky130_fd_sc_hd__buf_12)
-     3    0.01                           mprj_adr_o_core[2] (net)
-                  0.02    0.00   10.56 v mgmt_buffers/input410/A (sky130_fd_sc_hd__buf_6)
-                  0.04    0.13   10.69 v mgmt_buffers/input410/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mgmt_buffers/net410 (net)
-                  0.04    0.00   10.69 v mgmt_buffers/wire1596/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.16   10.85 v mgmt_buffers/wire1596/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1596 (net)
-                  0.07    0.01   10.86 v mgmt_buffers/wire1595/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.20   11.06 v mgmt_buffers/wire1595/X (sky130_fd_sc_hd__buf_6)
-     2    0.11                           mgmt_buffers/net1595 (net)
-                  0.10    0.01   11.07 v mgmt_buffers/wire1594/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19   11.25 v mgmt_buffers/wire1594/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1594 (net)
-                  0.07    0.01   11.26 v mgmt_buffers/wire1593/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.18   11.44 v mgmt_buffers/wire1593/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1593 (net)
-                  0.07    0.01   11.45 v mgmt_buffers/wire1592/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.17   11.62 v mgmt_buffers/wire1592/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1592 (net)
-                  0.07    0.01   11.63 v mgmt_buffers/_307_/B (sky130_fd_sc_hd__and2_4)
-                  0.06    0.21   11.83 v mgmt_buffers/_307_/X (sky130_fd_sc_hd__and2_4)
-     2    0.03                           mgmt_buffers/net870 (net)
-                  0.06    0.00   11.84 v mgmt_buffers/output870/A (sky130_fd_sc_hd__buf_8)
-                  0.02    0.12   11.96 v mgmt_buffers/output870/X (sky130_fd_sc_hd__buf_8)
-     2    0.00                           mprj_adr_o_user[2] (net)
-                  0.02    0.00   11.96 v mprj/u_wb_host/input53/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.05    0.11   12.06 v mprj/u_wb_host/input53/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_wb_host/net53 (net)
-                  0.05    0.00   12.06 v mprj/u_wb_host/_4056_/A (sky130_fd_sc_hd__or2_1)
-                  0.10    0.29   12.36 v mprj/u_wb_host/_4056_/X (sky130_fd_sc_hd__or2_1)
-     2    0.01                           mprj/u_wb_host/_2076_ (net)
-                  0.10    0.00   12.36 v mprj/u_wb_host/_4057_/B1 (sky130_fd_sc_hd__o21ai_4)
-                  2.08    0.76   13.12 ^ mprj/u_wb_host/_4057_/Y (sky130_fd_sc_hd__o21ai_4)
-    74    0.32                           mprj/u_wb_host/_2077_ (net)
-                  2.08    0.03   13.15 ^ mprj/u_wb_host/_4063_/A (sky130_fd_sc_hd__nand2_8)
-                  0.50    0.42   13.57 v mprj/u_wb_host/_4063_/Y (sky130_fd_sc_hd__nand2_8)
-    36    0.12                           mprj/u_wb_host/_2083_ (net)
-                  0.50    0.01   13.57 v mprj/u_wb_host/_4222_/C (sky130_fd_sc_hd__or3_4)
-                  0.12    0.59   14.17 v mprj/u_wb_host/_4222_/X (sky130_fd_sc_hd__or3_4)
-     4    0.04                           mprj/u_wb_host/_2158_ (net)
-                  0.12    0.00   14.17 v mprj/u_wb_host/_4821_/A (sky130_fd_sc_hd__nor2_4)
-                  0.43    0.41   14.57 ^ mprj/u_wb_host/_4821_/Y (sky130_fd_sc_hd__nor2_4)
-    16    0.07                           mprj/u_wb_host/_2335_ (net)
-                  0.43    0.00   14.58 ^ mprj/u_wb_host/_4829_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.37   14.95 v mprj/u_wb_host/_4829_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0791_ (net)
-                  0.05    0.00   14.95 v mprj/u_wb_host/_6277_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 14.95   data arrival time
-
-                         25.00   25.00   clock wb_clk (rise edge)
-                          0.00   25.00   clock source latency
-                  1.00    0.00   25.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10   29.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00   29.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13   29.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00   29.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14   29.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00   29.51 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14   29.65 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
-                  0.06    0.00   29.65 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.25   29.90 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.13                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
-                  0.22    0.00   29.90 ^ mprj/u_wb_host/clkbuf_leaf_12_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.19   30.09 ^ mprj/u_wb_host/clkbuf_leaf_12_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     9    0.04                           mprj/u_wb_host/clknet_leaf_12_wbm_clk_i (net)
-                  0.06    0.00   30.09 ^ mprj/u_wb_host/_6277_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   29.84   clock uncertainty
-                          0.95   30.80   clock reconvergence pessimism
-                         -0.11   30.68   library setup time
-                                 30.68   data required time
------------------------------------------------------------------------------
-                                 30.68   data required time
-                                -14.95   data arrival time
------------------------------------------------------------------------------
-                                 15.73   slack (MET)
-
-
-Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
-Endpoint: mprj/u_wb_host/_5836_
-          (rising edge-triggered flip-flop clocked by wb_clk)
-Path Group: wb_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           soc/clknet_0_core_clk (net)
-                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_0_core_clk (net)
-                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_1_core_clk (net)
-                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_2_core_clk (net)
-                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_3_core_clk (net)
-                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_4_core_clk (net)
-                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           soc/clknet_1_1_5_core_clk (net)
-                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_1_1_6_core_clk (net)
-                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_2_3_0_core_clk (net)
-                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_2_3_1_core_clk (net)
-                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_0_core_clk (net)
-                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_1_core_clk (net)
-                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_3_7_2_core_clk (net)
-                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_4_14_0_core_clk (net)
-                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_5_28_0_core_clk (net)
-                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           soc/clknet_5_28_1_core_clk (net)
-                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           soc/clknet_leaf_243_core_clk (net)
-                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.11    0.39    6.87 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
-     1    0.01                           soc/core.grant[0] (net)
-                  0.11    0.00    6.87 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
-                  0.16    0.21    7.08 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
-    10    0.10                           soc/net3544 (net)
-                  0.16    0.00    7.08 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
-                  0.22    0.26    7.34 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
-    14    0.11                           soc/net3546 (net)
-                  0.22    0.02    7.36 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
-                  0.31    0.36    7.72 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
-    17    0.11                           soc/net3545 (net)
-                  0.32    0.02    7.75 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
-                  0.09    0.11    7.86 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
-     1    0.01                           soc/_13629_ (net)
-                  0.09    0.00    7.86 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
-                  0.05    0.16    8.02 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
-     4    0.04                           soc/net3233 (net)
-                  0.05    0.00    8.02 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
-                  0.11    0.18    8.20 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
-    16    0.14                           soc/net3235 (net)
-                  0.12    0.03    8.23 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
-                  0.06    0.19    8.42 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
-    12    0.06                           soc/net3231 (net)
-                  0.06    0.00    8.43 v soc/_14146_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.32    8.75 v soc/_14146_/X (sky130_fd_sc_hd__and2_2)
-    10    0.06                           soc/_13643_ (net)
-                  0.15    0.01    8.75 v soc/_14147_/C1 (sky130_fd_sc_hd__a221oi_4)
-                  0.73    0.63    9.38 ^ soc/_14147_/Y (sky130_fd_sc_hd__a221oi_4)
-     6    0.06                           soc/_13644_ (net)
-                  0.73    0.01    9.38 ^ soc/max_length2753/A (sky130_fd_sc_hd__buf_6)
-                  0.21    0.32    9.71 ^ soc/max_length2753/X (sky130_fd_sc_hd__buf_6)
-     9    0.10                           soc/net2753 (net)
-                  0.21    0.02    9.73 ^ soc/wire2751/A (sky130_fd_sc_hd__buf_4)
-                  0.25    0.32   10.05 ^ soc/wire2751/X (sky130_fd_sc_hd__buf_4)
-     4    0.09                           soc/net2751 (net)
-                  0.25    0.01   10.06 ^ soc/_14148_/A (sky130_fd_sc_hd__inv_12)
-                  0.09    0.09   10.15 v soc/_14148_/Y (sky130_fd_sc_hd__inv_12)
-     4    0.08                           soc/net634 (net)
-                  0.09    0.01   10.17 v soc/wire2404/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.19   10.36 v soc/wire2404/X (sky130_fd_sc_hd__buf_12)
-     7    0.17                           soc/net2404 (net)
-                  0.13    0.04   10.40 v soc/output634/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.16   10.56 v soc/output634/X (sky130_fd_sc_hd__buf_12)
-     3    0.01                           mprj_adr_o_core[2] (net)
-                  0.02    0.00   10.56 v mgmt_buffers/input410/A (sky130_fd_sc_hd__buf_6)
-                  0.04    0.13   10.69 v mgmt_buffers/input410/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mgmt_buffers/net410 (net)
-                  0.04    0.00   10.69 v mgmt_buffers/wire1596/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.16   10.85 v mgmt_buffers/wire1596/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1596 (net)
-                  0.07    0.01   10.86 v mgmt_buffers/wire1595/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.20   11.06 v mgmt_buffers/wire1595/X (sky130_fd_sc_hd__buf_6)
-     2    0.11                           mgmt_buffers/net1595 (net)
-                  0.10    0.01   11.07 v mgmt_buffers/wire1594/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19   11.25 v mgmt_buffers/wire1594/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1594 (net)
-                  0.07    0.01   11.26 v mgmt_buffers/wire1593/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.18   11.44 v mgmt_buffers/wire1593/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1593 (net)
-                  0.07    0.01   11.45 v mgmt_buffers/wire1592/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.17   11.62 v mgmt_buffers/wire1592/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1592 (net)
-                  0.07    0.01   11.63 v mgmt_buffers/_307_/B (sky130_fd_sc_hd__and2_4)
-                  0.06    0.21   11.83 v mgmt_buffers/_307_/X (sky130_fd_sc_hd__and2_4)
-     2    0.03                           mgmt_buffers/net870 (net)
-                  0.06    0.00   11.84 v mgmt_buffers/output870/A (sky130_fd_sc_hd__buf_8)
-                  0.02    0.12   11.96 v mgmt_buffers/output870/X (sky130_fd_sc_hd__buf_8)
-     2    0.00                           mprj_adr_o_user[2] (net)
-                  0.02    0.00   11.96 v mprj/u_wb_host/input53/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.05    0.11   12.06 v mprj/u_wb_host/input53/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_wb_host/net53 (net)
-                  0.05    0.00   12.06 v mprj/u_wb_host/_4056_/A (sky130_fd_sc_hd__or2_1)
-                  0.10    0.29   12.36 v mprj/u_wb_host/_4056_/X (sky130_fd_sc_hd__or2_1)
-     2    0.01                           mprj/u_wb_host/_2076_ (net)
-                  0.10    0.00   12.36 v mprj/u_wb_host/_4057_/B1 (sky130_fd_sc_hd__o21ai_4)
-                  2.08    0.76   13.12 ^ mprj/u_wb_host/_4057_/Y (sky130_fd_sc_hd__o21ai_4)
-    74    0.32                           mprj/u_wb_host/_2077_ (net)
-                  2.08    0.03   13.15 ^ mprj/u_wb_host/_4063_/A (sky130_fd_sc_hd__nand2_8)
-                  0.50    0.42   13.57 v mprj/u_wb_host/_4063_/Y (sky130_fd_sc_hd__nand2_8)
-    36    0.12                           mprj/u_wb_host/_2083_ (net)
-                  0.50    0.01   13.57 v mprj/u_wb_host/_4222_/C (sky130_fd_sc_hd__or3_4)
-                  0.12    0.59   14.17 v mprj/u_wb_host/_4222_/X (sky130_fd_sc_hd__or3_4)
-     4    0.04                           mprj/u_wb_host/_2158_ (net)
-                  0.12    0.00   14.17 v mprj/u_wb_host/_4223_/B (sky130_fd_sc_hd__nor2_4)
-                  0.45    0.40   14.56 ^ mprj/u_wb_host/_4223_/Y (sky130_fd_sc_hd__nor2_4)
-    16    0.07                           mprj/u_wb_host/_2159_ (net)
-                  0.45    0.01   14.57 ^ mprj/u_wb_host/_4225_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.38   14.94 v mprj/u_wb_host/_4225_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0362_ (net)
-                  0.05    0.00   14.94 v mprj/u_wb_host/_5836_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 14.94   data arrival time
-
-                         25.00   25.00   clock wb_clk (rise edge)
-                          0.00   25.00   clock source latency
-                  1.00    0.00   25.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10   29.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00   29.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13   29.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00   29.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14   29.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00   29.51 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   29.65 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_0_0_wbm_clk_i (net)
-                  0.05    0.00   29.65 ^ mprj/u_wb_host/clkbuf_3_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.19    0.23   29.88 ^ mprj/u_wb_host/clkbuf_3_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.11                           mprj/u_wb_host/clknet_3_1_0_wbm_clk_i (net)
-                  0.19    0.01   29.89 ^ mprj/u_wb_host/clkbuf_leaf_57_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.20   30.08 ^ mprj/u_wb_host/clkbuf_leaf_57_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    14    0.06                           mprj/u_wb_host/clknet_leaf_57_wbm_clk_i (net)
-                  0.08    0.00   30.08 ^ mprj/u_wb_host/_5836_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   29.83   clock uncertainty
-                          0.95   30.79   clock reconvergence pessimism
-                         -0.11   30.68   library setup time
-                                 30.68   data required time
------------------------------------------------------------------------------
-                                 30.68   data required time
-                                -14.94   data arrival time
------------------------------------------------------------------------------
-                                 15.73   slack (MET)
-
-
-Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
-Endpoint: mprj/u_wb_host/_5840_
-          (rising edge-triggered flip-flop clocked by wb_clk)
-Path Group: wb_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           soc/clknet_0_core_clk (net)
-                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_0_core_clk (net)
-                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_1_core_clk (net)
-                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_2_core_clk (net)
-                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_3_core_clk (net)
-                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_4_core_clk (net)
-                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           soc/clknet_1_1_5_core_clk (net)
-                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_1_1_6_core_clk (net)
-                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_2_3_0_core_clk (net)
-                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_2_3_1_core_clk (net)
-                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_0_core_clk (net)
-                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_1_core_clk (net)
-                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_3_7_2_core_clk (net)
-                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_4_14_0_core_clk (net)
-                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_5_28_0_core_clk (net)
-                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           soc/clknet_5_28_1_core_clk (net)
-                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           soc/clknet_leaf_243_core_clk (net)
-                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.11    0.39    6.87 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
-     1    0.01                           soc/core.grant[0] (net)
-                  0.11    0.00    6.87 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
-                  0.16    0.21    7.08 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
-    10    0.10                           soc/net3544 (net)
-                  0.16    0.00    7.08 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
-                  0.22    0.26    7.34 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
-    14    0.11                           soc/net3546 (net)
-                  0.22    0.02    7.36 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
-                  0.31    0.36    7.72 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
-    17    0.11                           soc/net3545 (net)
-                  0.32    0.02    7.75 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
-                  0.09    0.11    7.86 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
-     1    0.01                           soc/_13629_ (net)
-                  0.09    0.00    7.86 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
-                  0.05    0.16    8.02 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
-     4    0.04                           soc/net3233 (net)
-                  0.05    0.00    8.02 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
-                  0.11    0.18    8.20 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
-    16    0.14                           soc/net3235 (net)
-                  0.12    0.03    8.23 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
-                  0.06    0.19    8.42 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
-    12    0.06                           soc/net3231 (net)
-                  0.06    0.00    8.43 v soc/_14146_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.32    8.75 v soc/_14146_/X (sky130_fd_sc_hd__and2_2)
-    10    0.06                           soc/_13643_ (net)
-                  0.15    0.01    8.75 v soc/_14147_/C1 (sky130_fd_sc_hd__a221oi_4)
-                  0.73    0.63    9.38 ^ soc/_14147_/Y (sky130_fd_sc_hd__a221oi_4)
-     6    0.06                           soc/_13644_ (net)
-                  0.73    0.01    9.38 ^ soc/max_length2753/A (sky130_fd_sc_hd__buf_6)
-                  0.21    0.32    9.71 ^ soc/max_length2753/X (sky130_fd_sc_hd__buf_6)
-     9    0.10                           soc/net2753 (net)
-                  0.21    0.02    9.73 ^ soc/wire2751/A (sky130_fd_sc_hd__buf_4)
-                  0.25    0.32   10.05 ^ soc/wire2751/X (sky130_fd_sc_hd__buf_4)
-     4    0.09                           soc/net2751 (net)
-                  0.25    0.01   10.06 ^ soc/_14148_/A (sky130_fd_sc_hd__inv_12)
-                  0.09    0.09   10.15 v soc/_14148_/Y (sky130_fd_sc_hd__inv_12)
-     4    0.08                           soc/net634 (net)
-                  0.09    0.01   10.17 v soc/wire2404/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.19   10.36 v soc/wire2404/X (sky130_fd_sc_hd__buf_12)
-     7    0.17                           soc/net2404 (net)
-                  0.13    0.04   10.40 v soc/output634/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.16   10.56 v soc/output634/X (sky130_fd_sc_hd__buf_12)
-     3    0.01                           mprj_adr_o_core[2] (net)
-                  0.02    0.00   10.56 v mgmt_buffers/input410/A (sky130_fd_sc_hd__buf_6)
-                  0.04    0.13   10.69 v mgmt_buffers/input410/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mgmt_buffers/net410 (net)
-                  0.04    0.00   10.69 v mgmt_buffers/wire1596/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.16   10.85 v mgmt_buffers/wire1596/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1596 (net)
-                  0.07    0.01   10.86 v mgmt_buffers/wire1595/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.20   11.06 v mgmt_buffers/wire1595/X (sky130_fd_sc_hd__buf_6)
-     2    0.11                           mgmt_buffers/net1595 (net)
-                  0.10    0.01   11.07 v mgmt_buffers/wire1594/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19   11.25 v mgmt_buffers/wire1594/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1594 (net)
-                  0.07    0.01   11.26 v mgmt_buffers/wire1593/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.18   11.44 v mgmt_buffers/wire1593/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1593 (net)
-                  0.07    0.01   11.45 v mgmt_buffers/wire1592/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.17   11.62 v mgmt_buffers/wire1592/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1592 (net)
-                  0.07    0.01   11.63 v mgmt_buffers/_307_/B (sky130_fd_sc_hd__and2_4)
-                  0.06    0.21   11.83 v mgmt_buffers/_307_/X (sky130_fd_sc_hd__and2_4)
-     2    0.03                           mgmt_buffers/net870 (net)
-                  0.06    0.00   11.84 v mgmt_buffers/output870/A (sky130_fd_sc_hd__buf_8)
-                  0.02    0.12   11.96 v mgmt_buffers/output870/X (sky130_fd_sc_hd__buf_8)
-     2    0.00                           mprj_adr_o_user[2] (net)
-                  0.02    0.00   11.96 v mprj/u_wb_host/input53/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.05    0.11   12.06 v mprj/u_wb_host/input53/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_wb_host/net53 (net)
-                  0.05    0.00   12.06 v mprj/u_wb_host/_4056_/A (sky130_fd_sc_hd__or2_1)
-                  0.10    0.29   12.36 v mprj/u_wb_host/_4056_/X (sky130_fd_sc_hd__or2_1)
-     2    0.01                           mprj/u_wb_host/_2076_ (net)
-                  0.10    0.00   12.36 v mprj/u_wb_host/_4057_/B1 (sky130_fd_sc_hd__o21ai_4)
-                  2.08    0.76   13.12 ^ mprj/u_wb_host/_4057_/Y (sky130_fd_sc_hd__o21ai_4)
-    74    0.32                           mprj/u_wb_host/_2077_ (net)
-                  2.08    0.03   13.15 ^ mprj/u_wb_host/_4063_/A (sky130_fd_sc_hd__nand2_8)
-                  0.50    0.42   13.57 v mprj/u_wb_host/_4063_/Y (sky130_fd_sc_hd__nand2_8)
-    36    0.12                           mprj/u_wb_host/_2083_ (net)
-                  0.50    0.01   13.57 v mprj/u_wb_host/_4222_/C (sky130_fd_sc_hd__or3_4)
-                  0.12    0.59   14.17 v mprj/u_wb_host/_4222_/X (sky130_fd_sc_hd__or3_4)
-     4    0.04                           mprj/u_wb_host/_2158_ (net)
-                  0.12    0.00   14.17 v mprj/u_wb_host/_4223_/B (sky130_fd_sc_hd__nor2_4)
-                  0.45    0.40   14.56 ^ mprj/u_wb_host/_4223_/Y (sky130_fd_sc_hd__nor2_4)
-    16    0.07                           mprj/u_wb_host/_2159_ (net)
-                  0.45    0.01   14.57 ^ mprj/u_wb_host/_4233_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.37   14.94 v mprj/u_wb_host/_4233_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0366_ (net)
-                  0.05    0.00   14.94 v mprj/u_wb_host/_5840_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 14.94   data arrival time
-
-                         25.00   25.00   clock wb_clk (rise edge)
-                          0.00   25.00   clock source latency
-                  1.00    0.00   25.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10   29.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00   29.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13   29.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00   29.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14   29.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00   29.51 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   29.65 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_0_0_wbm_clk_i (net)
-                  0.05    0.00   29.65 ^ mprj/u_wb_host/clkbuf_3_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.19    0.23   29.88 ^ mprj/u_wb_host/clkbuf_3_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.11                           mprj/u_wb_host/clknet_3_1_0_wbm_clk_i (net)
-                  0.19    0.01   29.89 ^ mprj/u_wb_host/clkbuf_leaf_57_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.20   30.08 ^ mprj/u_wb_host/clkbuf_leaf_57_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    14    0.06                           mprj/u_wb_host/clknet_leaf_57_wbm_clk_i (net)
-                  0.08    0.00   30.08 ^ mprj/u_wb_host/_5840_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   29.83   clock uncertainty
-                          0.95   30.79   clock reconvergence pessimism
-                         -0.11   30.68   library setup time
-                                 30.68   data required time
------------------------------------------------------------------------------
-                                 30.68   data required time
-                                -14.94   data arrival time
------------------------------------------------------------------------------
-                                 15.73   slack (MET)
-
-
-Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
-Endpoint: mprj/u_wb_host/_6279_
-          (rising edge-triggered flip-flop clocked by wb_clk)
-Path Group: wb_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           soc/clknet_0_core_clk (net)
-                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_0_core_clk (net)
-                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_1_core_clk (net)
-                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_2_core_clk (net)
-                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_3_core_clk (net)
-                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_4_core_clk (net)
-                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           soc/clknet_1_1_5_core_clk (net)
-                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_1_1_6_core_clk (net)
-                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_2_3_0_core_clk (net)
-                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_2_3_1_core_clk (net)
-                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_0_core_clk (net)
-                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_1_core_clk (net)
-                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_3_7_2_core_clk (net)
-                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_4_14_0_core_clk (net)
-                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_5_28_0_core_clk (net)
-                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           soc/clknet_5_28_1_core_clk (net)
-                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           soc/clknet_leaf_243_core_clk (net)
-                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.11    0.39    6.87 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
-     1    0.01                           soc/core.grant[0] (net)
-                  0.11    0.00    6.87 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
-                  0.16    0.21    7.08 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
-    10    0.10                           soc/net3544 (net)
-                  0.16    0.00    7.08 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
-                  0.22    0.26    7.34 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
-    14    0.11                           soc/net3546 (net)
-                  0.22    0.02    7.36 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
-                  0.31    0.36    7.72 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
-    17    0.11                           soc/net3545 (net)
-                  0.32    0.02    7.75 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
-                  0.09    0.11    7.86 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
-     1    0.01                           soc/_13629_ (net)
-                  0.09    0.00    7.86 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
-                  0.05    0.16    8.02 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
-     4    0.04                           soc/net3233 (net)
-                  0.05    0.00    8.02 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
-                  0.11    0.18    8.20 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
-    16    0.14                           soc/net3235 (net)
-                  0.12    0.03    8.23 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
-                  0.06    0.19    8.42 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
-    12    0.06                           soc/net3231 (net)
-                  0.06    0.00    8.43 v soc/_14146_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.32    8.75 v soc/_14146_/X (sky130_fd_sc_hd__and2_2)
-    10    0.06                           soc/_13643_ (net)
-                  0.15    0.01    8.75 v soc/_14147_/C1 (sky130_fd_sc_hd__a221oi_4)
-                  0.73    0.63    9.38 ^ soc/_14147_/Y (sky130_fd_sc_hd__a221oi_4)
-     6    0.06                           soc/_13644_ (net)
-                  0.73    0.01    9.38 ^ soc/max_length2753/A (sky130_fd_sc_hd__buf_6)
-                  0.21    0.32    9.71 ^ soc/max_length2753/X (sky130_fd_sc_hd__buf_6)
-     9    0.10                           soc/net2753 (net)
-                  0.21    0.02    9.73 ^ soc/wire2751/A (sky130_fd_sc_hd__buf_4)
-                  0.25    0.32   10.05 ^ soc/wire2751/X (sky130_fd_sc_hd__buf_4)
-     4    0.09                           soc/net2751 (net)
-                  0.25    0.01   10.06 ^ soc/_14148_/A (sky130_fd_sc_hd__inv_12)
-                  0.09    0.09   10.15 v soc/_14148_/Y (sky130_fd_sc_hd__inv_12)
-     4    0.08                           soc/net634 (net)
-                  0.09    0.01   10.17 v soc/wire2404/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.19   10.36 v soc/wire2404/X (sky130_fd_sc_hd__buf_12)
-     7    0.17                           soc/net2404 (net)
-                  0.13    0.04   10.40 v soc/output634/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.16   10.56 v soc/output634/X (sky130_fd_sc_hd__buf_12)
-     3    0.01                           mprj_adr_o_core[2] (net)
-                  0.02    0.00   10.56 v mgmt_buffers/input410/A (sky130_fd_sc_hd__buf_6)
-                  0.04    0.13   10.69 v mgmt_buffers/input410/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mgmt_buffers/net410 (net)
-                  0.04    0.00   10.69 v mgmt_buffers/wire1596/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.16   10.85 v mgmt_buffers/wire1596/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1596 (net)
-                  0.07    0.01   10.86 v mgmt_buffers/wire1595/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.20   11.06 v mgmt_buffers/wire1595/X (sky130_fd_sc_hd__buf_6)
-     2    0.11                           mgmt_buffers/net1595 (net)
-                  0.10    0.01   11.07 v mgmt_buffers/wire1594/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19   11.25 v mgmt_buffers/wire1594/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1594 (net)
-                  0.07    0.01   11.26 v mgmt_buffers/wire1593/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.18   11.44 v mgmt_buffers/wire1593/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1593 (net)
-                  0.07    0.01   11.45 v mgmt_buffers/wire1592/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.17   11.62 v mgmt_buffers/wire1592/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1592 (net)
-                  0.07    0.01   11.63 v mgmt_buffers/_307_/B (sky130_fd_sc_hd__and2_4)
-                  0.06    0.21   11.83 v mgmt_buffers/_307_/X (sky130_fd_sc_hd__and2_4)
-     2    0.03                           mgmt_buffers/net870 (net)
-                  0.06    0.00   11.84 v mgmt_buffers/output870/A (sky130_fd_sc_hd__buf_8)
-                  0.02    0.12   11.96 v mgmt_buffers/output870/X (sky130_fd_sc_hd__buf_8)
-     2    0.00                           mprj_adr_o_user[2] (net)
-                  0.02    0.00   11.96 v mprj/u_wb_host/input53/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.05    0.11   12.06 v mprj/u_wb_host/input53/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_wb_host/net53 (net)
-                  0.05    0.00   12.06 v mprj/u_wb_host/_4056_/A (sky130_fd_sc_hd__or2_1)
-                  0.10    0.29   12.36 v mprj/u_wb_host/_4056_/X (sky130_fd_sc_hd__or2_1)
-     2    0.01                           mprj/u_wb_host/_2076_ (net)
-                  0.10    0.00   12.36 v mprj/u_wb_host/_4057_/B1 (sky130_fd_sc_hd__o21ai_4)
-                  2.08    0.76   13.12 ^ mprj/u_wb_host/_4057_/Y (sky130_fd_sc_hd__o21ai_4)
-    74    0.32                           mprj/u_wb_host/_2077_ (net)
-                  2.08    0.03   13.15 ^ mprj/u_wb_host/_4063_/A (sky130_fd_sc_hd__nand2_8)
-                  0.50    0.42   13.57 v mprj/u_wb_host/_4063_/Y (sky130_fd_sc_hd__nand2_8)
-    36    0.12                           mprj/u_wb_host/_2083_ (net)
-                  0.50    0.01   13.57 v mprj/u_wb_host/_4222_/C (sky130_fd_sc_hd__or3_4)
-                  0.12    0.59   14.17 v mprj/u_wb_host/_4222_/X (sky130_fd_sc_hd__or3_4)
-     4    0.04                           mprj/u_wb_host/_2158_ (net)
-                  0.12    0.00   14.17 v mprj/u_wb_host/_4830_/A (sky130_fd_sc_hd__nor2_4)
-                  0.39    0.38   14.54 ^ mprj/u_wb_host/_4830_/Y (sky130_fd_sc_hd__nor2_4)
-    16    0.06                           mprj/u_wb_host/_2336_ (net)
-                  0.39    0.00   14.55 ^ mprj/u_wb_host/_4832_/S (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.38   14.92 v mprj/u_wb_host/_4832_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0793_ (net)
-                  0.06    0.00   14.92 v mprj/u_wb_host/_6279_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 14.92   data arrival time
-
-                         25.00   25.00   clock wb_clk (rise edge)
-                          0.00   25.00   clock source latency
-                  1.00    0.00   25.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10   29.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00   29.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13   29.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00   29.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14   29.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00   29.51 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   29.65 ^ mprj/u_wb_host/clkbuf_2_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_0_0_wbm_clk_i (net)
-                  0.05    0.00   29.65 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.21    0.24   29.89 ^ mprj/u_wb_host/clkbuf_3_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    16    0.12                           mprj/u_wb_host/clknet_3_0_0_wbm_clk_i (net)
-                  0.21    0.00   29.89 ^ mprj/u_wb_host/clkbuf_leaf_1_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.18   30.07 ^ mprj/u_wb_host/clkbuf_leaf_1_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.03                           mprj/u_wb_host/clknet_leaf_1_wbm_clk_i (net)
-                  0.05    0.00   30.08 ^ mprj/u_wb_host/_6279_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   29.83   clock uncertainty
-                          0.95   30.78   clock reconvergence pessimism
-                         -0.12   30.66   library setup time
-                                 30.66   data required time
------------------------------------------------------------------------------
-                                 30.66   data required time
-                                -14.92   data arrival time
------------------------------------------------------------------------------
-                                 15.74   slack (MET)
-
-
-Startpoint: soc/_31021_ (rising edge-triggered flip-flop clocked by clk)
-Endpoint: mprj/u_wb_host/_6271_
-          (rising edge-triggered flip-flop clocked by wb_clk)
-Path Group: wb_clk
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock clk (rise edge)
-                          0.00    0.00   clock source latency
-                  4.00    0.00    0.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  4.00    0.00    0.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    2.19    2.19 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00    2.19 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.32 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00    2.32 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    2.45 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00    2.45 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.56 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00    2.56 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    2.72 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00    2.72 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    2.87 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00    2.87 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.11    2.98 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00    2.98 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.15    3.14 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00    3.14 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.15    3.28 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00    3.28 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    3.41 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00    3.41 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    3.54 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00    3.54 ^ soc/clkbuf_0_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.15    3.69 ^ soc/clkbuf_0_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           soc/clknet_0_core_clk (net)
-                  0.06    0.00    3.69 ^ soc/clkbuf_1_1_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    3.83 ^ soc/clkbuf_1_1_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_0_core_clk (net)
-                  0.05    0.00    3.83 ^ soc/clkbuf_1_1_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    3.96 ^ soc/clkbuf_1_1_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_1_core_clk (net)
-                  0.05    0.00    3.96 ^ soc/clkbuf_1_1_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14    4.10 ^ soc/clkbuf_1_1_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_2_core_clk (net)
-                  0.05    0.00    4.10 ^ soc/clkbuf_1_1_3_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.24 ^ soc/clkbuf_1_1_3_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_3_core_clk (net)
-                  0.05    0.00    4.24 ^ soc/clkbuf_1_1_4_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.37 ^ soc/clkbuf_1_1_4_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_1_1_4_core_clk (net)
-                  0.05    0.00    4.37 ^ soc/clkbuf_1_1_5_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.49 ^ soc/clkbuf_1_1_5_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           soc/clknet_1_1_5_core_clk (net)
-                  0.03    0.00    4.49 ^ soc/clkbuf_1_1_6_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.16    4.65 ^ soc/clkbuf_1_1_6_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_1_1_6_core_clk (net)
-                  0.09    0.01    4.66 ^ soc/clkbuf_2_3_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    4.81 ^ soc/clkbuf_2_3_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_2_3_0_core_clk (net)
-                  0.05    0.00    4.81 ^ soc/clkbuf_2_3_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.17    4.98 ^ soc/clkbuf_2_3_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.05                           soc/clknet_2_3_1_core_clk (net)
-                  0.09    0.00    4.98 ^ soc/clkbuf_3_7_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    5.13 ^ soc/clkbuf_3_7_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_0_core_clk (net)
-                  0.05    0.00    5.13 ^ soc/clkbuf_3_7_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    5.27 ^ soc/clkbuf_3_7_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_3_7_1_core_clk (net)
-                  0.05    0.00    5.27 ^ soc/clkbuf_3_7_2_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.08    0.16    5.43 ^ soc/clkbuf_3_7_2_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_3_7_2_core_clk (net)
-                  0.08    0.00    5.43 ^ soc/clkbuf_4_14_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.09    0.18    5.61 ^ soc/clkbuf_4_14_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.04                           soc/clknet_4_14_0_core_clk (net)
-                  0.09    0.00    5.61 ^ soc/clkbuf_5_28_0_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.15    5.76 ^ soc/clkbuf_5_28_0_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           soc/clknet_5_28_0_core_clk (net)
-                  0.04    0.00    5.76 ^ soc/clkbuf_5_28_1_core_clk/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.43    0.42    6.18 ^ soc/clkbuf_5_28_1_core_clk/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           soc/clknet_5_28_1_core_clk (net)
-                  0.43    0.02    6.19 ^ soc/clkbuf_leaf_243_core_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.29    6.48 ^ soc/clkbuf_leaf_243_core_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           soc/clknet_leaf_243_core_clk (net)
-                  0.11    0.00    6.48 ^ soc/_31021_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                  0.11    0.39    6.87 ^ soc/_31021_/Q (sky130_fd_sc_hd__dfxtp_1)
-     1    0.01                           soc/core.grant[0] (net)
-                  0.11    0.00    6.87 ^ soc/fanout3544/A (sky130_fd_sc_hd__buf_8)
-                  0.16    0.21    7.08 ^ soc/fanout3544/X (sky130_fd_sc_hd__buf_8)
-    10    0.10                           soc/net3544 (net)
-                  0.16    0.00    7.08 ^ soc/max_length3546/A (sky130_fd_sc_hd__buf_6)
-                  0.22    0.26    7.34 ^ soc/max_length3546/X (sky130_fd_sc_hd__buf_6)
-    14    0.11                           soc/net3546 (net)
-                  0.22    0.02    7.36 ^ soc/max_length3545/A (sky130_fd_sc_hd__buf_4)
-                  0.31    0.36    7.72 ^ soc/max_length3545/X (sky130_fd_sc_hd__buf_4)
-    17    0.11                           soc/net3545 (net)
-                  0.32    0.02    7.75 ^ soc/_14127_/B (sky130_fd_sc_hd__nor2_1)
-                  0.09    0.11    7.86 v soc/_14127_/Y (sky130_fd_sc_hd__nor2_1)
-     1    0.01                           soc/_13629_ (net)
-                  0.09    0.00    7.86 v soc/fanout3233/A (sky130_fd_sc_hd__buf_6)
-                  0.05    0.16    8.02 v soc/fanout3233/X (sky130_fd_sc_hd__buf_6)
-     4    0.04                           soc/net3233 (net)
-                  0.05    0.00    8.02 v soc/wire3235/A (sky130_fd_sc_hd__buf_8)
-                  0.11    0.18    8.20 v soc/wire3235/X (sky130_fd_sc_hd__buf_8)
-    16    0.14                           soc/net3235 (net)
-                  0.12    0.03    8.23 v soc/fanout3231/A (sky130_fd_sc_hd__buf_6)
-                  0.06    0.19    8.42 v soc/fanout3231/X (sky130_fd_sc_hd__buf_6)
-    12    0.06                           soc/net3231 (net)
-                  0.06    0.00    8.43 v soc/_14146_/B (sky130_fd_sc_hd__and2_2)
-                  0.15    0.32    8.75 v soc/_14146_/X (sky130_fd_sc_hd__and2_2)
-    10    0.06                           soc/_13643_ (net)
-                  0.15    0.01    8.75 v soc/_14147_/C1 (sky130_fd_sc_hd__a221oi_4)
-                  0.73    0.63    9.38 ^ soc/_14147_/Y (sky130_fd_sc_hd__a221oi_4)
-     6    0.06                           soc/_13644_ (net)
-                  0.73    0.01    9.38 ^ soc/max_length2753/A (sky130_fd_sc_hd__buf_6)
-                  0.21    0.32    9.71 ^ soc/max_length2753/X (sky130_fd_sc_hd__buf_6)
-     9    0.10                           soc/net2753 (net)
-                  0.21    0.02    9.73 ^ soc/wire2751/A (sky130_fd_sc_hd__buf_4)
-                  0.25    0.32   10.05 ^ soc/wire2751/X (sky130_fd_sc_hd__buf_4)
-     4    0.09                           soc/net2751 (net)
-                  0.25    0.01   10.06 ^ soc/_14148_/A (sky130_fd_sc_hd__inv_12)
-                  0.09    0.09   10.15 v soc/_14148_/Y (sky130_fd_sc_hd__inv_12)
-     4    0.08                           soc/net634 (net)
-                  0.09    0.01   10.17 v soc/wire2404/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.19   10.36 v soc/wire2404/X (sky130_fd_sc_hd__buf_12)
-     7    0.17                           soc/net2404 (net)
-                  0.13    0.04   10.40 v soc/output634/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.16   10.56 v soc/output634/X (sky130_fd_sc_hd__buf_12)
-     3    0.01                           mprj_adr_o_core[2] (net)
-                  0.02    0.00   10.56 v mgmt_buffers/input410/A (sky130_fd_sc_hd__buf_6)
-                  0.04    0.13   10.69 v mgmt_buffers/input410/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mgmt_buffers/net410 (net)
-                  0.04    0.00   10.69 v mgmt_buffers/wire1596/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.16   10.85 v mgmt_buffers/wire1596/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1596 (net)
-                  0.07    0.01   10.86 v mgmt_buffers/wire1595/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.20   11.06 v mgmt_buffers/wire1595/X (sky130_fd_sc_hd__buf_6)
-     2    0.11                           mgmt_buffers/net1595 (net)
-                  0.10    0.01   11.07 v mgmt_buffers/wire1594/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19   11.25 v mgmt_buffers/wire1594/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1594 (net)
-                  0.07    0.01   11.26 v mgmt_buffers/wire1593/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.18   11.44 v mgmt_buffers/wire1593/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mgmt_buffers/net1593 (net)
-                  0.07    0.01   11.45 v mgmt_buffers/wire1592/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.17   11.62 v mgmt_buffers/wire1592/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1592 (net)
-                  0.07    0.01   11.63 v mgmt_buffers/_307_/B (sky130_fd_sc_hd__and2_4)
-                  0.06    0.21   11.83 v mgmt_buffers/_307_/X (sky130_fd_sc_hd__and2_4)
-     2    0.03                           mgmt_buffers/net870 (net)
-                  0.06    0.00   11.84 v mgmt_buffers/output870/A (sky130_fd_sc_hd__buf_8)
-                  0.02    0.12   11.96 v mgmt_buffers/output870/X (sky130_fd_sc_hd__buf_8)
-     2    0.00                           mprj_adr_o_user[2] (net)
-                  0.02    0.00   11.96 v mprj/u_wb_host/input53/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.05    0.11   12.06 v mprj/u_wb_host/input53/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_wb_host/net53 (net)
-                  0.05    0.00   12.06 v mprj/u_wb_host/_4056_/A (sky130_fd_sc_hd__or2_1)
-                  0.10    0.29   12.36 v mprj/u_wb_host/_4056_/X (sky130_fd_sc_hd__or2_1)
-     2    0.01                           mprj/u_wb_host/_2076_ (net)
-                  0.10    0.00   12.36 v mprj/u_wb_host/_4057_/B1 (sky130_fd_sc_hd__o21ai_4)
-                  2.08    0.76   13.12 ^ mprj/u_wb_host/_4057_/Y (sky130_fd_sc_hd__o21ai_4)
-    74    0.32                           mprj/u_wb_host/_2077_ (net)
-                  2.08    0.03   13.15 ^ mprj/u_wb_host/_4063_/A (sky130_fd_sc_hd__nand2_8)
-                  0.50    0.42   13.57 v mprj/u_wb_host/_4063_/Y (sky130_fd_sc_hd__nand2_8)
-    36    0.12                           mprj/u_wb_host/_2083_ (net)
-                  0.50    0.01   13.57 v mprj/u_wb_host/_4222_/C (sky130_fd_sc_hd__or3_4)
-                  0.12    0.59   14.17 v mprj/u_wb_host/_4222_/X (sky130_fd_sc_hd__or3_4)
-     4    0.04                           mprj/u_wb_host/_2158_ (net)
-                  0.12    0.00   14.17 v mprj/u_wb_host/_4821_/A (sky130_fd_sc_hd__nor2_4)
-                  0.43    0.41   14.57 ^ mprj/u_wb_host/_4821_/Y (sky130_fd_sc_hd__nor2_4)
-    16    0.07                           mprj/u_wb_host/_2335_ (net)
-                  0.43    0.00   14.58 ^ mprj/u_wb_host/_4823_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.37   14.95 v mprj/u_wb_host/_4823_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0785_ (net)
-                  0.05    0.00   14.95 v mprj/u_wb_host/_6271_/D (sky130_fd_sc_hd__dfrtp_2)
-                                 14.95   data arrival time
-
-                         25.00   25.00   clock wb_clk (rise edge)
-                          0.00   25.00   clock source latency
-                  1.00    0.00   25.00 ^ clock (in)
-     1    1.12                           clock (net)
-                  1.00    0.00   25.00 ^ padframe/clock_pad/PAD (sky130_ef_io__gpiov2_pad_wrapped)
-     0    1.12                           clock (net)
-                  0.07    1.33   26.33 ^ padframe/clock_pad/IN (sky130_ef_io__gpiov2_pad_wrapped)
-     1    0.00                           clock_core (net)
-                  0.07    0.00   26.33 ^ flash_clkrst_buffers/BUF[2]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   26.46 ^ flash_clkrst_buffers/BUF[2]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           clock_core_buf (net)
-                  0.04    0.00   26.46 ^ clock_ctrl/clkbuf_0_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.12   26.57 ^ clock_ctrl/clkbuf_0_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_ext_clk (net)
-                  0.04    0.00   26.57 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   26.68 ^ clock_ctrl/clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_1__leaf_ext_clk (net)
-                  0.03    0.00   26.68 ^ clock_ctrl/_205_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.15   26.83 ^ clock_ctrl/_205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/_037_ (net)
-                  0.10    0.00   26.83 ^ clock_ctrl/clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14   26.97 ^ clock_ctrl/clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0__037_ (net)
-                  0.04    0.00   26.97 ^ clock_ctrl/clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.03    0.10   27.07 ^ clock_ctrl/clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.00                           clock_ctrl/clknet_1_0__leaf__037_ (net)
-                  0.03    0.00   27.07 ^ clock_ctrl/_206_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.14   27.22 ^ clock_ctrl/_206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           clock_ctrl/net10 (net)
-                  0.09    0.00   27.22 ^ clock_ctrl/clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13   27.35 ^ clock_ctrl/clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           clock_ctrl/clknet_0_net10 (net)
-                  0.04    0.00   27.35 ^ clock_ctrl/clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.12   27.47 ^ clock_ctrl/clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.02                           caravel_clk (net)
-                  0.05    0.00   27.47 ^ flash_clkrst_buffers/BUF[14]/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12   27.59 ^ flash_clkrst_buffers/BUF[14]/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.01                           caravel_clk_buf (net)
-                  0.04    0.00   27.59 ^ soc/input1/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.15   27.74 ^ soc/input1/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           soc/net1 (net)
-                  0.13    0.01   27.76 ^ soc/wire4208/A (sky130_fd_sc_hd__buf_6)
-                  0.17    0.20   27.96 ^ soc/wire4208/X (sky130_fd_sc_hd__buf_6)
-     2    0.08                           soc/net4208 (net)
-                  0.17    0.02   27.98 ^ soc/_32597_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.15    0.18   28.15 ^ soc/_32597_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           soc/net216 (net)
-                  0.15    0.00   28.16 ^ soc/output216/A (sky130_fd_sc_hd__buf_12)
-                  0.02    0.11   28.26 ^ soc/output216/X (sky130_fd_sc_hd__buf_12)
-     2    0.00                           clk_passthru (net)
-                  0.02    0.00   28.26 ^ mgmt_buffers/input1/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.06    0.13   28.39 ^ mgmt_buffers/input1/X (sky130_fd_sc_hd__clkbuf_4)
-     1    0.01                           mgmt_buffers/net1 (net)
-                  0.06    0.00   28.39 ^ mgmt_buffers/_296_/B (sky130_fd_sc_hd__and2_4)
-                  0.13    0.20   28.59 ^ mgmt_buffers/_296_/X (sky130_fd_sc_hd__and2_4)
-     2    0.04                           mgmt_buffers/net955 (net)
-                  0.13    0.00   28.60 ^ mgmt_buffers/wire1455/A (sky130_fd_sc_hd__buf_6)
-                  0.12    0.18   28.77 ^ mgmt_buffers/wire1455/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1455 (net)
-                  0.12    0.01   28.78 ^ mgmt_buffers/wire1454/A (sky130_fd_sc_hd__buf_6)
-                  0.13    0.18   28.96 ^ mgmt_buffers/wire1454/X (sky130_fd_sc_hd__buf_6)
-     2    0.06                           mgmt_buffers/net1454 (net)
-                  0.13    0.01   28.97 ^ mgmt_buffers/wire1453/A (sky130_fd_sc_hd__buf_6)
-                  0.10    0.16   29.13 ^ mgmt_buffers/wire1453/X (sky130_fd_sc_hd__buf_6)
-     2    0.05                           mgmt_buffers/net1453 (net)
-                  0.10    0.01   29.14 ^ mgmt_buffers/output955/A (sky130_fd_sc_hd__buf_8)
-                  0.03    0.10   29.24 ^ mgmt_buffers/output955/X (sky130_fd_sc_hd__buf_8)
-     2    0.01                           mprj_clock (net)
-                  0.03    0.00   29.24 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13   29.37 ^ mprj/u_wb_host/clkbuf_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_wb_host/clknet_0_wbm_clk_i (net)
-                  0.05    0.00   29.37 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.14   29.51 ^ mprj/u_wb_host/clkbuf_1_0_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_wb_host/clknet_1_0_0_wbm_clk_i (net)
-                  0.07    0.00   29.51 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14   29.65 ^ mprj/u_wb_host/clkbuf_2_1_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     2    0.02                           mprj/u_wb_host/clknet_2_1_0_wbm_clk_i (net)
-                  0.06    0.00   29.65 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.22    0.25   29.90 ^ mprj/u_wb_host/clkbuf_3_2_0_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    18    0.13                           mprj/u_wb_host/clknet_3_2_0_wbm_clk_i (net)
-                  0.22    0.00   29.90 ^ mprj/u_wb_host/clkbuf_leaf_11_wbm_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.20   30.10 ^ mprj/u_wb_host/clkbuf_leaf_11_wbm_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    13    0.05                           mprj/u_wb_host/clknet_leaf_11_wbm_clk_i (net)
-                  0.07    0.00   30.10 ^ mprj/u_wb_host/_6271_/CLK (sky130_fd_sc_hd__dfrtp_2)
-                         -0.25   29.85   clock uncertainty
-                          0.95   30.80   clock reconvergence pessimism
-                         -0.11   30.69   library setup time
-                                 30.69   data required time
------------------------------------------------------------------------------
-                                 30.69   data required time
-                                -14.95   data arrival time
------------------------------------------------------------------------------
-                                 15.74   slack (MET)
-
-
-Startpoint: mprj/u_sram0_2kb
-            (falling edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist0/_4304_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          5.00    5.00   clock wbs_clk_i (fall edge)
-                          0.00    5.00   clock source latency
-                  0.32    0.00    5.00 v mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.32    0.00    5.00 v mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.13    0.35    5.35 v mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     2    0.03                           mprj/u_intercon/net2 (net)
-                  0.13    0.00    5.36 v mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.03    0.13    5.49 v mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net319 (net)
-                  0.03    0.00    5.49 v mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
-                  0.08    0.17    5.66 v mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
-                  0.08    0.00    5.66 v mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.14    5.80 v mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net198 (net)
-                  0.06    0.00    5.80 v mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.04    0.11    5.91 v mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
-                  0.04    0.00    5.91 v mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.04    0.10    6.01 v mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
-                  0.04    0.00    6.01 v mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.12    6.12 v mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
-                  0.06    0.00    6.12 v mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.04    0.11    6.23 v mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
-                  0.04    0.00    6.23 v mprj/u_mbist0/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.04    0.10    6.33 v mprj/u_mbist0/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d5 (net)
-                  0.04    0.00    6.33 v mprj/u_mbist0/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.04    0.10    6.43 v mprj/u_mbist0/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d6 (net)
-                  0.04    0.00    6.43 v mprj/u_mbist0/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.04    0.10    6.53 v mprj/u_mbist0/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d7 (net)
-                  0.04    0.00    6.53 v mprj/u_mbist0/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.29    6.82 v mprj/u_mbist0/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d03 (net)
-                  0.05    0.00    6.82 v mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.30    7.11 v mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
-                  0.05    0.00    7.11 v mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.32    7.44 v mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
-                  0.07    0.00    7.44 v mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.31    7.74 v mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/net427 (net)
-                  0.06    0.00    7.74 v mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
-                  0.51    0.50    8.24 v mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist0_skew (net)
-                  0.52    0.04    8.28 v mprj/u_mbist0/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.35    8.63 v mprj/u_mbist0/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_mbist0/clknet_0_wb_clk2_i (net)
-                  0.04    0.00    8.63 v mprj/u_mbist0/clkbuf_1_0__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.19    8.82 v mprj/u_mbist0/clkbuf_1_0__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.09                           mprj/u_mbist0/clknet_1_0__leaf_wb_clk2_i (net)
-                  0.08    0.00    8.83 v mprj/u_mbist0/u_mbist.mem_no[0].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
-                  0.09    0.37    9.20 v mprj/u_mbist0/u_mbist.mem_no[0].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-     2    0.05                           mprj/u_mbist0/u_mbist.mem_no[0].u_mem_sel.mem_clk (net)
-                  0.09    0.00    9.20 v mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[0].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.21    9.41 v mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[0].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    16    0.08                           mprj/u_mbist0/clknet_0_u_mbist.mem_no[0].u_mem_sel.mem_clk (net)
-                  0.07    0.00    9.41 v mprj/u_mbist0/clkbuf_3_4__f_u_mbist.mem_no[0].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.17    9.58 v mprj/u_mbist0/clkbuf_3_4__f_u_mbist.mem_no[0].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     6    0.02                           mprj/u_mbist0/clknet_3_4__leaf_u_mbist.mem_no[0].u_mem_sel.mem_clk (net)
-                  0.04    0.00    9.58 v mprj/u_mbist0/_4686_/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.13    9.71 v mprj/u_mbist0/_4686_/X (sky130_fd_sc_hd__buf_2)
-     1    0.01                           mprj/u_mbist0/net239 (net)
-                  0.03    0.00    9.71 v mprj/u_mbist0/output239/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.35    0.33   10.04 v mprj/u_mbist0/output239/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.06                           mprj/mem_clk_a[0] (net)
-                  0.47    0.01   10.06 v mprj/u_sram0_2kb/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8)
-                  0.03    0.71   10.76 ^ mprj/u_sram0_2kb/dout0[0] (sky130_sram_2kbyte_1rw1r_32x512_8)
-     2    0.05                           mprj/mem0_dout_a[0] (net)
-                  0.02    0.01   10.77 ^ mprj/u_mbist0/input5/A (sky130_fd_sc_hd__buf_12)
-                  0.07    0.12   10.89 ^ mprj/u_mbist0/input5/X (sky130_fd_sc_hd__buf_12)
-     2    0.06                           mprj/u_mbist0/net5 (net)
-                  0.08    0.01   10.90 ^ mprj/u_mbist0/wire2008/A (sky130_fd_sc_hd__buf_12)
-                  0.13    0.16   11.07 ^ mprj/u_mbist0/wire2008/X (sky130_fd_sc_hd__buf_12)
-     6    0.11                           mprj/u_mbist0/net2008 (net)
-                  0.15    0.03   11.10 ^ mprj/u_mbist0/_2923_/A1_N (sky130_fd_sc_hd__a2bb2o_4)
-                  0.03    0.23   11.33 v mprj/u_mbist0/_2923_/X (sky130_fd_sc_hd__a2bb2o_4)
-     1    0.00                           mprj/u_mbist0/_1417_ (net)
-                  0.03    0.00   11.33 v mprj/u_mbist0/_2926_/B (sky130_fd_sc_hd__or4_1)
-                  0.10    0.53   11.86 v mprj/u_mbist0/_2926_/X (sky130_fd_sc_hd__or4_1)
-     1    0.00                           mprj/u_mbist0/_1420_ (net)
-                  0.10    0.00   11.86 v mprj/u_mbist0/_2930_/A (sky130_fd_sc_hd__or4_1)
-                  0.08    0.55   12.41 v mprj/u_mbist0/_2930_/X (sky130_fd_sc_hd__or4_1)
-     1    0.00                           mprj/u_mbist0/_1424_ (net)
-                  0.08    0.00   12.41 v mprj/u_mbist0/_2935_/B (sky130_fd_sc_hd__or4_4)
-                  0.09    0.56   12.97 v mprj/u_mbist0/_2935_/X (sky130_fd_sc_hd__or4_4)
-     1    0.01                           mprj/u_mbist0/_1429_ (net)
-                  0.09    0.00   12.97 v mprj/u_mbist0/_2936_/D1 (sky130_fd_sc_hd__a2111o_2)
-                  0.15    0.50   13.47 v mprj/u_mbist0/_2936_/X (sky130_fd_sc_hd__a2111o_2)
-     2    0.04                           mprj/u_mbist0/_1430_ (net)
-                  0.15    0.00   13.47 v mprj/u_mbist0/_2937_/A2 (sky130_fd_sc_hd__o21ba_1)
-                  0.04    0.22   13.69 v mprj/u_mbist0/_2937_/X (sky130_fd_sc_hd__o21ba_1)
-     1    0.00                           mprj/u_mbist0/_0009_ (net)
-                  0.04    0.00   13.69 v mprj/u_mbist0/_4304_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 13.69   data arrival time
-
-                         10.00   10.00   clock wbs_clk_i (rise edge)
-                          0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.27    0.33   10.33 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     2    0.03                           mprj/u_intercon/net2 (net)
-                  0.27    0.00   10.34 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.12   10.46 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net319 (net)
-                  0.06    0.00   10.46 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
-                  0.15    0.18   10.64 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
-                  0.15    0.00   10.64 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.15   10.79 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net198 (net)
-                  0.12    0.00   10.79 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12   10.91 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00   10.91 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.01 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00   11.02 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.13   11.15 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00   11.15 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.26 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00   11.26 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.37 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00   11.37 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00   11.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.58 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00   11.58 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12   11.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d03 (net)
-                  0.04    0.00   11.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11   11.81 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
-                  0.04    0.00   11.81 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   11.94 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
-                  0.07    0.00   11.94 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   12.07 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/net427 (net)
-                  0.05    0.00   12.07 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.80   12.87 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist0_skew (net)
-                  1.11    0.05   12.91 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31   13.23 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
-                  0.08    0.00   13.23 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   13.37 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_0_0_wb_clk_i (net)
-                  0.05    0.00   13.37 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13   13.49 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_0_1_wb_clk_i (net)
-                  0.05    0.00   13.49 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.11   13.61 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist0/clknet_1_0_2_wb_clk_i (net)
-                  0.03    0.00   13.61 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.37    0.33   13.94 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.22                           mprj/u_mbist0/clknet_1_0_3_wb_clk_i (net)
-                  0.37    0.02   13.96 ^ mprj/u_mbist0/clkbuf_leaf_6_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.26   14.23 ^ mprj/u_mbist0/clkbuf_leaf_6_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_mbist0/clknet_leaf_6_wb_clk_i (net)
-                  0.11    0.00   14.23 ^ mprj/u_mbist0/_4304_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   13.98   clock uncertainty
-                          0.22   14.20   clock reconvergence pessimism
-                         -0.10   14.11   library setup time
-                                 14.11   data required time
------------------------------------------------------------------------------
-                                 14.11   data required time
-                                -13.69   data arrival time
------------------------------------------------------------------------------
-                                  0.42   slack (MET)
-
-
-Startpoint: mprj/u_sram4_2kb
-            (falling edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist1/_4304_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          5.00    5.00   clock wbs_clk_i (fall edge)
-                          0.00    5.00   clock source latency
-                  0.32    0.00    5.00 v mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.32    0.00    5.00 v mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.29    5.30 v mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.07    0.00    5.30 v mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.09    0.19    5.49 v mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
-     2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.09    0.01    5.50 v mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.18    5.68 v mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.08    0.02    5.70 v mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.04    0.12    5.82 v mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net320 (net)
-                  0.04    0.00    5.82 v mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.06    0.16    5.97 v mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
-     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.06    0.00    5.97 v mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.13    6.10 v mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.06    0.00    6.10 v mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.04    0.11    6.21 v mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.04    0.00    6.21 v mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.04    0.10    6.31 v mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.04    0.00    6.31 v mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.12    6.42 v mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.06    0.00    6.42 v mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.04    0.11    6.53 v mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.04    0.00    6.53 v mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.04    0.10    6.63 v mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.04    0.00    6.63 v mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.04    0.10    6.73 v mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.04    0.00    6.73 v mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.04    0.10    6.83 v mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.04    0.00    6.83 v mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.29    7.12 v mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.05    0.00    7.12 v mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.30    7.42 v mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.05    0.00    7.42 v mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.32    7.74 v mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00    7.74 v mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.31    8.05 v mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.06    0.00    8.05 v mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  0.51    0.50    8.54 v mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  0.52    0.04    8.58 v mprj/u_mbist1/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.35    8.94 v mprj/u_mbist1/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_mbist1/clknet_0_wb_clk2_i (net)
-                  0.04    0.00    8.94 v mprj/u_mbist1/clkbuf_1_0__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.19    9.13 v mprj/u_mbist1/clkbuf_1_0__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.09                           mprj/u_mbist1/clknet_1_0__leaf_wb_clk2_i (net)
-                  0.08    0.00    9.13 v mprj/u_mbist1/u_mbist.mem_no[0].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
-                  0.09    0.37    9.50 v mprj/u_mbist1/u_mbist.mem_no[0].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-     2    0.05                           mprj/u_mbist1/u_mbist.mem_no[0].u_mem_sel.mem_clk (net)
-                  0.09    0.00    9.51 v mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[0].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.21    9.72 v mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[0].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    16    0.08                           mprj/u_mbist1/clknet_0_u_mbist.mem_no[0].u_mem_sel.mem_clk (net)
-                  0.07    0.00    9.72 v mprj/u_mbist1/clkbuf_3_4__f_u_mbist.mem_no[0].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.17    9.89 v mprj/u_mbist1/clkbuf_3_4__f_u_mbist.mem_no[0].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     6    0.02                           mprj/u_mbist1/clknet_3_4__leaf_u_mbist.mem_no[0].u_mem_sel.mem_clk (net)
-                  0.04    0.00    9.89 v mprj/u_mbist1/_4686_/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.13   10.01 v mprj/u_mbist1/_4686_/X (sky130_fd_sc_hd__buf_2)
-     1    0.01                           mprj/u_mbist1/net239 (net)
-                  0.03    0.00   10.01 v mprj/u_mbist1/output239/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.36    0.34   10.35 v mprj/u_mbist1/output239/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.06                           mprj/mem_clk_a[4] (net)
-                  0.49    0.01   10.37 v mprj/u_sram4_2kb/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8)
-                  0.03    0.67   11.04 ^ mprj/u_sram4_2kb/dout0[0] (sky130_sram_2kbyte_1rw1r_32x512_8)
-     2    0.05                           mprj/mem4_dout_a[0] (net)
-                  0.02    0.01   11.04 ^ mprj/u_mbist1/input5/A (sky130_fd_sc_hd__buf_12)
-                  0.07    0.12   11.16 ^ mprj/u_mbist1/input5/X (sky130_fd_sc_hd__buf_12)
-     2    0.06                           mprj/u_mbist1/net5 (net)
-                  0.08    0.01   11.17 ^ mprj/u_mbist1/wire2008/A (sky130_fd_sc_hd__buf_12)
-                  0.13    0.16   11.34 ^ mprj/u_mbist1/wire2008/X (sky130_fd_sc_hd__buf_12)
-     6    0.11                           mprj/u_mbist1/net2008 (net)
-                  0.15    0.03   11.37 ^ mprj/u_mbist1/_2923_/A1_N (sky130_fd_sc_hd__a2bb2o_4)
-                  0.03    0.23   11.60 v mprj/u_mbist1/_2923_/X (sky130_fd_sc_hd__a2bb2o_4)
-     1    0.00                           mprj/u_mbist1/_1417_ (net)
-                  0.03    0.00   11.60 v mprj/u_mbist1/_2926_/B (sky130_fd_sc_hd__or4_1)
-                  0.10    0.53   12.13 v mprj/u_mbist1/_2926_/X (sky130_fd_sc_hd__or4_1)
-     1    0.00                           mprj/u_mbist1/_1420_ (net)
-                  0.10    0.00   12.13 v mprj/u_mbist1/_2930_/A (sky130_fd_sc_hd__or4_1)
-                  0.08    0.55   12.68 v mprj/u_mbist1/_2930_/X (sky130_fd_sc_hd__or4_1)
-     1    0.00                           mprj/u_mbist1/_1424_ (net)
-                  0.08    0.00   12.68 v mprj/u_mbist1/_2935_/B (sky130_fd_sc_hd__or4_4)
-                  0.09    0.56   13.24 v mprj/u_mbist1/_2935_/X (sky130_fd_sc_hd__or4_4)
-     1    0.01                           mprj/u_mbist1/_1429_ (net)
-                  0.09    0.00   13.24 v mprj/u_mbist1/_2936_/D1 (sky130_fd_sc_hd__a2111o_2)
-                  0.15    0.50   13.74 v mprj/u_mbist1/_2936_/X (sky130_fd_sc_hd__a2111o_2)
-     2    0.04                           mprj/u_mbist1/_1430_ (net)
-                  0.15    0.00   13.74 v mprj/u_mbist1/_2937_/A2 (sky130_fd_sc_hd__o21ba_1)
-                  0.04    0.22   13.96 v mprj/u_mbist1/_2937_/X (sky130_fd_sc_hd__o21ba_1)
-     1    0.00                           mprj/u_mbist1/_0009_ (net)
-                  0.04    0.00   13.96 v mprj/u_mbist1/_4304_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 13.96   data arrival time
-
-                         10.00   10.00   clock wbs_clk_i (rise edge)
-                          0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.27   10.27 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00   10.27 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.22   10.49 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
-     2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01   10.50 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.20   10.70 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02   10.72 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12   10.84 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00   10.84 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.15   10.99 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
-     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00   10.99 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14   11.14 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00   11.14 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12   11.25 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00   11.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.36 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00   11.36 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.13   11.49 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00   11.49 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.60 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00   11.60 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.71 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00   11.71 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.82 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00   11.82 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.92 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00   11.92 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12   12.04 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00   12.04 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11   12.15 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00   12.15 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   12.28 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00   12.28 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   12.41 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00   12.41 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.80   13.21 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05   13.26 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31   13.57 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00   13.57 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   13.71 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_0_0_wb_clk_i (net)
-                  0.05    0.00   13.71 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13   13.84 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_0_1_wb_clk_i (net)
-                  0.05    0.00   13.84 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.11   13.95 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist1/clknet_1_0_2_wb_clk_i (net)
-                  0.03    0.00   13.95 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.37    0.33   14.28 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    22    0.22                           mprj/u_mbist1/clknet_1_0_3_wb_clk_i (net)
-                  0.37    0.02   14.31 ^ mprj/u_mbist1/clkbuf_leaf_6_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.26   14.57 ^ mprj/u_mbist1/clkbuf_leaf_6_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_mbist1/clknet_leaf_6_wb_clk_i (net)
-                  0.11    0.00   14.57 ^ mprj/u_mbist1/_4304_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   14.32   clock uncertainty
-                          0.25   14.57   clock reconvergence pessimism
-                         -0.10   14.48   library setup time
-                                 14.48   data required time
------------------------------------------------------------------------------
-                                 14.48   data required time
-                                -13.96   data arrival time
------------------------------------------------------------------------------
-                                  0.52   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5495_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_wb_host/_5810_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.49    0.49 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01    0.50 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.16    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.13    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.15    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.13    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.76 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00    1.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.29    2.45 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00    2.45 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.26    2.71 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00    2.71 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.22    2.93 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00    2.93 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.18    3.11 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    20    0.06                           mprj/u_wb_host/clknet_3_5__leaf_wbs_clk_i (net)
-                  0.08    0.00    3.11 ^ mprj/u_wb_host/_5495_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  1.59    1.54    4.66 ^ mprj/u_wb_host/_5495_/Q (sky130_fd_sc_hd__dfrtp_4)
-   150    0.58                           mprj/u_wb_host/u_async_wb.u_cmd_if.rd_ptr[1] (net)
-                  1.59    0.01    4.67 ^ mprj/u_wb_host/_2907_/A (sky130_fd_sc_hd__xnor2_1)
-                  0.23    0.24    4.90 v mprj/u_wb_host/_2907_/Y (sky130_fd_sc_hd__xnor2_1)
-     2    0.01                           mprj/u_wb_host/_1260_ (net)
-                  0.23    0.00    4.90 v mprj/u_wb_host/_2909_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.37    5.28 v mprj/u_wb_host/_2909_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_1262_ (net)
-                  0.05    0.00    5.28 v mprj/u_wb_host/_2910_/D (sky130_fd_sc_hd__or4_4)
-                  0.59    0.87    6.14 v mprj/u_wb_host/_2910_/X (sky130_fd_sc_hd__or4_4)
-   142    0.42                           mprj/u_wb_host/_1263_ (net)
-                  0.64    0.13    6.27 v mprj/u_wb_host/_2951_/A2 (sky130_fd_sc_hd__a31o_1)
-                  0.16    0.56    6.83 v mprj/u_wb_host/_2951_/X (sky130_fd_sc_hd__a31o_1)
-     2    0.03                           mprj/u_wb_host/net262 (net)
-                  0.16    0.00    6.83 v mprj/u_wb_host/output262/A (sky130_fd_sc_hd__buf_2)
-                  0.11    0.26    7.09 v mprj/u_wb_host/output262/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_int_adr_i[12] (net)
-                  0.11    0.00    7.10 v mprj/u_intercon/input7/A (sky130_fd_sc_hd__buf_12)
-                  0.04    0.18    7.27 v mprj/u_intercon/input7/X (sky130_fd_sc_hd__buf_12)
-     4    0.05                           mprj/u_intercon/net7 (net)
-                  0.04    0.00    7.28 v mprj/u_intercon/_1782_/A (sky130_fd_sc_hd__or4_4)
-                  0.11    0.59    7.87 v mprj/u_intercon/_1782_/X (sky130_fd_sc_hd__or4_4)
-     3    0.02                           mprj/u_intercon/_0982_ (net)
-                  0.11    0.00    7.87 v mprj/u_intercon/_1784_/A3 (sky130_fd_sc_hd__o31a_1)
-                  0.09    0.31    8.18 v mprj/u_intercon/_1784_/X (sky130_fd_sc_hd__o31a_1)
-     4    0.01                           mprj/u_intercon/_0984_ (net)
-                  0.09    0.00    8.18 v mprj/u_intercon/_2009_/A_N (sky130_fd_sc_hd__and2b_4)
-                  0.29    0.45    8.63 ^ mprj/u_intercon/_2009_/X (sky130_fd_sc_hd__and2b_4)
-     6    0.09                           mprj/u_intercon/_1131_ (net)
-                  0.29    0.01    8.65 ^ mprj/u_intercon/fanout772/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.23    0.37    9.01 ^ mprj/u_intercon/fanout772/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.08                           mprj/u_intercon/net772 (net)
-                  0.23    0.00    9.02 ^ mprj/u_intercon/_2010_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.06    0.17    9.19 ^ mprj/u_intercon/_2010_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_intercon/_1132_ (net)
-                  0.06    0.00    9.19 ^ mprj/u_intercon/_2011_/A0 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    9.33 ^ mprj/u_intercon/_2011_/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_intercon/_1133_ (net)
-                  0.04    0.00    9.33 ^ mprj/u_intercon/_2012_/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.28    0.33    9.66 ^ mprj/u_intercon/_2012_/X (sky130_fd_sc_hd__mux2_4)
-     2    0.10                           mprj/u_intercon/net322 (net)
-                  0.28    0.02    9.68 ^ mprj/u_intercon/output322/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19    9.87 ^ mprj/u_intercon/output322/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mprj/wbd_int_ack_o (net)
-                  0.07    0.00    9.87 ^ mprj/u_wb_host/input101/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.16   10.03 ^ mprj/u_wb_host/input101/X (sky130_fd_sc_hd__buf_12)
-    12    0.08                           mprj/u_wb_host/net101 (net)
-                  0.10    0.01   10.04 ^ mprj/u_wb_host/_2728_/A (sky130_fd_sc_hd__inv_8)
-                  0.04    0.06   10.10 v mprj/u_wb_host/_2728_/Y (sky130_fd_sc_hd__inv_8)
-     8    0.04                           mprj/u_wb_host/_1144_ (net)
-                  0.04    0.00   10.10 v mprj/u_wb_host/_3131_/C1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.26   10.36 v mprj/u_wb_host/_3131_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_wb_host/_1399_ (net)
-                  0.05    0.00   10.36 v mprj/u_wb_host/_3132_/A_N (sky130_fd_sc_hd__and4bb_4)
-                  0.17    0.40   10.76 ^ mprj/u_wb_host/_3132_/X (sky130_fd_sc_hd__and4bb_4)
-     5    0.04                           mprj/u_wb_host/_1400_ (net)
-                  0.17    0.00   10.76 ^ mprj/u_wb_host/_3133_/A (sky130_fd_sc_hd__clkinv_2)
-                  0.09    0.13   10.89 v mprj/u_wb_host/_3133_/Y (sky130_fd_sc_hd__clkinv_2)
-     2    0.02                           mprj/u_wb_host/_1401_ (net)
-                  0.09    0.00   10.89 v mprj/u_wb_host/_3135_/B (sky130_fd_sc_hd__nor2_8)
-                  0.97    0.74   11.63 ^ mprj/u_wb_host/_3135_/Y (sky130_fd_sc_hd__nor2_8)
-    72    0.28                           mprj/u_wb_host/_1403_ (net)
-                  0.97    0.05   11.68 ^ mprj/u_wb_host/_4198_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.39   12.08 v mprj/u_wb_host/_4198_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0340_ (net)
-                  0.05    0.00   12.08 v mprj/u_wb_host/_5810_/D (sky130_fd_sc_hd__dfxtp_1)
-                                 12.08   data arrival time
-
-                         10.00   10.00   clock wbs_clk_i (rise edge)
-                          0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.45   10.46 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01   10.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.15   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.14   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.12   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.63 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00   11.63 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.27   12.27 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00   12.27 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.24   12.51 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00   12.51 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.20   12.72 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00   12.72 ^ mprj/u_wb_host/clkbuf_3_3__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14   12.86 ^ mprj/u_wb_host/clkbuf_3_3__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     7    0.02                           mprj/u_wb_host/clknet_3_3__leaf_wbs_clk_i (net)
-                  0.04    0.00   12.86 ^ mprj/u_wb_host/_5810_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                         -0.25   12.61   clock uncertainty
-                          0.21   12.82   clock reconvergence pessimism
-                         -0.11   12.71   library setup time
-                                 12.71   data required time
------------------------------------------------------------------------------
-                                 12.71   data required time
-                                -12.08   data arrival time
------------------------------------------------------------------------------
-                                  0.63   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5495_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_wb_host/_5818_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.49    0.49 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01    0.50 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.16    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.13    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.15    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.13    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.76 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00    1.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.29    2.45 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00    2.45 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.26    2.71 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00    2.71 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.22    2.93 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00    2.93 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.18    3.11 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    20    0.06                           mprj/u_wb_host/clknet_3_5__leaf_wbs_clk_i (net)
-                  0.08    0.00    3.11 ^ mprj/u_wb_host/_5495_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  1.59    1.54    4.66 ^ mprj/u_wb_host/_5495_/Q (sky130_fd_sc_hd__dfrtp_4)
-   150    0.58                           mprj/u_wb_host/u_async_wb.u_cmd_if.rd_ptr[1] (net)
-                  1.59    0.01    4.67 ^ mprj/u_wb_host/_2907_/A (sky130_fd_sc_hd__xnor2_1)
-                  0.23    0.24    4.90 v mprj/u_wb_host/_2907_/Y (sky130_fd_sc_hd__xnor2_1)
-     2    0.01                           mprj/u_wb_host/_1260_ (net)
-                  0.23    0.00    4.90 v mprj/u_wb_host/_2909_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.37    5.28 v mprj/u_wb_host/_2909_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_1262_ (net)
-                  0.05    0.00    5.28 v mprj/u_wb_host/_2910_/D (sky130_fd_sc_hd__or4_4)
-                  0.59    0.87    6.14 v mprj/u_wb_host/_2910_/X (sky130_fd_sc_hd__or4_4)
-   142    0.42                           mprj/u_wb_host/_1263_ (net)
-                  0.64    0.13    6.27 v mprj/u_wb_host/_2951_/A2 (sky130_fd_sc_hd__a31o_1)
-                  0.16    0.56    6.83 v mprj/u_wb_host/_2951_/X (sky130_fd_sc_hd__a31o_1)
-     2    0.03                           mprj/u_wb_host/net262 (net)
-                  0.16    0.00    6.83 v mprj/u_wb_host/output262/A (sky130_fd_sc_hd__buf_2)
-                  0.11    0.26    7.09 v mprj/u_wb_host/output262/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_int_adr_i[12] (net)
-                  0.11    0.00    7.10 v mprj/u_intercon/input7/A (sky130_fd_sc_hd__buf_12)
-                  0.04    0.18    7.27 v mprj/u_intercon/input7/X (sky130_fd_sc_hd__buf_12)
-     4    0.05                           mprj/u_intercon/net7 (net)
-                  0.04    0.00    7.28 v mprj/u_intercon/_1782_/A (sky130_fd_sc_hd__or4_4)
-                  0.11    0.59    7.87 v mprj/u_intercon/_1782_/X (sky130_fd_sc_hd__or4_4)
-     3    0.02                           mprj/u_intercon/_0982_ (net)
-                  0.11    0.00    7.87 v mprj/u_intercon/_1784_/A3 (sky130_fd_sc_hd__o31a_1)
-                  0.09    0.31    8.18 v mprj/u_intercon/_1784_/X (sky130_fd_sc_hd__o31a_1)
-     4    0.01                           mprj/u_intercon/_0984_ (net)
-                  0.09    0.00    8.18 v mprj/u_intercon/_2009_/A_N (sky130_fd_sc_hd__and2b_4)
-                  0.29    0.45    8.63 ^ mprj/u_intercon/_2009_/X (sky130_fd_sc_hd__and2b_4)
-     6    0.09                           mprj/u_intercon/_1131_ (net)
-                  0.29    0.01    8.65 ^ mprj/u_intercon/fanout772/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.23    0.37    9.01 ^ mprj/u_intercon/fanout772/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.08                           mprj/u_intercon/net772 (net)
-                  0.23    0.00    9.02 ^ mprj/u_intercon/_2010_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.06    0.17    9.19 ^ mprj/u_intercon/_2010_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_intercon/_1132_ (net)
-                  0.06    0.00    9.19 ^ mprj/u_intercon/_2011_/A0 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    9.33 ^ mprj/u_intercon/_2011_/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_intercon/_1133_ (net)
-                  0.04    0.00    9.33 ^ mprj/u_intercon/_2012_/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.28    0.33    9.66 ^ mprj/u_intercon/_2012_/X (sky130_fd_sc_hd__mux2_4)
-     2    0.10                           mprj/u_intercon/net322 (net)
-                  0.28    0.02    9.68 ^ mprj/u_intercon/output322/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19    9.87 ^ mprj/u_intercon/output322/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mprj/wbd_int_ack_o (net)
-                  0.07    0.00    9.87 ^ mprj/u_wb_host/input101/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.16   10.03 ^ mprj/u_wb_host/input101/X (sky130_fd_sc_hd__buf_12)
-    12    0.08                           mprj/u_wb_host/net101 (net)
-                  0.10    0.01   10.04 ^ mprj/u_wb_host/_2728_/A (sky130_fd_sc_hd__inv_8)
-                  0.04    0.06   10.10 v mprj/u_wb_host/_2728_/Y (sky130_fd_sc_hd__inv_8)
-     8    0.04                           mprj/u_wb_host/_1144_ (net)
-                  0.04    0.00   10.10 v mprj/u_wb_host/_3131_/C1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.26   10.36 v mprj/u_wb_host/_3131_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_wb_host/_1399_ (net)
-                  0.05    0.00   10.36 v mprj/u_wb_host/_3132_/A_N (sky130_fd_sc_hd__and4bb_4)
-                  0.17    0.40   10.76 ^ mprj/u_wb_host/_3132_/X (sky130_fd_sc_hd__and4bb_4)
-     5    0.04                           mprj/u_wb_host/_1400_ (net)
-                  0.17    0.00   10.76 ^ mprj/u_wb_host/_3133_/A (sky130_fd_sc_hd__clkinv_2)
-                  0.09    0.13   10.89 v mprj/u_wb_host/_3133_/Y (sky130_fd_sc_hd__clkinv_2)
-     2    0.02                           mprj/u_wb_host/_1401_ (net)
-                  0.09    0.00   10.89 v mprj/u_wb_host/_3135_/B (sky130_fd_sc_hd__nor2_8)
-                  0.97    0.74   11.63 ^ mprj/u_wb_host/_3135_/Y (sky130_fd_sc_hd__nor2_8)
-    72    0.28                           mprj/u_wb_host/_1403_ (net)
-                  0.97    0.04   11.67 ^ mprj/u_wb_host/_4206_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.40   12.07 v mprj/u_wb_host/_4206_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0348_ (net)
-                  0.05    0.00   12.07 v mprj/u_wb_host/_5818_/D (sky130_fd_sc_hd__dfxtp_1)
-                                 12.07   data arrival time
-
-                         10.00   10.00   clock wbs_clk_i (rise edge)
-                          0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.45   10.46 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01   10.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.15   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.14   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.12   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.63 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00   11.63 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.27   12.27 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00   12.27 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.24   12.51 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00   12.51 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.20   12.72 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00   12.72 ^ mprj/u_wb_host/clkbuf_3_3__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14   12.86 ^ mprj/u_wb_host/clkbuf_3_3__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     7    0.02                           mprj/u_wb_host/clknet_3_3__leaf_wbs_clk_i (net)
-                  0.04    0.00   12.86 ^ mprj/u_wb_host/_5818_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                         -0.25   12.61   clock uncertainty
-                          0.21   12.82   clock reconvergence pessimism
-                         -0.12   12.71   library setup time
-                                 12.71   data required time
------------------------------------------------------------------------------
-                                 12.71   data required time
-                                -12.07   data arrival time
------------------------------------------------------------------------------
-                                  0.64   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5495_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_wb_host/_5806_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.49    0.49 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01    0.50 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.16    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.13    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.15    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.13    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.76 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00    1.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.29    2.45 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00    2.45 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.26    2.71 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00    2.71 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.22    2.93 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00    2.93 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.18    3.11 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    20    0.06                           mprj/u_wb_host/clknet_3_5__leaf_wbs_clk_i (net)
-                  0.08    0.00    3.11 ^ mprj/u_wb_host/_5495_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  1.59    1.54    4.66 ^ mprj/u_wb_host/_5495_/Q (sky130_fd_sc_hd__dfrtp_4)
-   150    0.58                           mprj/u_wb_host/u_async_wb.u_cmd_if.rd_ptr[1] (net)
-                  1.59    0.01    4.67 ^ mprj/u_wb_host/_2907_/A (sky130_fd_sc_hd__xnor2_1)
-                  0.23    0.24    4.90 v mprj/u_wb_host/_2907_/Y (sky130_fd_sc_hd__xnor2_1)
-     2    0.01                           mprj/u_wb_host/_1260_ (net)
-                  0.23    0.00    4.90 v mprj/u_wb_host/_2909_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.37    5.28 v mprj/u_wb_host/_2909_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_1262_ (net)
-                  0.05    0.00    5.28 v mprj/u_wb_host/_2910_/D (sky130_fd_sc_hd__or4_4)
-                  0.59    0.87    6.14 v mprj/u_wb_host/_2910_/X (sky130_fd_sc_hd__or4_4)
-   142    0.42                           mprj/u_wb_host/_1263_ (net)
-                  0.64    0.13    6.27 v mprj/u_wb_host/_2951_/A2 (sky130_fd_sc_hd__a31o_1)
-                  0.16    0.56    6.83 v mprj/u_wb_host/_2951_/X (sky130_fd_sc_hd__a31o_1)
-     2    0.03                           mprj/u_wb_host/net262 (net)
-                  0.16    0.00    6.83 v mprj/u_wb_host/output262/A (sky130_fd_sc_hd__buf_2)
-                  0.11    0.26    7.09 v mprj/u_wb_host/output262/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_int_adr_i[12] (net)
-                  0.11    0.00    7.10 v mprj/u_intercon/input7/A (sky130_fd_sc_hd__buf_12)
-                  0.04    0.18    7.27 v mprj/u_intercon/input7/X (sky130_fd_sc_hd__buf_12)
-     4    0.05                           mprj/u_intercon/net7 (net)
-                  0.04    0.00    7.28 v mprj/u_intercon/_1782_/A (sky130_fd_sc_hd__or4_4)
-                  0.11    0.59    7.87 v mprj/u_intercon/_1782_/X (sky130_fd_sc_hd__or4_4)
-     3    0.02                           mprj/u_intercon/_0982_ (net)
-                  0.11    0.00    7.87 v mprj/u_intercon/_1784_/A3 (sky130_fd_sc_hd__o31a_1)
-                  0.09    0.31    8.18 v mprj/u_intercon/_1784_/X (sky130_fd_sc_hd__o31a_1)
-     4    0.01                           mprj/u_intercon/_0984_ (net)
-                  0.09    0.00    8.18 v mprj/u_intercon/_2009_/A_N (sky130_fd_sc_hd__and2b_4)
-                  0.29    0.45    8.63 ^ mprj/u_intercon/_2009_/X (sky130_fd_sc_hd__and2b_4)
-     6    0.09                           mprj/u_intercon/_1131_ (net)
-                  0.29    0.01    8.65 ^ mprj/u_intercon/fanout772/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.23    0.37    9.01 ^ mprj/u_intercon/fanout772/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.08                           mprj/u_intercon/net772 (net)
-                  0.23    0.00    9.02 ^ mprj/u_intercon/_2010_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.06    0.17    9.19 ^ mprj/u_intercon/_2010_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_intercon/_1132_ (net)
-                  0.06    0.00    9.19 ^ mprj/u_intercon/_2011_/A0 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    9.33 ^ mprj/u_intercon/_2011_/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_intercon/_1133_ (net)
-                  0.04    0.00    9.33 ^ mprj/u_intercon/_2012_/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.28    0.33    9.66 ^ mprj/u_intercon/_2012_/X (sky130_fd_sc_hd__mux2_4)
-     2    0.10                           mprj/u_intercon/net322 (net)
-                  0.28    0.02    9.68 ^ mprj/u_intercon/output322/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19    9.87 ^ mprj/u_intercon/output322/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mprj/wbd_int_ack_o (net)
-                  0.07    0.00    9.87 ^ mprj/u_wb_host/input101/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.16   10.03 ^ mprj/u_wb_host/input101/X (sky130_fd_sc_hd__buf_12)
-    12    0.08                           mprj/u_wb_host/net101 (net)
-                  0.10    0.01   10.04 ^ mprj/u_wb_host/_2728_/A (sky130_fd_sc_hd__inv_8)
-                  0.04    0.06   10.10 v mprj/u_wb_host/_2728_/Y (sky130_fd_sc_hd__inv_8)
-     8    0.04                           mprj/u_wb_host/_1144_ (net)
-                  0.04    0.00   10.10 v mprj/u_wb_host/_3131_/C1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.26   10.36 v mprj/u_wb_host/_3131_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_wb_host/_1399_ (net)
-                  0.05    0.00   10.36 v mprj/u_wb_host/_3132_/A_N (sky130_fd_sc_hd__and4bb_4)
-                  0.17    0.40   10.76 ^ mprj/u_wb_host/_3132_/X (sky130_fd_sc_hd__and4bb_4)
-     5    0.04                           mprj/u_wb_host/_1400_ (net)
-                  0.17    0.00   10.76 ^ mprj/u_wb_host/_3133_/A (sky130_fd_sc_hd__clkinv_2)
-                  0.09    0.13   10.89 v mprj/u_wb_host/_3133_/Y (sky130_fd_sc_hd__clkinv_2)
-     2    0.02                           mprj/u_wb_host/_1401_ (net)
-                  0.09    0.00   10.89 v mprj/u_wb_host/_3135_/B (sky130_fd_sc_hd__nor2_8)
-                  0.97    0.74   11.63 ^ mprj/u_wb_host/_3135_/Y (sky130_fd_sc_hd__nor2_8)
-    72    0.28                           mprj/u_wb_host/_1403_ (net)
-                  0.97    0.04   11.68 ^ mprj/u_wb_host/_4194_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.39   12.07 v mprj/u_wb_host/_4194_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0336_ (net)
-                  0.05    0.00   12.07 v mprj/u_wb_host/_5806_/D (sky130_fd_sc_hd__dfxtp_1)
-                                 12.07   data arrival time
-
-                         10.00   10.00   clock wbs_clk_i (rise edge)
-                          0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.45   10.46 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01   10.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.15   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.14   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.12   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.63 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00   11.63 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.27   12.27 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00   12.27 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.24   12.51 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00   12.51 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.20   12.72 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00   12.72 ^ mprj/u_wb_host/clkbuf_3_3__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14   12.86 ^ mprj/u_wb_host/clkbuf_3_3__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     7    0.02                           mprj/u_wb_host/clknet_3_3__leaf_wbs_clk_i (net)
-                  0.04    0.00   12.86 ^ mprj/u_wb_host/_5806_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                         -0.25   12.61   clock uncertainty
-                          0.21   12.82   clock reconvergence pessimism
-                         -0.11   12.71   library setup time
-                                 12.71   data required time
------------------------------------------------------------------------------
-                                 12.71   data required time
-                                -12.07   data arrival time
------------------------------------------------------------------------------
-                                  0.64   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5495_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_wb_host/_5831_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.49    0.49 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01    0.50 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.16    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.13    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.15    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.13    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.76 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00    1.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.29    2.45 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00    2.45 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.26    2.71 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00    2.71 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.22    2.93 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00    2.93 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.18    3.11 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    20    0.06                           mprj/u_wb_host/clknet_3_5__leaf_wbs_clk_i (net)
-                  0.08    0.00    3.11 ^ mprj/u_wb_host/_5495_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  1.59    1.54    4.66 ^ mprj/u_wb_host/_5495_/Q (sky130_fd_sc_hd__dfrtp_4)
-   150    0.58                           mprj/u_wb_host/u_async_wb.u_cmd_if.rd_ptr[1] (net)
-                  1.59    0.01    4.67 ^ mprj/u_wb_host/_2907_/A (sky130_fd_sc_hd__xnor2_1)
-                  0.23    0.24    4.90 v mprj/u_wb_host/_2907_/Y (sky130_fd_sc_hd__xnor2_1)
-     2    0.01                           mprj/u_wb_host/_1260_ (net)
-                  0.23    0.00    4.90 v mprj/u_wb_host/_2909_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.37    5.28 v mprj/u_wb_host/_2909_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_1262_ (net)
-                  0.05    0.00    5.28 v mprj/u_wb_host/_2910_/D (sky130_fd_sc_hd__or4_4)
-                  0.59    0.87    6.14 v mprj/u_wb_host/_2910_/X (sky130_fd_sc_hd__or4_4)
-   142    0.42                           mprj/u_wb_host/_1263_ (net)
-                  0.64    0.13    6.27 v mprj/u_wb_host/_2951_/A2 (sky130_fd_sc_hd__a31o_1)
-                  0.16    0.56    6.83 v mprj/u_wb_host/_2951_/X (sky130_fd_sc_hd__a31o_1)
-     2    0.03                           mprj/u_wb_host/net262 (net)
-                  0.16    0.00    6.83 v mprj/u_wb_host/output262/A (sky130_fd_sc_hd__buf_2)
-                  0.11    0.26    7.09 v mprj/u_wb_host/output262/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_int_adr_i[12] (net)
-                  0.11    0.00    7.10 v mprj/u_intercon/input7/A (sky130_fd_sc_hd__buf_12)
-                  0.04    0.18    7.27 v mprj/u_intercon/input7/X (sky130_fd_sc_hd__buf_12)
-     4    0.05                           mprj/u_intercon/net7 (net)
-                  0.04    0.00    7.28 v mprj/u_intercon/_1782_/A (sky130_fd_sc_hd__or4_4)
-                  0.11    0.59    7.87 v mprj/u_intercon/_1782_/X (sky130_fd_sc_hd__or4_4)
-     3    0.02                           mprj/u_intercon/_0982_ (net)
-                  0.11    0.00    7.87 v mprj/u_intercon/_1784_/A3 (sky130_fd_sc_hd__o31a_1)
-                  0.09    0.31    8.18 v mprj/u_intercon/_1784_/X (sky130_fd_sc_hd__o31a_1)
-     4    0.01                           mprj/u_intercon/_0984_ (net)
-                  0.09    0.00    8.18 v mprj/u_intercon/_2009_/A_N (sky130_fd_sc_hd__and2b_4)
-                  0.29    0.45    8.63 ^ mprj/u_intercon/_2009_/X (sky130_fd_sc_hd__and2b_4)
-     6    0.09                           mprj/u_intercon/_1131_ (net)
-                  0.29    0.01    8.65 ^ mprj/u_intercon/fanout772/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.23    0.37    9.01 ^ mprj/u_intercon/fanout772/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.08                           mprj/u_intercon/net772 (net)
-                  0.23    0.00    9.02 ^ mprj/u_intercon/_2010_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.06    0.17    9.19 ^ mprj/u_intercon/_2010_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_intercon/_1132_ (net)
-                  0.06    0.00    9.19 ^ mprj/u_intercon/_2011_/A0 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    9.33 ^ mprj/u_intercon/_2011_/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_intercon/_1133_ (net)
-                  0.04    0.00    9.33 ^ mprj/u_intercon/_2012_/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.28    0.33    9.66 ^ mprj/u_intercon/_2012_/X (sky130_fd_sc_hd__mux2_4)
-     2    0.10                           mprj/u_intercon/net322 (net)
-                  0.28    0.02    9.68 ^ mprj/u_intercon/output322/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19    9.87 ^ mprj/u_intercon/output322/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mprj/wbd_int_ack_o (net)
-                  0.07    0.00    9.87 ^ mprj/u_wb_host/input101/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.16   10.03 ^ mprj/u_wb_host/input101/X (sky130_fd_sc_hd__buf_12)
-    12    0.08                           mprj/u_wb_host/net101 (net)
-                  0.10    0.01   10.04 ^ mprj/u_wb_host/_2728_/A (sky130_fd_sc_hd__inv_8)
-                  0.04    0.06   10.10 v mprj/u_wb_host/_2728_/Y (sky130_fd_sc_hd__inv_8)
-     8    0.04                           mprj/u_wb_host/_1144_ (net)
-                  0.04    0.00   10.10 v mprj/u_wb_host/_3131_/C1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.26   10.36 v mprj/u_wb_host/_3131_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_wb_host/_1399_ (net)
-                  0.05    0.00   10.36 v mprj/u_wb_host/_3132_/A_N (sky130_fd_sc_hd__and4bb_4)
-                  0.17    0.40   10.76 ^ mprj/u_wb_host/_3132_/X (sky130_fd_sc_hd__and4bb_4)
-     5    0.04                           mprj/u_wb_host/_1400_ (net)
-                  0.17    0.00   10.76 ^ mprj/u_wb_host/_3133_/A (sky130_fd_sc_hd__clkinv_2)
-                  0.09    0.13   10.89 v mprj/u_wb_host/_3133_/Y (sky130_fd_sc_hd__clkinv_2)
-     2    0.02                           mprj/u_wb_host/_1401_ (net)
-                  0.09    0.00   10.89 v mprj/u_wb_host/_3135_/B (sky130_fd_sc_hd__nor2_8)
-                  0.97    0.74   11.63 ^ mprj/u_wb_host/_3135_/Y (sky130_fd_sc_hd__nor2_8)
-    72    0.28                           mprj/u_wb_host/_1403_ (net)
-                  0.97    0.04   11.67 ^ mprj/u_wb_host/_4219_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.40   12.07 v mprj/u_wb_host/_4219_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0361_ (net)
-                  0.05    0.00   12.07 v mprj/u_wb_host/_5831_/D (sky130_fd_sc_hd__dfxtp_1)
-                                 12.07   data arrival time
-
-                         10.00   10.00   clock wbs_clk_i (rise edge)
-                          0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.45   10.46 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01   10.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.15   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.14   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.12   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.63 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00   11.63 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.27   12.27 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00   12.27 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.24   12.51 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00   12.51 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.20   12.72 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00   12.72 ^ mprj/u_wb_host/clkbuf_3_4__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14   12.86 ^ mprj/u_wb_host/clkbuf_3_4__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     7    0.02                           mprj/u_wb_host/clknet_3_4__leaf_wbs_clk_i (net)
-                  0.05    0.00   12.86 ^ mprj/u_wb_host/_5831_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                         -0.25   12.61   clock uncertainty
-                          0.21   12.82   clock reconvergence pessimism
-                         -0.11   12.71   library setup time
-                                 12.71   data required time
------------------------------------------------------------------------------
-                                 12.71   data required time
-                                -12.07   data arrival time
------------------------------------------------------------------------------
-                                  0.64   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5495_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_wb_host/_5813_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.49    0.49 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01    0.50 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.16    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.13    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.15    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.13    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.76 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00    1.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.29    2.45 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00    2.45 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.26    2.71 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00    2.71 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.22    2.93 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00    2.93 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.18    3.11 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    20    0.06                           mprj/u_wb_host/clknet_3_5__leaf_wbs_clk_i (net)
-                  0.08    0.00    3.11 ^ mprj/u_wb_host/_5495_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  1.59    1.54    4.66 ^ mprj/u_wb_host/_5495_/Q (sky130_fd_sc_hd__dfrtp_4)
-   150    0.58                           mprj/u_wb_host/u_async_wb.u_cmd_if.rd_ptr[1] (net)
-                  1.59    0.01    4.67 ^ mprj/u_wb_host/_2907_/A (sky130_fd_sc_hd__xnor2_1)
-                  0.23    0.24    4.90 v mprj/u_wb_host/_2907_/Y (sky130_fd_sc_hd__xnor2_1)
-     2    0.01                           mprj/u_wb_host/_1260_ (net)
-                  0.23    0.00    4.90 v mprj/u_wb_host/_2909_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.37    5.28 v mprj/u_wb_host/_2909_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_1262_ (net)
-                  0.05    0.00    5.28 v mprj/u_wb_host/_2910_/D (sky130_fd_sc_hd__or4_4)
-                  0.59    0.87    6.14 v mprj/u_wb_host/_2910_/X (sky130_fd_sc_hd__or4_4)
-   142    0.42                           mprj/u_wb_host/_1263_ (net)
-                  0.64    0.13    6.27 v mprj/u_wb_host/_2951_/A2 (sky130_fd_sc_hd__a31o_1)
-                  0.16    0.56    6.83 v mprj/u_wb_host/_2951_/X (sky130_fd_sc_hd__a31o_1)
-     2    0.03                           mprj/u_wb_host/net262 (net)
-                  0.16    0.00    6.83 v mprj/u_wb_host/output262/A (sky130_fd_sc_hd__buf_2)
-                  0.11    0.26    7.09 v mprj/u_wb_host/output262/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_int_adr_i[12] (net)
-                  0.11    0.00    7.10 v mprj/u_intercon/input7/A (sky130_fd_sc_hd__buf_12)
-                  0.04    0.18    7.27 v mprj/u_intercon/input7/X (sky130_fd_sc_hd__buf_12)
-     4    0.05                           mprj/u_intercon/net7 (net)
-                  0.04    0.00    7.28 v mprj/u_intercon/_1782_/A (sky130_fd_sc_hd__or4_4)
-                  0.11    0.59    7.87 v mprj/u_intercon/_1782_/X (sky130_fd_sc_hd__or4_4)
-     3    0.02                           mprj/u_intercon/_0982_ (net)
-                  0.11    0.00    7.87 v mprj/u_intercon/_1784_/A3 (sky130_fd_sc_hd__o31a_1)
-                  0.09    0.31    8.18 v mprj/u_intercon/_1784_/X (sky130_fd_sc_hd__o31a_1)
-     4    0.01                           mprj/u_intercon/_0984_ (net)
-                  0.09    0.00    8.18 v mprj/u_intercon/_2009_/A_N (sky130_fd_sc_hd__and2b_4)
-                  0.29    0.45    8.63 ^ mprj/u_intercon/_2009_/X (sky130_fd_sc_hd__and2b_4)
-     6    0.09                           mprj/u_intercon/_1131_ (net)
-                  0.29    0.01    8.65 ^ mprj/u_intercon/fanout772/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.23    0.37    9.01 ^ mprj/u_intercon/fanout772/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.08                           mprj/u_intercon/net772 (net)
-                  0.23    0.00    9.02 ^ mprj/u_intercon/_2010_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.06    0.17    9.19 ^ mprj/u_intercon/_2010_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_intercon/_1132_ (net)
-                  0.06    0.00    9.19 ^ mprj/u_intercon/_2011_/A0 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    9.33 ^ mprj/u_intercon/_2011_/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_intercon/_1133_ (net)
-                  0.04    0.00    9.33 ^ mprj/u_intercon/_2012_/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.28    0.33    9.66 ^ mprj/u_intercon/_2012_/X (sky130_fd_sc_hd__mux2_4)
-     2    0.10                           mprj/u_intercon/net322 (net)
-                  0.28    0.02    9.68 ^ mprj/u_intercon/output322/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19    9.87 ^ mprj/u_intercon/output322/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mprj/wbd_int_ack_o (net)
-                  0.07    0.00    9.87 ^ mprj/u_wb_host/input101/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.16   10.03 ^ mprj/u_wb_host/input101/X (sky130_fd_sc_hd__buf_12)
-    12    0.08                           mprj/u_wb_host/net101 (net)
-                  0.10    0.01   10.04 ^ mprj/u_wb_host/_2728_/A (sky130_fd_sc_hd__inv_8)
-                  0.04    0.06   10.10 v mprj/u_wb_host/_2728_/Y (sky130_fd_sc_hd__inv_8)
-     8    0.04                           mprj/u_wb_host/_1144_ (net)
-                  0.04    0.00   10.10 v mprj/u_wb_host/_3131_/C1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.26   10.36 v mprj/u_wb_host/_3131_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_wb_host/_1399_ (net)
-                  0.05    0.00   10.36 v mprj/u_wb_host/_3132_/A_N (sky130_fd_sc_hd__and4bb_4)
-                  0.17    0.40   10.76 ^ mprj/u_wb_host/_3132_/X (sky130_fd_sc_hd__and4bb_4)
-     5    0.04                           mprj/u_wb_host/_1400_ (net)
-                  0.17    0.00   10.76 ^ mprj/u_wb_host/_3133_/A (sky130_fd_sc_hd__clkinv_2)
-                  0.09    0.13   10.89 v mprj/u_wb_host/_3133_/Y (sky130_fd_sc_hd__clkinv_2)
-     2    0.02                           mprj/u_wb_host/_1401_ (net)
-                  0.09    0.00   10.89 v mprj/u_wb_host/_3135_/B (sky130_fd_sc_hd__nor2_8)
-                  0.97    0.74   11.63 ^ mprj/u_wb_host/_3135_/Y (sky130_fd_sc_hd__nor2_8)
-    72    0.28                           mprj/u_wb_host/_1403_ (net)
-                  0.97    0.04   11.67 ^ mprj/u_wb_host/_4201_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.39   12.06 v mprj/u_wb_host/_4201_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0343_ (net)
-                  0.05    0.00   12.06 v mprj/u_wb_host/_5813_/D (sky130_fd_sc_hd__dfxtp_1)
-                                 12.06   data arrival time
-
-                         10.00   10.00   clock wbs_clk_i (rise edge)
-                          0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.45   10.46 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01   10.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.15   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.14   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.12   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.63 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00   11.63 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.27   12.27 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00   12.27 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.24   12.51 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00   12.51 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.20   12.72 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00   12.72 ^ mprj/u_wb_host/clkbuf_3_3__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14   12.86 ^ mprj/u_wb_host/clkbuf_3_3__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     7    0.02                           mprj/u_wb_host/clknet_3_3__leaf_wbs_clk_i (net)
-                  0.04    0.00   12.86 ^ mprj/u_wb_host/_5813_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                         -0.25   12.61   clock uncertainty
-                          0.21   12.82   clock reconvergence pessimism
-                         -0.11   12.71   library setup time
-                                 12.71   data required time
------------------------------------------------------------------------------
-                                 12.71   data required time
-                                -12.06   data arrival time
------------------------------------------------------------------------------
-                                  0.65   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5495_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_wb_host/_5817_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.49    0.49 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01    0.50 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.16    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.13    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.15    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.13    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.76 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00    1.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.29    2.45 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00    2.45 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.26    2.71 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00    2.71 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.22    2.93 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00    2.93 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.18    3.11 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    20    0.06                           mprj/u_wb_host/clknet_3_5__leaf_wbs_clk_i (net)
-                  0.08    0.00    3.11 ^ mprj/u_wb_host/_5495_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  1.59    1.54    4.66 ^ mprj/u_wb_host/_5495_/Q (sky130_fd_sc_hd__dfrtp_4)
-   150    0.58                           mprj/u_wb_host/u_async_wb.u_cmd_if.rd_ptr[1] (net)
-                  1.59    0.01    4.67 ^ mprj/u_wb_host/_2907_/A (sky130_fd_sc_hd__xnor2_1)
-                  0.23    0.24    4.90 v mprj/u_wb_host/_2907_/Y (sky130_fd_sc_hd__xnor2_1)
-     2    0.01                           mprj/u_wb_host/_1260_ (net)
-                  0.23    0.00    4.90 v mprj/u_wb_host/_2909_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.37    5.28 v mprj/u_wb_host/_2909_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_1262_ (net)
-                  0.05    0.00    5.28 v mprj/u_wb_host/_2910_/D (sky130_fd_sc_hd__or4_4)
-                  0.59    0.87    6.14 v mprj/u_wb_host/_2910_/X (sky130_fd_sc_hd__or4_4)
-   142    0.42                           mprj/u_wb_host/_1263_ (net)
-                  0.64    0.13    6.27 v mprj/u_wb_host/_2951_/A2 (sky130_fd_sc_hd__a31o_1)
-                  0.16    0.56    6.83 v mprj/u_wb_host/_2951_/X (sky130_fd_sc_hd__a31o_1)
-     2    0.03                           mprj/u_wb_host/net262 (net)
-                  0.16    0.00    6.83 v mprj/u_wb_host/output262/A (sky130_fd_sc_hd__buf_2)
-                  0.11    0.26    7.09 v mprj/u_wb_host/output262/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_int_adr_i[12] (net)
-                  0.11    0.00    7.10 v mprj/u_intercon/input7/A (sky130_fd_sc_hd__buf_12)
-                  0.04    0.18    7.27 v mprj/u_intercon/input7/X (sky130_fd_sc_hd__buf_12)
-     4    0.05                           mprj/u_intercon/net7 (net)
-                  0.04    0.00    7.28 v mprj/u_intercon/_1782_/A (sky130_fd_sc_hd__or4_4)
-                  0.11    0.59    7.87 v mprj/u_intercon/_1782_/X (sky130_fd_sc_hd__or4_4)
-     3    0.02                           mprj/u_intercon/_0982_ (net)
-                  0.11    0.00    7.87 v mprj/u_intercon/_1784_/A3 (sky130_fd_sc_hd__o31a_1)
-                  0.09    0.31    8.18 v mprj/u_intercon/_1784_/X (sky130_fd_sc_hd__o31a_1)
-     4    0.01                           mprj/u_intercon/_0984_ (net)
-                  0.09    0.00    8.18 v mprj/u_intercon/_2009_/A_N (sky130_fd_sc_hd__and2b_4)
-                  0.29    0.45    8.63 ^ mprj/u_intercon/_2009_/X (sky130_fd_sc_hd__and2b_4)
-     6    0.09                           mprj/u_intercon/_1131_ (net)
-                  0.29    0.01    8.65 ^ mprj/u_intercon/fanout772/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.23    0.37    9.01 ^ mprj/u_intercon/fanout772/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.08                           mprj/u_intercon/net772 (net)
-                  0.23    0.00    9.02 ^ mprj/u_intercon/_2010_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.06    0.17    9.19 ^ mprj/u_intercon/_2010_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_intercon/_1132_ (net)
-                  0.06    0.00    9.19 ^ mprj/u_intercon/_2011_/A0 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    9.33 ^ mprj/u_intercon/_2011_/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_intercon/_1133_ (net)
-                  0.04    0.00    9.33 ^ mprj/u_intercon/_2012_/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.28    0.33    9.66 ^ mprj/u_intercon/_2012_/X (sky130_fd_sc_hd__mux2_4)
-     2    0.10                           mprj/u_intercon/net322 (net)
-                  0.28    0.02    9.68 ^ mprj/u_intercon/output322/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19    9.87 ^ mprj/u_intercon/output322/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mprj/wbd_int_ack_o (net)
-                  0.07    0.00    9.87 ^ mprj/u_wb_host/input101/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.16   10.03 ^ mprj/u_wb_host/input101/X (sky130_fd_sc_hd__buf_12)
-    12    0.08                           mprj/u_wb_host/net101 (net)
-                  0.10    0.01   10.04 ^ mprj/u_wb_host/_2728_/A (sky130_fd_sc_hd__inv_8)
-                  0.04    0.06   10.10 v mprj/u_wb_host/_2728_/Y (sky130_fd_sc_hd__inv_8)
-     8    0.04                           mprj/u_wb_host/_1144_ (net)
-                  0.04    0.00   10.10 v mprj/u_wb_host/_3131_/C1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.26   10.36 v mprj/u_wb_host/_3131_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_wb_host/_1399_ (net)
-                  0.05    0.00   10.36 v mprj/u_wb_host/_3132_/A_N (sky130_fd_sc_hd__and4bb_4)
-                  0.17    0.40   10.76 ^ mprj/u_wb_host/_3132_/X (sky130_fd_sc_hd__and4bb_4)
-     5    0.04                           mprj/u_wb_host/_1400_ (net)
-                  0.17    0.00   10.76 ^ mprj/u_wb_host/_3133_/A (sky130_fd_sc_hd__clkinv_2)
-                  0.09    0.13   10.89 v mprj/u_wb_host/_3133_/Y (sky130_fd_sc_hd__clkinv_2)
-     2    0.02                           mprj/u_wb_host/_1401_ (net)
-                  0.09    0.00   10.89 v mprj/u_wb_host/_3135_/B (sky130_fd_sc_hd__nor2_8)
-                  0.97    0.74   11.63 ^ mprj/u_wb_host/_3135_/Y (sky130_fd_sc_hd__nor2_8)
-    72    0.28                           mprj/u_wb_host/_1403_ (net)
-                  0.97    0.03   11.67 ^ mprj/u_wb_host/_4205_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.39   12.06 v mprj/u_wb_host/_4205_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0347_ (net)
-                  0.05    0.00   12.06 v mprj/u_wb_host/_5817_/D (sky130_fd_sc_hd__dfxtp_1)
-                                 12.06   data arrival time
-
-                         10.00   10.00   clock wbs_clk_i (rise edge)
-                          0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.45   10.46 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01   10.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.15   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.14   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.12   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.63 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00   11.63 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.27   12.27 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00   12.27 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.24   12.51 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00   12.51 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.20   12.72 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00   12.72 ^ mprj/u_wb_host/clkbuf_3_4__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14   12.86 ^ mprj/u_wb_host/clkbuf_3_4__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     7    0.02                           mprj/u_wb_host/clknet_3_4__leaf_wbs_clk_i (net)
-                  0.05    0.00   12.86 ^ mprj/u_wb_host/_5817_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                         -0.25   12.61   clock uncertainty
-                          0.21   12.82   clock reconvergence pessimism
-                         -0.11   12.71   library setup time
-                                 12.71   data required time
------------------------------------------------------------------------------
-                                 12.71   data required time
-                                -12.06   data arrival time
------------------------------------------------------------------------------
-                                  0.65   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5495_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_wb_host/_5830_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.49    0.49 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01    0.50 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.16    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.13    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.15    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.13    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.76 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00    1.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.29    2.45 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00    2.45 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.26    2.71 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00    2.71 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.22    2.93 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00    2.93 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.18    3.11 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    20    0.06                           mprj/u_wb_host/clknet_3_5__leaf_wbs_clk_i (net)
-                  0.08    0.00    3.11 ^ mprj/u_wb_host/_5495_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  1.59    1.54    4.66 ^ mprj/u_wb_host/_5495_/Q (sky130_fd_sc_hd__dfrtp_4)
-   150    0.58                           mprj/u_wb_host/u_async_wb.u_cmd_if.rd_ptr[1] (net)
-                  1.59    0.01    4.67 ^ mprj/u_wb_host/_2907_/A (sky130_fd_sc_hd__xnor2_1)
-                  0.23    0.24    4.90 v mprj/u_wb_host/_2907_/Y (sky130_fd_sc_hd__xnor2_1)
-     2    0.01                           mprj/u_wb_host/_1260_ (net)
-                  0.23    0.00    4.90 v mprj/u_wb_host/_2909_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.37    5.28 v mprj/u_wb_host/_2909_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_1262_ (net)
-                  0.05    0.00    5.28 v mprj/u_wb_host/_2910_/D (sky130_fd_sc_hd__or4_4)
-                  0.59    0.87    6.14 v mprj/u_wb_host/_2910_/X (sky130_fd_sc_hd__or4_4)
-   142    0.42                           mprj/u_wb_host/_1263_ (net)
-                  0.64    0.13    6.27 v mprj/u_wb_host/_2951_/A2 (sky130_fd_sc_hd__a31o_1)
-                  0.16    0.56    6.83 v mprj/u_wb_host/_2951_/X (sky130_fd_sc_hd__a31o_1)
-     2    0.03                           mprj/u_wb_host/net262 (net)
-                  0.16    0.00    6.83 v mprj/u_wb_host/output262/A (sky130_fd_sc_hd__buf_2)
-                  0.11    0.26    7.09 v mprj/u_wb_host/output262/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_int_adr_i[12] (net)
-                  0.11    0.00    7.10 v mprj/u_intercon/input7/A (sky130_fd_sc_hd__buf_12)
-                  0.04    0.18    7.27 v mprj/u_intercon/input7/X (sky130_fd_sc_hd__buf_12)
-     4    0.05                           mprj/u_intercon/net7 (net)
-                  0.04    0.00    7.28 v mprj/u_intercon/_1782_/A (sky130_fd_sc_hd__or4_4)
-                  0.11    0.59    7.87 v mprj/u_intercon/_1782_/X (sky130_fd_sc_hd__or4_4)
-     3    0.02                           mprj/u_intercon/_0982_ (net)
-                  0.11    0.00    7.87 v mprj/u_intercon/_1784_/A3 (sky130_fd_sc_hd__o31a_1)
-                  0.09    0.31    8.18 v mprj/u_intercon/_1784_/X (sky130_fd_sc_hd__o31a_1)
-     4    0.01                           mprj/u_intercon/_0984_ (net)
-                  0.09    0.00    8.18 v mprj/u_intercon/_2009_/A_N (sky130_fd_sc_hd__and2b_4)
-                  0.29    0.45    8.63 ^ mprj/u_intercon/_2009_/X (sky130_fd_sc_hd__and2b_4)
-     6    0.09                           mprj/u_intercon/_1131_ (net)
-                  0.29    0.01    8.65 ^ mprj/u_intercon/fanout772/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.23    0.37    9.01 ^ mprj/u_intercon/fanout772/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.08                           mprj/u_intercon/net772 (net)
-                  0.23    0.00    9.02 ^ mprj/u_intercon/_2010_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.06    0.17    9.19 ^ mprj/u_intercon/_2010_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_intercon/_1132_ (net)
-                  0.06    0.00    9.19 ^ mprj/u_intercon/_2011_/A0 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    9.33 ^ mprj/u_intercon/_2011_/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_intercon/_1133_ (net)
-                  0.04    0.00    9.33 ^ mprj/u_intercon/_2012_/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.28    0.33    9.66 ^ mprj/u_intercon/_2012_/X (sky130_fd_sc_hd__mux2_4)
-     2    0.10                           mprj/u_intercon/net322 (net)
-                  0.28    0.02    9.68 ^ mprj/u_intercon/output322/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19    9.87 ^ mprj/u_intercon/output322/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mprj/wbd_int_ack_o (net)
-                  0.07    0.00    9.87 ^ mprj/u_wb_host/input101/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.16   10.03 ^ mprj/u_wb_host/input101/X (sky130_fd_sc_hd__buf_12)
-    12    0.08                           mprj/u_wb_host/net101 (net)
-                  0.10    0.01   10.04 ^ mprj/u_wb_host/_2728_/A (sky130_fd_sc_hd__inv_8)
-                  0.04    0.06   10.10 v mprj/u_wb_host/_2728_/Y (sky130_fd_sc_hd__inv_8)
-     8    0.04                           mprj/u_wb_host/_1144_ (net)
-                  0.04    0.00   10.10 v mprj/u_wb_host/_3131_/C1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.26   10.36 v mprj/u_wb_host/_3131_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_wb_host/_1399_ (net)
-                  0.05    0.00   10.36 v mprj/u_wb_host/_3132_/A_N (sky130_fd_sc_hd__and4bb_4)
-                  0.17    0.40   10.76 ^ mprj/u_wb_host/_3132_/X (sky130_fd_sc_hd__and4bb_4)
-     5    0.04                           mprj/u_wb_host/_1400_ (net)
-                  0.17    0.00   10.76 ^ mprj/u_wb_host/_3133_/A (sky130_fd_sc_hd__clkinv_2)
-                  0.09    0.13   10.89 v mprj/u_wb_host/_3133_/Y (sky130_fd_sc_hd__clkinv_2)
-     2    0.02                           mprj/u_wb_host/_1401_ (net)
-                  0.09    0.00   10.89 v mprj/u_wb_host/_3135_/B (sky130_fd_sc_hd__nor2_8)
-                  0.97    0.74   11.63 ^ mprj/u_wb_host/_3135_/Y (sky130_fd_sc_hd__nor2_8)
-    72    0.28                           mprj/u_wb_host/_1403_ (net)
-                  0.98    0.06   11.70 ^ mprj/u_wb_host/_4218_/S (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.42   12.12 v mprj/u_wb_host/_4218_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_wb_host/_0360_ (net)
-                  0.07    0.00   12.12 v mprj/u_wb_host/_5830_/D (sky130_fd_sc_hd__dfxtp_1)
-                                 12.12   data arrival time
-
-                         10.00   10.00   clock wbs_clk_i (rise edge)
-                          0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.45   10.46 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01   10.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.15   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.14   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.12   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.63 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00   11.63 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.27   12.27 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00   12.27 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.24   12.51 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00   12.51 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.20   12.72 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00   12.72 ^ mprj/u_wb_host/clkbuf_3_1__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.19   12.91 ^ mprj/u_wb_host/clkbuf_3_1__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.10                           mprj/u_wb_host/clknet_3_1__leaf_wbs_clk_i (net)
-                  0.11    0.01   12.92 ^ mprj/u_wb_host/_5830_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                         -0.25   12.67   clock uncertainty
-                          0.21   12.88   clock reconvergence pessimism
-                         -0.10   12.78   library setup time
-                                 12.78   data required time
------------------------------------------------------------------------------
-                                 12.78   data required time
-                                -12.12   data arrival time
------------------------------------------------------------------------------
-                                  0.66   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5495_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_wb_host/_5812_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.49    0.49 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01    0.50 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.16    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.13    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.15    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.13    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.76 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00    1.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.29    2.45 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00    2.45 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.26    2.71 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00    2.71 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.22    2.93 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00    2.93 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.18    3.11 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    20    0.06                           mprj/u_wb_host/clknet_3_5__leaf_wbs_clk_i (net)
-                  0.08    0.00    3.11 ^ mprj/u_wb_host/_5495_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  1.59    1.54    4.66 ^ mprj/u_wb_host/_5495_/Q (sky130_fd_sc_hd__dfrtp_4)
-   150    0.58                           mprj/u_wb_host/u_async_wb.u_cmd_if.rd_ptr[1] (net)
-                  1.59    0.01    4.67 ^ mprj/u_wb_host/_2907_/A (sky130_fd_sc_hd__xnor2_1)
-                  0.23    0.24    4.90 v mprj/u_wb_host/_2907_/Y (sky130_fd_sc_hd__xnor2_1)
-     2    0.01                           mprj/u_wb_host/_1260_ (net)
-                  0.23    0.00    4.90 v mprj/u_wb_host/_2909_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.37    5.28 v mprj/u_wb_host/_2909_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_1262_ (net)
-                  0.05    0.00    5.28 v mprj/u_wb_host/_2910_/D (sky130_fd_sc_hd__or4_4)
-                  0.59    0.87    6.14 v mprj/u_wb_host/_2910_/X (sky130_fd_sc_hd__or4_4)
-   142    0.42                           mprj/u_wb_host/_1263_ (net)
-                  0.64    0.13    6.27 v mprj/u_wb_host/_2951_/A2 (sky130_fd_sc_hd__a31o_1)
-                  0.16    0.56    6.83 v mprj/u_wb_host/_2951_/X (sky130_fd_sc_hd__a31o_1)
-     2    0.03                           mprj/u_wb_host/net262 (net)
-                  0.16    0.00    6.83 v mprj/u_wb_host/output262/A (sky130_fd_sc_hd__buf_2)
-                  0.11    0.26    7.09 v mprj/u_wb_host/output262/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_int_adr_i[12] (net)
-                  0.11    0.00    7.10 v mprj/u_intercon/input7/A (sky130_fd_sc_hd__buf_12)
-                  0.04    0.18    7.27 v mprj/u_intercon/input7/X (sky130_fd_sc_hd__buf_12)
-     4    0.05                           mprj/u_intercon/net7 (net)
-                  0.04    0.00    7.28 v mprj/u_intercon/_1782_/A (sky130_fd_sc_hd__or4_4)
-                  0.11    0.59    7.87 v mprj/u_intercon/_1782_/X (sky130_fd_sc_hd__or4_4)
-     3    0.02                           mprj/u_intercon/_0982_ (net)
-                  0.11    0.00    7.87 v mprj/u_intercon/_1784_/A3 (sky130_fd_sc_hd__o31a_1)
-                  0.09    0.31    8.18 v mprj/u_intercon/_1784_/X (sky130_fd_sc_hd__o31a_1)
-     4    0.01                           mprj/u_intercon/_0984_ (net)
-                  0.09    0.00    8.18 v mprj/u_intercon/_2009_/A_N (sky130_fd_sc_hd__and2b_4)
-                  0.29    0.45    8.63 ^ mprj/u_intercon/_2009_/X (sky130_fd_sc_hd__and2b_4)
-     6    0.09                           mprj/u_intercon/_1131_ (net)
-                  0.29    0.01    8.65 ^ mprj/u_intercon/fanout772/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.23    0.37    9.01 ^ mprj/u_intercon/fanout772/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.08                           mprj/u_intercon/net772 (net)
-                  0.23    0.00    9.02 ^ mprj/u_intercon/_2010_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.06    0.17    9.19 ^ mprj/u_intercon/_2010_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_intercon/_1132_ (net)
-                  0.06    0.00    9.19 ^ mprj/u_intercon/_2011_/A0 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    9.33 ^ mprj/u_intercon/_2011_/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_intercon/_1133_ (net)
-                  0.04    0.00    9.33 ^ mprj/u_intercon/_2012_/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.28    0.33    9.66 ^ mprj/u_intercon/_2012_/X (sky130_fd_sc_hd__mux2_4)
-     2    0.10                           mprj/u_intercon/net322 (net)
-                  0.28    0.02    9.68 ^ mprj/u_intercon/output322/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19    9.87 ^ mprj/u_intercon/output322/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mprj/wbd_int_ack_o (net)
-                  0.07    0.00    9.87 ^ mprj/u_wb_host/input101/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.16   10.03 ^ mprj/u_wb_host/input101/X (sky130_fd_sc_hd__buf_12)
-    12    0.08                           mprj/u_wb_host/net101 (net)
-                  0.10    0.01   10.04 ^ mprj/u_wb_host/_2728_/A (sky130_fd_sc_hd__inv_8)
-                  0.04    0.06   10.10 v mprj/u_wb_host/_2728_/Y (sky130_fd_sc_hd__inv_8)
-     8    0.04                           mprj/u_wb_host/_1144_ (net)
-                  0.04    0.00   10.10 v mprj/u_wb_host/_3131_/C1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.26   10.36 v mprj/u_wb_host/_3131_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_wb_host/_1399_ (net)
-                  0.05    0.00   10.36 v mprj/u_wb_host/_3132_/A_N (sky130_fd_sc_hd__and4bb_4)
-                  0.17    0.40   10.76 ^ mprj/u_wb_host/_3132_/X (sky130_fd_sc_hd__and4bb_4)
-     5    0.04                           mprj/u_wb_host/_1400_ (net)
-                  0.17    0.00   10.76 ^ mprj/u_wb_host/_3133_/A (sky130_fd_sc_hd__clkinv_2)
-                  0.09    0.13   10.89 v mprj/u_wb_host/_3133_/Y (sky130_fd_sc_hd__clkinv_2)
-     2    0.02                           mprj/u_wb_host/_1401_ (net)
-                  0.09    0.00   10.89 v mprj/u_wb_host/_3135_/B (sky130_fd_sc_hd__nor2_8)
-                  0.97    0.74   11.63 ^ mprj/u_wb_host/_3135_/Y (sky130_fd_sc_hd__nor2_8)
-    72    0.28                           mprj/u_wb_host/_1403_ (net)
-                  0.98    0.06   11.69 ^ mprj/u_wb_host/_4200_/S (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.41   12.10 v mprj/u_wb_host/_4200_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0342_ (net)
-                  0.06    0.00   12.10 v mprj/u_wb_host/_5812_/D (sky130_fd_sc_hd__dfxtp_1)
-                                 12.10   data arrival time
-
-                         10.00   10.00   clock wbs_clk_i (rise edge)
-                          0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.45   10.46 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01   10.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.15   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.14   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.12   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.63 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00   11.63 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.27   12.27 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00   12.27 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.24   12.51 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00   12.51 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.20   12.72 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00   12.72 ^ mprj/u_wb_host/clkbuf_3_2__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.18   12.90 ^ mprj/u_wb_host/clkbuf_3_2__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    24    0.08                           mprj/u_wb_host/clknet_3_2__leaf_wbs_clk_i (net)
-                  0.10    0.00   12.90 ^ mprj/u_wb_host/_5812_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                         -0.25   12.65   clock uncertainty
-                          0.21   12.87   clock reconvergence pessimism
-                         -0.11   12.76   library setup time
-                                 12.76   data required time
------------------------------------------------------------------------------
-                                 12.76   data required time
-                                -12.10   data arrival time
------------------------------------------------------------------------------
-                                  0.66   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5495_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_wb_host/_5827_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.49    0.49 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01    0.50 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.16    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.13    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.15    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.13    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.76 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00    1.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.29    2.45 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00    2.45 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.26    2.71 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00    2.71 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.22    2.93 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00    2.93 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.18    3.11 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    20    0.06                           mprj/u_wb_host/clknet_3_5__leaf_wbs_clk_i (net)
-                  0.08    0.00    3.11 ^ mprj/u_wb_host/_5495_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  1.59    1.54    4.66 ^ mprj/u_wb_host/_5495_/Q (sky130_fd_sc_hd__dfrtp_4)
-   150    0.58                           mprj/u_wb_host/u_async_wb.u_cmd_if.rd_ptr[1] (net)
-                  1.59    0.01    4.67 ^ mprj/u_wb_host/_2907_/A (sky130_fd_sc_hd__xnor2_1)
-                  0.23    0.24    4.90 v mprj/u_wb_host/_2907_/Y (sky130_fd_sc_hd__xnor2_1)
-     2    0.01                           mprj/u_wb_host/_1260_ (net)
-                  0.23    0.00    4.90 v mprj/u_wb_host/_2909_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.37    5.28 v mprj/u_wb_host/_2909_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_1262_ (net)
-                  0.05    0.00    5.28 v mprj/u_wb_host/_2910_/D (sky130_fd_sc_hd__or4_4)
-                  0.59    0.87    6.14 v mprj/u_wb_host/_2910_/X (sky130_fd_sc_hd__or4_4)
-   142    0.42                           mprj/u_wb_host/_1263_ (net)
-                  0.64    0.13    6.27 v mprj/u_wb_host/_2951_/A2 (sky130_fd_sc_hd__a31o_1)
-                  0.16    0.56    6.83 v mprj/u_wb_host/_2951_/X (sky130_fd_sc_hd__a31o_1)
-     2    0.03                           mprj/u_wb_host/net262 (net)
-                  0.16    0.00    6.83 v mprj/u_wb_host/output262/A (sky130_fd_sc_hd__buf_2)
-                  0.11    0.26    7.09 v mprj/u_wb_host/output262/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_int_adr_i[12] (net)
-                  0.11    0.00    7.10 v mprj/u_intercon/input7/A (sky130_fd_sc_hd__buf_12)
-                  0.04    0.18    7.27 v mprj/u_intercon/input7/X (sky130_fd_sc_hd__buf_12)
-     4    0.05                           mprj/u_intercon/net7 (net)
-                  0.04    0.00    7.28 v mprj/u_intercon/_1782_/A (sky130_fd_sc_hd__or4_4)
-                  0.11    0.59    7.87 v mprj/u_intercon/_1782_/X (sky130_fd_sc_hd__or4_4)
-     3    0.02                           mprj/u_intercon/_0982_ (net)
-                  0.11    0.00    7.87 v mprj/u_intercon/_1784_/A3 (sky130_fd_sc_hd__o31a_1)
-                  0.09    0.31    8.18 v mprj/u_intercon/_1784_/X (sky130_fd_sc_hd__o31a_1)
-     4    0.01                           mprj/u_intercon/_0984_ (net)
-                  0.09    0.00    8.18 v mprj/u_intercon/_2009_/A_N (sky130_fd_sc_hd__and2b_4)
-                  0.29    0.45    8.63 ^ mprj/u_intercon/_2009_/X (sky130_fd_sc_hd__and2b_4)
-     6    0.09                           mprj/u_intercon/_1131_ (net)
-                  0.29    0.01    8.65 ^ mprj/u_intercon/fanout772/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.23    0.37    9.01 ^ mprj/u_intercon/fanout772/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.08                           mprj/u_intercon/net772 (net)
-                  0.23    0.00    9.02 ^ mprj/u_intercon/_2010_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.06    0.17    9.19 ^ mprj/u_intercon/_2010_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_intercon/_1132_ (net)
-                  0.06    0.00    9.19 ^ mprj/u_intercon/_2011_/A0 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    9.33 ^ mprj/u_intercon/_2011_/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_intercon/_1133_ (net)
-                  0.04    0.00    9.33 ^ mprj/u_intercon/_2012_/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.28    0.33    9.66 ^ mprj/u_intercon/_2012_/X (sky130_fd_sc_hd__mux2_4)
-     2    0.10                           mprj/u_intercon/net322 (net)
-                  0.28    0.02    9.68 ^ mprj/u_intercon/output322/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19    9.87 ^ mprj/u_intercon/output322/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mprj/wbd_int_ack_o (net)
-                  0.07    0.00    9.87 ^ mprj/u_wb_host/input101/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.16   10.03 ^ mprj/u_wb_host/input101/X (sky130_fd_sc_hd__buf_12)
-    12    0.08                           mprj/u_wb_host/net101 (net)
-                  0.10    0.01   10.04 ^ mprj/u_wb_host/_2728_/A (sky130_fd_sc_hd__inv_8)
-                  0.04    0.06   10.10 v mprj/u_wb_host/_2728_/Y (sky130_fd_sc_hd__inv_8)
-     8    0.04                           mprj/u_wb_host/_1144_ (net)
-                  0.04    0.00   10.10 v mprj/u_wb_host/_3131_/C1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.26   10.36 v mprj/u_wb_host/_3131_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_wb_host/_1399_ (net)
-                  0.05    0.00   10.36 v mprj/u_wb_host/_3132_/A_N (sky130_fd_sc_hd__and4bb_4)
-                  0.17    0.40   10.76 ^ mprj/u_wb_host/_3132_/X (sky130_fd_sc_hd__and4bb_4)
-     5    0.04                           mprj/u_wb_host/_1400_ (net)
-                  0.17    0.00   10.76 ^ mprj/u_wb_host/_3133_/A (sky130_fd_sc_hd__clkinv_2)
-                  0.09    0.13   10.89 v mprj/u_wb_host/_3133_/Y (sky130_fd_sc_hd__clkinv_2)
-     2    0.02                           mprj/u_wb_host/_1401_ (net)
-                  0.09    0.00   10.89 v mprj/u_wb_host/_3135_/B (sky130_fd_sc_hd__nor2_8)
-                  0.97    0.74   11.63 ^ mprj/u_wb_host/_3135_/Y (sky130_fd_sc_hd__nor2_8)
-    72    0.28                           mprj/u_wb_host/_1403_ (net)
-                  0.98    0.06   11.70 ^ mprj/u_wb_host/_4215_/S (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.41   12.11 v mprj/u_wb_host/_4215_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0357_ (net)
-                  0.06    0.00   12.11 v mprj/u_wb_host/_5827_/D (sky130_fd_sc_hd__dfxtp_1)
-                                 12.11   data arrival time
-
-                         10.00   10.00   clock wbs_clk_i (rise edge)
-                          0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.45   10.46 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01   10.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.15   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.14   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.12   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.63 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00   11.63 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.27   12.27 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00   12.27 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.24   12.51 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00   12.51 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.20   12.72 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00   12.72 ^ mprj/u_wb_host/clkbuf_3_0__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.18   12.90 ^ mprj/u_wb_host/clkbuf_3_0__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    22    0.08                           mprj/u_wb_host/clknet_3_0__leaf_wbs_clk_i (net)
-                  0.10    0.01   12.91 ^ mprj/u_wb_host/_5827_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                         -0.25   12.66   clock uncertainty
-                          0.21   12.87   clock reconvergence pessimism
-                         -0.10   12.77   library setup time
-                                 12.77   data required time
------------------------------------------------------------------------------
-                                 12.77   data required time
-                                -12.11   data arrival time
------------------------------------------------------------------------------
-                                  0.66   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5495_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_wb_host/_5829_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.49    0.49 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01    0.50 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.16    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.13    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.15    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.13    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.76 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00    1.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.29    2.45 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00    2.45 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.26    2.71 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00    2.71 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.22    2.93 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00    2.93 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.18    3.11 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    20    0.06                           mprj/u_wb_host/clknet_3_5__leaf_wbs_clk_i (net)
-                  0.08    0.00    3.11 ^ mprj/u_wb_host/_5495_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  1.59    1.54    4.66 ^ mprj/u_wb_host/_5495_/Q (sky130_fd_sc_hd__dfrtp_4)
-   150    0.58                           mprj/u_wb_host/u_async_wb.u_cmd_if.rd_ptr[1] (net)
-                  1.59    0.01    4.67 ^ mprj/u_wb_host/_2907_/A (sky130_fd_sc_hd__xnor2_1)
-                  0.23    0.24    4.90 v mprj/u_wb_host/_2907_/Y (sky130_fd_sc_hd__xnor2_1)
-     2    0.01                           mprj/u_wb_host/_1260_ (net)
-                  0.23    0.00    4.90 v mprj/u_wb_host/_2909_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.37    5.28 v mprj/u_wb_host/_2909_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_1262_ (net)
-                  0.05    0.00    5.28 v mprj/u_wb_host/_2910_/D (sky130_fd_sc_hd__or4_4)
-                  0.59    0.87    6.14 v mprj/u_wb_host/_2910_/X (sky130_fd_sc_hd__or4_4)
-   142    0.42                           mprj/u_wb_host/_1263_ (net)
-                  0.64    0.13    6.27 v mprj/u_wb_host/_2951_/A2 (sky130_fd_sc_hd__a31o_1)
-                  0.16    0.56    6.83 v mprj/u_wb_host/_2951_/X (sky130_fd_sc_hd__a31o_1)
-     2    0.03                           mprj/u_wb_host/net262 (net)
-                  0.16    0.00    6.83 v mprj/u_wb_host/output262/A (sky130_fd_sc_hd__buf_2)
-                  0.11    0.26    7.09 v mprj/u_wb_host/output262/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_int_adr_i[12] (net)
-                  0.11    0.00    7.10 v mprj/u_intercon/input7/A (sky130_fd_sc_hd__buf_12)
-                  0.04    0.18    7.27 v mprj/u_intercon/input7/X (sky130_fd_sc_hd__buf_12)
-     4    0.05                           mprj/u_intercon/net7 (net)
-                  0.04    0.00    7.28 v mprj/u_intercon/_1782_/A (sky130_fd_sc_hd__or4_4)
-                  0.11    0.59    7.87 v mprj/u_intercon/_1782_/X (sky130_fd_sc_hd__or4_4)
-     3    0.02                           mprj/u_intercon/_0982_ (net)
-                  0.11    0.00    7.87 v mprj/u_intercon/_1784_/A3 (sky130_fd_sc_hd__o31a_1)
-                  0.09    0.31    8.18 v mprj/u_intercon/_1784_/X (sky130_fd_sc_hd__o31a_1)
-     4    0.01                           mprj/u_intercon/_0984_ (net)
-                  0.09    0.00    8.18 v mprj/u_intercon/_2009_/A_N (sky130_fd_sc_hd__and2b_4)
-                  0.29    0.45    8.63 ^ mprj/u_intercon/_2009_/X (sky130_fd_sc_hd__and2b_4)
-     6    0.09                           mprj/u_intercon/_1131_ (net)
-                  0.29    0.01    8.65 ^ mprj/u_intercon/fanout772/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.23    0.37    9.01 ^ mprj/u_intercon/fanout772/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.08                           mprj/u_intercon/net772 (net)
-                  0.23    0.00    9.02 ^ mprj/u_intercon/_2010_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.06    0.17    9.19 ^ mprj/u_intercon/_2010_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_intercon/_1132_ (net)
-                  0.06    0.00    9.19 ^ mprj/u_intercon/_2011_/A0 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    9.33 ^ mprj/u_intercon/_2011_/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_intercon/_1133_ (net)
-                  0.04    0.00    9.33 ^ mprj/u_intercon/_2012_/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.28    0.33    9.66 ^ mprj/u_intercon/_2012_/X (sky130_fd_sc_hd__mux2_4)
-     2    0.10                           mprj/u_intercon/net322 (net)
-                  0.28    0.02    9.68 ^ mprj/u_intercon/output322/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19    9.87 ^ mprj/u_intercon/output322/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mprj/wbd_int_ack_o (net)
-                  0.07    0.00    9.87 ^ mprj/u_wb_host/input101/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.16   10.03 ^ mprj/u_wb_host/input101/X (sky130_fd_sc_hd__buf_12)
-    12    0.08                           mprj/u_wb_host/net101 (net)
-                  0.10    0.01   10.04 ^ mprj/u_wb_host/_2728_/A (sky130_fd_sc_hd__inv_8)
-                  0.04    0.06   10.10 v mprj/u_wb_host/_2728_/Y (sky130_fd_sc_hd__inv_8)
-     8    0.04                           mprj/u_wb_host/_1144_ (net)
-                  0.04    0.00   10.10 v mprj/u_wb_host/_3131_/C1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.26   10.36 v mprj/u_wb_host/_3131_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_wb_host/_1399_ (net)
-                  0.05    0.00   10.36 v mprj/u_wb_host/_3132_/A_N (sky130_fd_sc_hd__and4bb_4)
-                  0.17    0.40   10.76 ^ mprj/u_wb_host/_3132_/X (sky130_fd_sc_hd__and4bb_4)
-     5    0.04                           mprj/u_wb_host/_1400_ (net)
-                  0.17    0.00   10.76 ^ mprj/u_wb_host/_3133_/A (sky130_fd_sc_hd__clkinv_2)
-                  0.09    0.13   10.89 v mprj/u_wb_host/_3133_/Y (sky130_fd_sc_hd__clkinv_2)
-     2    0.02                           mprj/u_wb_host/_1401_ (net)
-                  0.09    0.00   10.89 v mprj/u_wb_host/_3135_/B (sky130_fd_sc_hd__nor2_8)
-                  0.97    0.74   11.63 ^ mprj/u_wb_host/_3135_/Y (sky130_fd_sc_hd__nor2_8)
-    72    0.28                           mprj/u_wb_host/_1403_ (net)
-                  0.98    0.06   11.70 ^ mprj/u_wb_host/_4217_/S (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.41   12.10 v mprj/u_wb_host/_4217_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0359_ (net)
-                  0.06    0.00   12.10 v mprj/u_wb_host/_5829_/D (sky130_fd_sc_hd__dfxtp_1)
-                                 12.10   data arrival time
-
-                         10.00   10.00   clock wbs_clk_i (rise edge)
-                          0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.45   10.46 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01   10.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.15   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.14   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.12   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.63 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00   11.63 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.27   12.27 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00   12.27 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.24   12.51 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00   12.51 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.20   12.72 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00   12.72 ^ mprj/u_wb_host/clkbuf_3_0__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.18   12.90 ^ mprj/u_wb_host/clkbuf_3_0__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    22    0.08                           mprj/u_wb_host/clknet_3_0__leaf_wbs_clk_i (net)
-                  0.10    0.01   12.91 ^ mprj/u_wb_host/_5829_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                         -0.25   12.66   clock uncertainty
-                          0.21   12.87   clock reconvergence pessimism
-                         -0.10   12.77   library setup time
-                                 12.77   data required time
------------------------------------------------------------------------------
-                                 12.77   data required time
-                                -12.10   data arrival time
------------------------------------------------------------------------------
-                                  0.66   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5495_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_wb_host/_5809_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.49    0.49 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01    0.50 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.16    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.13    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.15    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.13    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.76 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00    1.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.29    2.45 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00    2.45 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.26    2.71 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00    2.71 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.22    2.93 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00    2.93 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.18    3.11 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    20    0.06                           mprj/u_wb_host/clknet_3_5__leaf_wbs_clk_i (net)
-                  0.08    0.00    3.11 ^ mprj/u_wb_host/_5495_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  1.59    1.54    4.66 ^ mprj/u_wb_host/_5495_/Q (sky130_fd_sc_hd__dfrtp_4)
-   150    0.58                           mprj/u_wb_host/u_async_wb.u_cmd_if.rd_ptr[1] (net)
-                  1.59    0.01    4.67 ^ mprj/u_wb_host/_2907_/A (sky130_fd_sc_hd__xnor2_1)
-                  0.23    0.24    4.90 v mprj/u_wb_host/_2907_/Y (sky130_fd_sc_hd__xnor2_1)
-     2    0.01                           mprj/u_wb_host/_1260_ (net)
-                  0.23    0.00    4.90 v mprj/u_wb_host/_2909_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.37    5.28 v mprj/u_wb_host/_2909_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_1262_ (net)
-                  0.05    0.00    5.28 v mprj/u_wb_host/_2910_/D (sky130_fd_sc_hd__or4_4)
-                  0.59    0.87    6.14 v mprj/u_wb_host/_2910_/X (sky130_fd_sc_hd__or4_4)
-   142    0.42                           mprj/u_wb_host/_1263_ (net)
-                  0.64    0.13    6.27 v mprj/u_wb_host/_2951_/A2 (sky130_fd_sc_hd__a31o_1)
-                  0.16    0.56    6.83 v mprj/u_wb_host/_2951_/X (sky130_fd_sc_hd__a31o_1)
-     2    0.03                           mprj/u_wb_host/net262 (net)
-                  0.16    0.00    6.83 v mprj/u_wb_host/output262/A (sky130_fd_sc_hd__buf_2)
-                  0.11    0.26    7.09 v mprj/u_wb_host/output262/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_int_adr_i[12] (net)
-                  0.11    0.00    7.10 v mprj/u_intercon/input7/A (sky130_fd_sc_hd__buf_12)
-                  0.04    0.18    7.27 v mprj/u_intercon/input7/X (sky130_fd_sc_hd__buf_12)
-     4    0.05                           mprj/u_intercon/net7 (net)
-                  0.04    0.00    7.28 v mprj/u_intercon/_1782_/A (sky130_fd_sc_hd__or4_4)
-                  0.11    0.59    7.87 v mprj/u_intercon/_1782_/X (sky130_fd_sc_hd__or4_4)
-     3    0.02                           mprj/u_intercon/_0982_ (net)
-                  0.11    0.00    7.87 v mprj/u_intercon/_1784_/A3 (sky130_fd_sc_hd__o31a_1)
-                  0.09    0.31    8.18 v mprj/u_intercon/_1784_/X (sky130_fd_sc_hd__o31a_1)
-     4    0.01                           mprj/u_intercon/_0984_ (net)
-                  0.09    0.00    8.18 v mprj/u_intercon/_2009_/A_N (sky130_fd_sc_hd__and2b_4)
-                  0.29    0.45    8.63 ^ mprj/u_intercon/_2009_/X (sky130_fd_sc_hd__and2b_4)
-     6    0.09                           mprj/u_intercon/_1131_ (net)
-                  0.29    0.01    8.65 ^ mprj/u_intercon/fanout772/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.23    0.37    9.01 ^ mprj/u_intercon/fanout772/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.08                           mprj/u_intercon/net772 (net)
-                  0.23    0.00    9.02 ^ mprj/u_intercon/_2010_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.06    0.17    9.19 ^ mprj/u_intercon/_2010_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_intercon/_1132_ (net)
-                  0.06    0.00    9.19 ^ mprj/u_intercon/_2011_/A0 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    9.33 ^ mprj/u_intercon/_2011_/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_intercon/_1133_ (net)
-                  0.04    0.00    9.33 ^ mprj/u_intercon/_2012_/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.28    0.33    9.66 ^ mprj/u_intercon/_2012_/X (sky130_fd_sc_hd__mux2_4)
-     2    0.10                           mprj/u_intercon/net322 (net)
-                  0.28    0.02    9.68 ^ mprj/u_intercon/output322/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19    9.87 ^ mprj/u_intercon/output322/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mprj/wbd_int_ack_o (net)
-                  0.07    0.00    9.87 ^ mprj/u_wb_host/input101/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.16   10.03 ^ mprj/u_wb_host/input101/X (sky130_fd_sc_hd__buf_12)
-    12    0.08                           mprj/u_wb_host/net101 (net)
-                  0.10    0.01   10.04 ^ mprj/u_wb_host/_2728_/A (sky130_fd_sc_hd__inv_8)
-                  0.04    0.06   10.10 v mprj/u_wb_host/_2728_/Y (sky130_fd_sc_hd__inv_8)
-     8    0.04                           mprj/u_wb_host/_1144_ (net)
-                  0.04    0.00   10.10 v mprj/u_wb_host/_3131_/C1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.26   10.36 v mprj/u_wb_host/_3131_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_wb_host/_1399_ (net)
-                  0.05    0.00   10.36 v mprj/u_wb_host/_3132_/A_N (sky130_fd_sc_hd__and4bb_4)
-                  0.17    0.40   10.76 ^ mprj/u_wb_host/_3132_/X (sky130_fd_sc_hd__and4bb_4)
-     5    0.04                           mprj/u_wb_host/_1400_ (net)
-                  0.17    0.00   10.76 ^ mprj/u_wb_host/_3133_/A (sky130_fd_sc_hd__clkinv_2)
-                  0.09    0.13   10.89 v mprj/u_wb_host/_3133_/Y (sky130_fd_sc_hd__clkinv_2)
-     2    0.02                           mprj/u_wb_host/_1401_ (net)
-                  0.09    0.00   10.89 v mprj/u_wb_host/_3135_/B (sky130_fd_sc_hd__nor2_8)
-                  0.97    0.74   11.63 ^ mprj/u_wb_host/_3135_/Y (sky130_fd_sc_hd__nor2_8)
-    72    0.28                           mprj/u_wb_host/_1403_ (net)
-                  0.98    0.05   11.69 ^ mprj/u_wb_host/_4197_/S (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.40   12.09 v mprj/u_wb_host/_4197_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0339_ (net)
-                  0.06    0.00   12.09 v mprj/u_wb_host/_5809_/D (sky130_fd_sc_hd__dfxtp_1)
-                                 12.09   data arrival time
-
-                         10.00   10.00   clock wbs_clk_i (rise edge)
-                          0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.45   10.46 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01   10.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.15   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.14   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.12   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.63 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00   11.63 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.27   12.27 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00   12.27 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.24   12.51 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00   12.51 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.20   12.72 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00   12.72 ^ mprj/u_wb_host/clkbuf_3_2__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.18   12.90 ^ mprj/u_wb_host/clkbuf_3_2__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    24    0.08                           mprj/u_wb_host/clknet_3_2__leaf_wbs_clk_i (net)
-                  0.10    0.00   12.90 ^ mprj/u_wb_host/_5809_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                         -0.25   12.65   clock uncertainty
-                          0.21   12.86   clock reconvergence pessimism
-                         -0.10   12.76   library setup time
-                                 12.76   data required time
------------------------------------------------------------------------------
-                                 12.76   data required time
-                                -12.09   data arrival time
------------------------------------------------------------------------------
-                                  0.67   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5495_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_wb_host/_5826_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.49    0.49 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01    0.50 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.16    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.13    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.15    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.13    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.76 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00    1.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.29    2.45 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00    2.45 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.26    2.71 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00    2.71 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.22    2.93 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00    2.93 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.18    3.11 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    20    0.06                           mprj/u_wb_host/clknet_3_5__leaf_wbs_clk_i (net)
-                  0.08    0.00    3.11 ^ mprj/u_wb_host/_5495_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  1.59    1.54    4.66 ^ mprj/u_wb_host/_5495_/Q (sky130_fd_sc_hd__dfrtp_4)
-   150    0.58                           mprj/u_wb_host/u_async_wb.u_cmd_if.rd_ptr[1] (net)
-                  1.59    0.01    4.67 ^ mprj/u_wb_host/_2907_/A (sky130_fd_sc_hd__xnor2_1)
-                  0.23    0.24    4.90 v mprj/u_wb_host/_2907_/Y (sky130_fd_sc_hd__xnor2_1)
-     2    0.01                           mprj/u_wb_host/_1260_ (net)
-                  0.23    0.00    4.90 v mprj/u_wb_host/_2909_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.37    5.28 v mprj/u_wb_host/_2909_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_1262_ (net)
-                  0.05    0.00    5.28 v mprj/u_wb_host/_2910_/D (sky130_fd_sc_hd__or4_4)
-                  0.59    0.87    6.14 v mprj/u_wb_host/_2910_/X (sky130_fd_sc_hd__or4_4)
-   142    0.42                           mprj/u_wb_host/_1263_ (net)
-                  0.64    0.13    6.27 v mprj/u_wb_host/_2951_/A2 (sky130_fd_sc_hd__a31o_1)
-                  0.16    0.56    6.83 v mprj/u_wb_host/_2951_/X (sky130_fd_sc_hd__a31o_1)
-     2    0.03                           mprj/u_wb_host/net262 (net)
-                  0.16    0.00    6.83 v mprj/u_wb_host/output262/A (sky130_fd_sc_hd__buf_2)
-                  0.11    0.26    7.09 v mprj/u_wb_host/output262/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_int_adr_i[12] (net)
-                  0.11    0.00    7.10 v mprj/u_intercon/input7/A (sky130_fd_sc_hd__buf_12)
-                  0.04    0.18    7.27 v mprj/u_intercon/input7/X (sky130_fd_sc_hd__buf_12)
-     4    0.05                           mprj/u_intercon/net7 (net)
-                  0.04    0.00    7.28 v mprj/u_intercon/_1782_/A (sky130_fd_sc_hd__or4_4)
-                  0.11    0.59    7.87 v mprj/u_intercon/_1782_/X (sky130_fd_sc_hd__or4_4)
-     3    0.02                           mprj/u_intercon/_0982_ (net)
-                  0.11    0.00    7.87 v mprj/u_intercon/_1784_/A3 (sky130_fd_sc_hd__o31a_1)
-                  0.09    0.31    8.18 v mprj/u_intercon/_1784_/X (sky130_fd_sc_hd__o31a_1)
-     4    0.01                           mprj/u_intercon/_0984_ (net)
-                  0.09    0.00    8.18 v mprj/u_intercon/_2009_/A_N (sky130_fd_sc_hd__and2b_4)
-                  0.29    0.45    8.63 ^ mprj/u_intercon/_2009_/X (sky130_fd_sc_hd__and2b_4)
-     6    0.09                           mprj/u_intercon/_1131_ (net)
-                  0.29    0.01    8.65 ^ mprj/u_intercon/fanout772/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.23    0.37    9.01 ^ mprj/u_intercon/fanout772/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.08                           mprj/u_intercon/net772 (net)
-                  0.23    0.00    9.02 ^ mprj/u_intercon/_2010_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.06    0.17    9.19 ^ mprj/u_intercon/_2010_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_intercon/_1132_ (net)
-                  0.06    0.00    9.19 ^ mprj/u_intercon/_2011_/A0 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    9.33 ^ mprj/u_intercon/_2011_/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_intercon/_1133_ (net)
-                  0.04    0.00    9.33 ^ mprj/u_intercon/_2012_/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.28    0.33    9.66 ^ mprj/u_intercon/_2012_/X (sky130_fd_sc_hd__mux2_4)
-     2    0.10                           mprj/u_intercon/net322 (net)
-                  0.28    0.02    9.68 ^ mprj/u_intercon/output322/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19    9.87 ^ mprj/u_intercon/output322/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mprj/wbd_int_ack_o (net)
-                  0.07    0.00    9.87 ^ mprj/u_wb_host/input101/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.16   10.03 ^ mprj/u_wb_host/input101/X (sky130_fd_sc_hd__buf_12)
-    12    0.08                           mprj/u_wb_host/net101 (net)
-                  0.10    0.01   10.04 ^ mprj/u_wb_host/_2728_/A (sky130_fd_sc_hd__inv_8)
-                  0.04    0.06   10.10 v mprj/u_wb_host/_2728_/Y (sky130_fd_sc_hd__inv_8)
-     8    0.04                           mprj/u_wb_host/_1144_ (net)
-                  0.04    0.00   10.10 v mprj/u_wb_host/_3131_/C1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.26   10.36 v mprj/u_wb_host/_3131_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_wb_host/_1399_ (net)
-                  0.05    0.00   10.36 v mprj/u_wb_host/_3132_/A_N (sky130_fd_sc_hd__and4bb_4)
-                  0.17    0.40   10.76 ^ mprj/u_wb_host/_3132_/X (sky130_fd_sc_hd__and4bb_4)
-     5    0.04                           mprj/u_wb_host/_1400_ (net)
-                  0.17    0.00   10.76 ^ mprj/u_wb_host/_3133_/A (sky130_fd_sc_hd__clkinv_2)
-                  0.09    0.13   10.89 v mprj/u_wb_host/_3133_/Y (sky130_fd_sc_hd__clkinv_2)
-     2    0.02                           mprj/u_wb_host/_1401_ (net)
-                  0.09    0.00   10.89 v mprj/u_wb_host/_3135_/B (sky130_fd_sc_hd__nor2_8)
-                  0.97    0.74   11.63 ^ mprj/u_wb_host/_3135_/Y (sky130_fd_sc_hd__nor2_8)
-    72    0.28                           mprj/u_wb_host/_1403_ (net)
-                  0.98    0.06   11.70 ^ mprj/u_wb_host/_4214_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.39   12.09 v mprj/u_wb_host/_4214_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0356_ (net)
-                  0.05    0.00   12.09 v mprj/u_wb_host/_5826_/D (sky130_fd_sc_hd__dfxtp_1)
-                                 12.09   data arrival time
-
-                         10.00   10.00   clock wbs_clk_i (rise edge)
-                          0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.45   10.46 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01   10.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.15   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.14   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.12   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.63 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00   11.63 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.27   12.27 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00   12.27 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.24   12.51 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00   12.51 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.20   12.72 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00   12.72 ^ mprj/u_wb_host/clkbuf_3_0__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.18   12.90 ^ mprj/u_wb_host/clkbuf_3_0__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    22    0.08                           mprj/u_wb_host/clknet_3_0__leaf_wbs_clk_i (net)
-                  0.10    0.01   12.91 ^ mprj/u_wb_host/_5826_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                         -0.25   12.66   clock uncertainty
-                          0.21   12.87   clock reconvergence pessimism
-                         -0.10   12.77   library setup time
-                                 12.77   data required time
------------------------------------------------------------------------------
-                                 12.77   data required time
-                                -12.09   data arrival time
------------------------------------------------------------------------------
-                                  0.68   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5495_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_wb_host/_5811_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.49    0.49 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01    0.50 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.16    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.13    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.15    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.13    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.76 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00    1.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.29    2.45 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00    2.45 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.26    2.71 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00    2.71 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.22    2.93 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00    2.93 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.18    3.11 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    20    0.06                           mprj/u_wb_host/clknet_3_5__leaf_wbs_clk_i (net)
-                  0.08    0.00    3.11 ^ mprj/u_wb_host/_5495_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  1.59    1.54    4.66 ^ mprj/u_wb_host/_5495_/Q (sky130_fd_sc_hd__dfrtp_4)
-   150    0.58                           mprj/u_wb_host/u_async_wb.u_cmd_if.rd_ptr[1] (net)
-                  1.59    0.01    4.67 ^ mprj/u_wb_host/_2907_/A (sky130_fd_sc_hd__xnor2_1)
-                  0.23    0.24    4.90 v mprj/u_wb_host/_2907_/Y (sky130_fd_sc_hd__xnor2_1)
-     2    0.01                           mprj/u_wb_host/_1260_ (net)
-                  0.23    0.00    4.90 v mprj/u_wb_host/_2909_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.37    5.28 v mprj/u_wb_host/_2909_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_1262_ (net)
-                  0.05    0.00    5.28 v mprj/u_wb_host/_2910_/D (sky130_fd_sc_hd__or4_4)
-                  0.59    0.87    6.14 v mprj/u_wb_host/_2910_/X (sky130_fd_sc_hd__or4_4)
-   142    0.42                           mprj/u_wb_host/_1263_ (net)
-                  0.64    0.13    6.27 v mprj/u_wb_host/_2951_/A2 (sky130_fd_sc_hd__a31o_1)
-                  0.16    0.56    6.83 v mprj/u_wb_host/_2951_/X (sky130_fd_sc_hd__a31o_1)
-     2    0.03                           mprj/u_wb_host/net262 (net)
-                  0.16    0.00    6.83 v mprj/u_wb_host/output262/A (sky130_fd_sc_hd__buf_2)
-                  0.11    0.26    7.09 v mprj/u_wb_host/output262/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_int_adr_i[12] (net)
-                  0.11    0.00    7.10 v mprj/u_intercon/input7/A (sky130_fd_sc_hd__buf_12)
-                  0.04    0.18    7.27 v mprj/u_intercon/input7/X (sky130_fd_sc_hd__buf_12)
-     4    0.05                           mprj/u_intercon/net7 (net)
-                  0.04    0.00    7.28 v mprj/u_intercon/_1782_/A (sky130_fd_sc_hd__or4_4)
-                  0.11    0.59    7.87 v mprj/u_intercon/_1782_/X (sky130_fd_sc_hd__or4_4)
-     3    0.02                           mprj/u_intercon/_0982_ (net)
-                  0.11    0.00    7.87 v mprj/u_intercon/_1784_/A3 (sky130_fd_sc_hd__o31a_1)
-                  0.09    0.31    8.18 v mprj/u_intercon/_1784_/X (sky130_fd_sc_hd__o31a_1)
-     4    0.01                           mprj/u_intercon/_0984_ (net)
-                  0.09    0.00    8.18 v mprj/u_intercon/_2009_/A_N (sky130_fd_sc_hd__and2b_4)
-                  0.29    0.45    8.63 ^ mprj/u_intercon/_2009_/X (sky130_fd_sc_hd__and2b_4)
-     6    0.09                           mprj/u_intercon/_1131_ (net)
-                  0.29    0.01    8.65 ^ mprj/u_intercon/fanout772/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.23    0.37    9.01 ^ mprj/u_intercon/fanout772/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.08                           mprj/u_intercon/net772 (net)
-                  0.23    0.00    9.02 ^ mprj/u_intercon/_2010_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.06    0.17    9.19 ^ mprj/u_intercon/_2010_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_intercon/_1132_ (net)
-                  0.06    0.00    9.19 ^ mprj/u_intercon/_2011_/A0 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    9.33 ^ mprj/u_intercon/_2011_/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_intercon/_1133_ (net)
-                  0.04    0.00    9.33 ^ mprj/u_intercon/_2012_/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.28    0.33    9.66 ^ mprj/u_intercon/_2012_/X (sky130_fd_sc_hd__mux2_4)
-     2    0.10                           mprj/u_intercon/net322 (net)
-                  0.28    0.02    9.68 ^ mprj/u_intercon/output322/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19    9.87 ^ mprj/u_intercon/output322/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mprj/wbd_int_ack_o (net)
-                  0.07    0.00    9.87 ^ mprj/u_wb_host/input101/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.16   10.03 ^ mprj/u_wb_host/input101/X (sky130_fd_sc_hd__buf_12)
-    12    0.08                           mprj/u_wb_host/net101 (net)
-                  0.10    0.01   10.04 ^ mprj/u_wb_host/_2728_/A (sky130_fd_sc_hd__inv_8)
-                  0.04    0.06   10.10 v mprj/u_wb_host/_2728_/Y (sky130_fd_sc_hd__inv_8)
-     8    0.04                           mprj/u_wb_host/_1144_ (net)
-                  0.04    0.00   10.10 v mprj/u_wb_host/_3131_/C1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.26   10.36 v mprj/u_wb_host/_3131_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_wb_host/_1399_ (net)
-                  0.05    0.00   10.36 v mprj/u_wb_host/_3132_/A_N (sky130_fd_sc_hd__and4bb_4)
-                  0.17    0.40   10.76 ^ mprj/u_wb_host/_3132_/X (sky130_fd_sc_hd__and4bb_4)
-     5    0.04                           mprj/u_wb_host/_1400_ (net)
-                  0.17    0.00   10.76 ^ mprj/u_wb_host/_3133_/A (sky130_fd_sc_hd__clkinv_2)
-                  0.09    0.13   10.89 v mprj/u_wb_host/_3133_/Y (sky130_fd_sc_hd__clkinv_2)
-     2    0.02                           mprj/u_wb_host/_1401_ (net)
-                  0.09    0.00   10.89 v mprj/u_wb_host/_3135_/B (sky130_fd_sc_hd__nor2_8)
-                  0.97    0.74   11.63 ^ mprj/u_wb_host/_3135_/Y (sky130_fd_sc_hd__nor2_8)
-    72    0.28                           mprj/u_wb_host/_1403_ (net)
-                  0.98    0.06   11.70 ^ mprj/u_wb_host/_4199_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.39   12.09 v mprj/u_wb_host/_4199_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0341_ (net)
-                  0.05    0.00   12.09 v mprj/u_wb_host/_5811_/D (sky130_fd_sc_hd__dfxtp_1)
-                                 12.09   data arrival time
-
-                         10.00   10.00   clock wbs_clk_i (rise edge)
-                          0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.45   10.46 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01   10.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.15   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.14   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.12   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.63 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00   11.63 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.27   12.27 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00   12.27 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.24   12.51 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00   12.51 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.20   12.72 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00   12.72 ^ mprj/u_wb_host/clkbuf_3_0__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.18   12.90 ^ mprj/u_wb_host/clkbuf_3_0__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    22    0.08                           mprj/u_wb_host/clknet_3_0__leaf_wbs_clk_i (net)
-                  0.10    0.00   12.91 ^ mprj/u_wb_host/_5811_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                         -0.25   12.66   clock uncertainty
-                          0.21   12.87   clock reconvergence pessimism
-                         -0.10   12.77   library setup time
-                                 12.77   data required time
------------------------------------------------------------------------------
-                                 12.77   data required time
-                                -12.09   data arrival time
------------------------------------------------------------------------------
-                                  0.68   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5495_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_wb_host/_5800_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.49    0.49 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01    0.50 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.16    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.13    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.15    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.13    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.76 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00    1.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.29    2.45 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00    2.45 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.26    2.71 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00    2.71 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.22    2.93 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00    2.93 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.18    3.11 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    20    0.06                           mprj/u_wb_host/clknet_3_5__leaf_wbs_clk_i (net)
-                  0.08    0.00    3.11 ^ mprj/u_wb_host/_5495_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  1.59    1.54    4.66 ^ mprj/u_wb_host/_5495_/Q (sky130_fd_sc_hd__dfrtp_4)
-   150    0.58                           mprj/u_wb_host/u_async_wb.u_cmd_if.rd_ptr[1] (net)
-                  1.59    0.01    4.67 ^ mprj/u_wb_host/_2907_/A (sky130_fd_sc_hd__xnor2_1)
-                  0.23    0.24    4.90 v mprj/u_wb_host/_2907_/Y (sky130_fd_sc_hd__xnor2_1)
-     2    0.01                           mprj/u_wb_host/_1260_ (net)
-                  0.23    0.00    4.90 v mprj/u_wb_host/_2909_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.37    5.28 v mprj/u_wb_host/_2909_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_1262_ (net)
-                  0.05    0.00    5.28 v mprj/u_wb_host/_2910_/D (sky130_fd_sc_hd__or4_4)
-                  0.59    0.87    6.14 v mprj/u_wb_host/_2910_/X (sky130_fd_sc_hd__or4_4)
-   142    0.42                           mprj/u_wb_host/_1263_ (net)
-                  0.64    0.13    6.27 v mprj/u_wb_host/_2951_/A2 (sky130_fd_sc_hd__a31o_1)
-                  0.16    0.56    6.83 v mprj/u_wb_host/_2951_/X (sky130_fd_sc_hd__a31o_1)
-     2    0.03                           mprj/u_wb_host/net262 (net)
-                  0.16    0.00    6.83 v mprj/u_wb_host/output262/A (sky130_fd_sc_hd__buf_2)
-                  0.11    0.26    7.09 v mprj/u_wb_host/output262/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_int_adr_i[12] (net)
-                  0.11    0.00    7.10 v mprj/u_intercon/input7/A (sky130_fd_sc_hd__buf_12)
-                  0.04    0.18    7.27 v mprj/u_intercon/input7/X (sky130_fd_sc_hd__buf_12)
-     4    0.05                           mprj/u_intercon/net7 (net)
-                  0.04    0.00    7.28 v mprj/u_intercon/_1782_/A (sky130_fd_sc_hd__or4_4)
-                  0.11    0.59    7.87 v mprj/u_intercon/_1782_/X (sky130_fd_sc_hd__or4_4)
-     3    0.02                           mprj/u_intercon/_0982_ (net)
-                  0.11    0.00    7.87 v mprj/u_intercon/_1784_/A3 (sky130_fd_sc_hd__o31a_1)
-                  0.09    0.31    8.18 v mprj/u_intercon/_1784_/X (sky130_fd_sc_hd__o31a_1)
-     4    0.01                           mprj/u_intercon/_0984_ (net)
-                  0.09    0.00    8.18 v mprj/u_intercon/_2009_/A_N (sky130_fd_sc_hd__and2b_4)
-                  0.29    0.45    8.63 ^ mprj/u_intercon/_2009_/X (sky130_fd_sc_hd__and2b_4)
-     6    0.09                           mprj/u_intercon/_1131_ (net)
-                  0.29    0.01    8.65 ^ mprj/u_intercon/fanout772/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.23    0.37    9.01 ^ mprj/u_intercon/fanout772/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.08                           mprj/u_intercon/net772 (net)
-                  0.23    0.00    9.02 ^ mprj/u_intercon/_2010_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.06    0.17    9.19 ^ mprj/u_intercon/_2010_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_intercon/_1132_ (net)
-                  0.06    0.00    9.19 ^ mprj/u_intercon/_2011_/A0 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    9.33 ^ mprj/u_intercon/_2011_/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_intercon/_1133_ (net)
-                  0.04    0.00    9.33 ^ mprj/u_intercon/_2012_/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.28    0.33    9.66 ^ mprj/u_intercon/_2012_/X (sky130_fd_sc_hd__mux2_4)
-     2    0.10                           mprj/u_intercon/net322 (net)
-                  0.28    0.02    9.68 ^ mprj/u_intercon/output322/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19    9.87 ^ mprj/u_intercon/output322/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mprj/wbd_int_ack_o (net)
-                  0.07    0.00    9.87 ^ mprj/u_wb_host/input101/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.16   10.03 ^ mprj/u_wb_host/input101/X (sky130_fd_sc_hd__buf_12)
-    12    0.08                           mprj/u_wb_host/net101 (net)
-                  0.10    0.01   10.04 ^ mprj/u_wb_host/_2728_/A (sky130_fd_sc_hd__inv_8)
-                  0.04    0.06   10.10 v mprj/u_wb_host/_2728_/Y (sky130_fd_sc_hd__inv_8)
-     8    0.04                           mprj/u_wb_host/_1144_ (net)
-                  0.04    0.00   10.10 v mprj/u_wb_host/_3131_/C1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.26   10.36 v mprj/u_wb_host/_3131_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_wb_host/_1399_ (net)
-                  0.05    0.00   10.36 v mprj/u_wb_host/_3132_/A_N (sky130_fd_sc_hd__and4bb_4)
-                  0.17    0.40   10.76 ^ mprj/u_wb_host/_3132_/X (sky130_fd_sc_hd__and4bb_4)
-     5    0.04                           mprj/u_wb_host/_1400_ (net)
-                  0.17    0.00   10.76 ^ mprj/u_wb_host/_3133_/A (sky130_fd_sc_hd__clkinv_2)
-                  0.09    0.13   10.89 v mprj/u_wb_host/_3133_/Y (sky130_fd_sc_hd__clkinv_2)
-     2    0.02                           mprj/u_wb_host/_1401_ (net)
-                  0.09    0.00   10.89 v mprj/u_wb_host/_3135_/B (sky130_fd_sc_hd__nor2_8)
-                  0.97    0.74   11.63 ^ mprj/u_wb_host/_3135_/Y (sky130_fd_sc_hd__nor2_8)
-    72    0.28                           mprj/u_wb_host/_1403_ (net)
-                  0.98    0.06   11.70 ^ mprj/u_wb_host/_4188_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.39   12.09 v mprj/u_wb_host/_4188_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0330_ (net)
-                  0.05    0.00   12.09 v mprj/u_wb_host/_5800_/D (sky130_fd_sc_hd__dfxtp_1)
-                                 12.09   data arrival time
-
-                         10.00   10.00   clock wbs_clk_i (rise edge)
-                          0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.45   10.46 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01   10.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.15   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.14   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.12   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.63 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00   11.63 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.27   12.27 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00   12.27 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.24   12.51 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00   12.51 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.20   12.72 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00   12.72 ^ mprj/u_wb_host/clkbuf_3_2__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.18   12.90 ^ mprj/u_wb_host/clkbuf_3_2__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    24    0.08                           mprj/u_wb_host/clknet_3_2__leaf_wbs_clk_i (net)
-                  0.10    0.00   12.91 ^ mprj/u_wb_host/_5800_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                         -0.25   12.66   clock uncertainty
-                          0.21   12.87   clock reconvergence pessimism
-                         -0.10   12.77   library setup time
-                                 12.77   data required time
------------------------------------------------------------------------------
-                                 12.77   data required time
-                                -12.09   data arrival time
------------------------------------------------------------------------------
-                                  0.68   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5495_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_wb_host/_5824_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.49    0.49 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01    0.50 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.16    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.13    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.15    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.13    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.76 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00    1.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.29    2.45 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00    2.45 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.26    2.71 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00    2.71 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.22    2.93 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00    2.93 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.18    3.11 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    20    0.06                           mprj/u_wb_host/clknet_3_5__leaf_wbs_clk_i (net)
-                  0.08    0.00    3.11 ^ mprj/u_wb_host/_5495_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  1.59    1.54    4.66 ^ mprj/u_wb_host/_5495_/Q (sky130_fd_sc_hd__dfrtp_4)
-   150    0.58                           mprj/u_wb_host/u_async_wb.u_cmd_if.rd_ptr[1] (net)
-                  1.59    0.01    4.67 ^ mprj/u_wb_host/_2907_/A (sky130_fd_sc_hd__xnor2_1)
-                  0.23    0.24    4.90 v mprj/u_wb_host/_2907_/Y (sky130_fd_sc_hd__xnor2_1)
-     2    0.01                           mprj/u_wb_host/_1260_ (net)
-                  0.23    0.00    4.90 v mprj/u_wb_host/_2909_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.37    5.28 v mprj/u_wb_host/_2909_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_1262_ (net)
-                  0.05    0.00    5.28 v mprj/u_wb_host/_2910_/D (sky130_fd_sc_hd__or4_4)
-                  0.59    0.87    6.14 v mprj/u_wb_host/_2910_/X (sky130_fd_sc_hd__or4_4)
-   142    0.42                           mprj/u_wb_host/_1263_ (net)
-                  0.64    0.13    6.27 v mprj/u_wb_host/_2951_/A2 (sky130_fd_sc_hd__a31o_1)
-                  0.16    0.56    6.83 v mprj/u_wb_host/_2951_/X (sky130_fd_sc_hd__a31o_1)
-     2    0.03                           mprj/u_wb_host/net262 (net)
-                  0.16    0.00    6.83 v mprj/u_wb_host/output262/A (sky130_fd_sc_hd__buf_2)
-                  0.11    0.26    7.09 v mprj/u_wb_host/output262/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_int_adr_i[12] (net)
-                  0.11    0.00    7.10 v mprj/u_intercon/input7/A (sky130_fd_sc_hd__buf_12)
-                  0.04    0.18    7.27 v mprj/u_intercon/input7/X (sky130_fd_sc_hd__buf_12)
-     4    0.05                           mprj/u_intercon/net7 (net)
-                  0.04    0.00    7.28 v mprj/u_intercon/_1782_/A (sky130_fd_sc_hd__or4_4)
-                  0.11    0.59    7.87 v mprj/u_intercon/_1782_/X (sky130_fd_sc_hd__or4_4)
-     3    0.02                           mprj/u_intercon/_0982_ (net)
-                  0.11    0.00    7.87 v mprj/u_intercon/_1784_/A3 (sky130_fd_sc_hd__o31a_1)
-                  0.09    0.31    8.18 v mprj/u_intercon/_1784_/X (sky130_fd_sc_hd__o31a_1)
-     4    0.01                           mprj/u_intercon/_0984_ (net)
-                  0.09    0.00    8.18 v mprj/u_intercon/_2009_/A_N (sky130_fd_sc_hd__and2b_4)
-                  0.29    0.45    8.63 ^ mprj/u_intercon/_2009_/X (sky130_fd_sc_hd__and2b_4)
-     6    0.09                           mprj/u_intercon/_1131_ (net)
-                  0.29    0.01    8.65 ^ mprj/u_intercon/fanout772/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.23    0.37    9.01 ^ mprj/u_intercon/fanout772/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.08                           mprj/u_intercon/net772 (net)
-                  0.23    0.00    9.02 ^ mprj/u_intercon/_2010_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.06    0.17    9.19 ^ mprj/u_intercon/_2010_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_intercon/_1132_ (net)
-                  0.06    0.00    9.19 ^ mprj/u_intercon/_2011_/A0 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    9.33 ^ mprj/u_intercon/_2011_/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_intercon/_1133_ (net)
-                  0.04    0.00    9.33 ^ mprj/u_intercon/_2012_/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.28    0.33    9.66 ^ mprj/u_intercon/_2012_/X (sky130_fd_sc_hd__mux2_4)
-     2    0.10                           mprj/u_intercon/net322 (net)
-                  0.28    0.02    9.68 ^ mprj/u_intercon/output322/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19    9.87 ^ mprj/u_intercon/output322/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mprj/wbd_int_ack_o (net)
-                  0.07    0.00    9.87 ^ mprj/u_wb_host/input101/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.16   10.03 ^ mprj/u_wb_host/input101/X (sky130_fd_sc_hd__buf_12)
-    12    0.08                           mprj/u_wb_host/net101 (net)
-                  0.10    0.01   10.04 ^ mprj/u_wb_host/_2728_/A (sky130_fd_sc_hd__inv_8)
-                  0.04    0.06   10.10 v mprj/u_wb_host/_2728_/Y (sky130_fd_sc_hd__inv_8)
-     8    0.04                           mprj/u_wb_host/_1144_ (net)
-                  0.04    0.00   10.10 v mprj/u_wb_host/_3131_/C1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.26   10.36 v mprj/u_wb_host/_3131_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_wb_host/_1399_ (net)
-                  0.05    0.00   10.36 v mprj/u_wb_host/_3132_/A_N (sky130_fd_sc_hd__and4bb_4)
-                  0.17    0.40   10.76 ^ mprj/u_wb_host/_3132_/X (sky130_fd_sc_hd__and4bb_4)
-     5    0.04                           mprj/u_wb_host/_1400_ (net)
-                  0.17    0.00   10.76 ^ mprj/u_wb_host/_3133_/A (sky130_fd_sc_hd__clkinv_2)
-                  0.09    0.13   10.89 v mprj/u_wb_host/_3133_/Y (sky130_fd_sc_hd__clkinv_2)
-     2    0.02                           mprj/u_wb_host/_1401_ (net)
-                  0.09    0.00   10.89 v mprj/u_wb_host/_3135_/B (sky130_fd_sc_hd__nor2_8)
-                  0.97    0.74   11.63 ^ mprj/u_wb_host/_3135_/Y (sky130_fd_sc_hd__nor2_8)
-    72    0.28                           mprj/u_wb_host/_1403_ (net)
-                  0.98    0.06   11.70 ^ mprj/u_wb_host/_4212_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.39   12.09 v mprj/u_wb_host/_4212_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0354_ (net)
-                  0.05    0.00   12.09 v mprj/u_wb_host/_5824_/D (sky130_fd_sc_hd__dfxtp_1)
-                                 12.09   data arrival time
-
-                         10.00   10.00   clock wbs_clk_i (rise edge)
-                          0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.45   10.46 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01   10.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.15   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.14   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.12   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.63 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00   11.63 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.27   12.27 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00   12.27 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.24   12.51 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00   12.51 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.20   12.72 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00   12.72 ^ mprj/u_wb_host/clkbuf_3_0__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.18   12.90 ^ mprj/u_wb_host/clkbuf_3_0__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    22    0.08                           mprj/u_wb_host/clknet_3_0__leaf_wbs_clk_i (net)
-                  0.10    0.01   12.91 ^ mprj/u_wb_host/_5824_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                         -0.25   12.66   clock uncertainty
-                          0.21   12.87   clock reconvergence pessimism
-                         -0.10   12.77   library setup time
-                                 12.77   data required time
------------------------------------------------------------------------------
-                                 12.77   data required time
-                                -12.09   data arrival time
------------------------------------------------------------------------------
-                                  0.68   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5495_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_wb_host/_5825_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.49    0.49 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01    0.50 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.16    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.13    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.15    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.13    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.76 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00    1.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.29    2.45 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00    2.45 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.26    2.71 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00    2.71 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.22    2.93 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00    2.93 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.18    3.11 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    20    0.06                           mprj/u_wb_host/clknet_3_5__leaf_wbs_clk_i (net)
-                  0.08    0.00    3.11 ^ mprj/u_wb_host/_5495_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  1.59    1.54    4.66 ^ mprj/u_wb_host/_5495_/Q (sky130_fd_sc_hd__dfrtp_4)
-   150    0.58                           mprj/u_wb_host/u_async_wb.u_cmd_if.rd_ptr[1] (net)
-                  1.59    0.01    4.67 ^ mprj/u_wb_host/_2907_/A (sky130_fd_sc_hd__xnor2_1)
-                  0.23    0.24    4.90 v mprj/u_wb_host/_2907_/Y (sky130_fd_sc_hd__xnor2_1)
-     2    0.01                           mprj/u_wb_host/_1260_ (net)
-                  0.23    0.00    4.90 v mprj/u_wb_host/_2909_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.37    5.28 v mprj/u_wb_host/_2909_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_1262_ (net)
-                  0.05    0.00    5.28 v mprj/u_wb_host/_2910_/D (sky130_fd_sc_hd__or4_4)
-                  0.59    0.87    6.14 v mprj/u_wb_host/_2910_/X (sky130_fd_sc_hd__or4_4)
-   142    0.42                           mprj/u_wb_host/_1263_ (net)
-                  0.64    0.13    6.27 v mprj/u_wb_host/_2951_/A2 (sky130_fd_sc_hd__a31o_1)
-                  0.16    0.56    6.83 v mprj/u_wb_host/_2951_/X (sky130_fd_sc_hd__a31o_1)
-     2    0.03                           mprj/u_wb_host/net262 (net)
-                  0.16    0.00    6.83 v mprj/u_wb_host/output262/A (sky130_fd_sc_hd__buf_2)
-                  0.11    0.26    7.09 v mprj/u_wb_host/output262/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_int_adr_i[12] (net)
-                  0.11    0.00    7.10 v mprj/u_intercon/input7/A (sky130_fd_sc_hd__buf_12)
-                  0.04    0.18    7.27 v mprj/u_intercon/input7/X (sky130_fd_sc_hd__buf_12)
-     4    0.05                           mprj/u_intercon/net7 (net)
-                  0.04    0.00    7.28 v mprj/u_intercon/_1782_/A (sky130_fd_sc_hd__or4_4)
-                  0.11    0.59    7.87 v mprj/u_intercon/_1782_/X (sky130_fd_sc_hd__or4_4)
-     3    0.02                           mprj/u_intercon/_0982_ (net)
-                  0.11    0.00    7.87 v mprj/u_intercon/_1784_/A3 (sky130_fd_sc_hd__o31a_1)
-                  0.09    0.31    8.18 v mprj/u_intercon/_1784_/X (sky130_fd_sc_hd__o31a_1)
-     4    0.01                           mprj/u_intercon/_0984_ (net)
-                  0.09    0.00    8.18 v mprj/u_intercon/_2009_/A_N (sky130_fd_sc_hd__and2b_4)
-                  0.29    0.45    8.63 ^ mprj/u_intercon/_2009_/X (sky130_fd_sc_hd__and2b_4)
-     6    0.09                           mprj/u_intercon/_1131_ (net)
-                  0.29    0.01    8.65 ^ mprj/u_intercon/fanout772/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.23    0.37    9.01 ^ mprj/u_intercon/fanout772/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.08                           mprj/u_intercon/net772 (net)
-                  0.23    0.00    9.02 ^ mprj/u_intercon/_2010_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.06    0.17    9.19 ^ mprj/u_intercon/_2010_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_intercon/_1132_ (net)
-                  0.06    0.00    9.19 ^ mprj/u_intercon/_2011_/A0 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    9.33 ^ mprj/u_intercon/_2011_/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_intercon/_1133_ (net)
-                  0.04    0.00    9.33 ^ mprj/u_intercon/_2012_/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.28    0.33    9.66 ^ mprj/u_intercon/_2012_/X (sky130_fd_sc_hd__mux2_4)
-     2    0.10                           mprj/u_intercon/net322 (net)
-                  0.28    0.02    9.68 ^ mprj/u_intercon/output322/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19    9.87 ^ mprj/u_intercon/output322/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mprj/wbd_int_ack_o (net)
-                  0.07    0.00    9.87 ^ mprj/u_wb_host/input101/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.16   10.03 ^ mprj/u_wb_host/input101/X (sky130_fd_sc_hd__buf_12)
-    12    0.08                           mprj/u_wb_host/net101 (net)
-                  0.10    0.01   10.04 ^ mprj/u_wb_host/_2728_/A (sky130_fd_sc_hd__inv_8)
-                  0.04    0.06   10.10 v mprj/u_wb_host/_2728_/Y (sky130_fd_sc_hd__inv_8)
-     8    0.04                           mprj/u_wb_host/_1144_ (net)
-                  0.04    0.00   10.10 v mprj/u_wb_host/_3131_/C1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.26   10.36 v mprj/u_wb_host/_3131_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_wb_host/_1399_ (net)
-                  0.05    0.00   10.36 v mprj/u_wb_host/_3132_/A_N (sky130_fd_sc_hd__and4bb_4)
-                  0.17    0.40   10.76 ^ mprj/u_wb_host/_3132_/X (sky130_fd_sc_hd__and4bb_4)
-     5    0.04                           mprj/u_wb_host/_1400_ (net)
-                  0.17    0.00   10.76 ^ mprj/u_wb_host/_3133_/A (sky130_fd_sc_hd__clkinv_2)
-                  0.09    0.13   10.89 v mprj/u_wb_host/_3133_/Y (sky130_fd_sc_hd__clkinv_2)
-     2    0.02                           mprj/u_wb_host/_1401_ (net)
-                  0.09    0.00   10.89 v mprj/u_wb_host/_3135_/B (sky130_fd_sc_hd__nor2_8)
-                  0.97    0.74   11.63 ^ mprj/u_wb_host/_3135_/Y (sky130_fd_sc_hd__nor2_8)
-    72    0.28                           mprj/u_wb_host/_1403_ (net)
-                  0.98    0.06   11.70 ^ mprj/u_wb_host/_4213_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.39   12.09 v mprj/u_wb_host/_4213_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0355_ (net)
-                  0.05    0.00   12.09 v mprj/u_wb_host/_5825_/D (sky130_fd_sc_hd__dfxtp_1)
-                                 12.09   data arrival time
-
-                         10.00   10.00   clock wbs_clk_i (rise edge)
-                          0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.45   10.46 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01   10.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.15   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.14   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.12   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.63 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00   11.63 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.27   12.27 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00   12.27 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.24   12.51 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00   12.51 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.20   12.72 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00   12.72 ^ mprj/u_wb_host/clkbuf_3_0__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.18   12.90 ^ mprj/u_wb_host/clkbuf_3_0__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    22    0.08                           mprj/u_wb_host/clknet_3_0__leaf_wbs_clk_i (net)
-                  0.10    0.01   12.91 ^ mprj/u_wb_host/_5825_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                         -0.25   12.66   clock uncertainty
-                          0.21   12.87   clock reconvergence pessimism
-                         -0.10   12.77   library setup time
-                                 12.77   data required time
------------------------------------------------------------------------------
-                                 12.77   data required time
-                                -12.09   data arrival time
------------------------------------------------------------------------------
-                                  0.68   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5495_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_wb_host/_5807_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.49    0.49 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01    0.50 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.16    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.13    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.15    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.13    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.76 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00    1.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.29    2.45 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00    2.45 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.26    2.71 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00    2.71 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.22    2.93 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00    2.93 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.18    3.11 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    20    0.06                           mprj/u_wb_host/clknet_3_5__leaf_wbs_clk_i (net)
-                  0.08    0.00    3.11 ^ mprj/u_wb_host/_5495_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  1.59    1.54    4.66 ^ mprj/u_wb_host/_5495_/Q (sky130_fd_sc_hd__dfrtp_4)
-   150    0.58                           mprj/u_wb_host/u_async_wb.u_cmd_if.rd_ptr[1] (net)
-                  1.59    0.01    4.67 ^ mprj/u_wb_host/_2907_/A (sky130_fd_sc_hd__xnor2_1)
-                  0.23    0.24    4.90 v mprj/u_wb_host/_2907_/Y (sky130_fd_sc_hd__xnor2_1)
-     2    0.01                           mprj/u_wb_host/_1260_ (net)
-                  0.23    0.00    4.90 v mprj/u_wb_host/_2909_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.37    5.28 v mprj/u_wb_host/_2909_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_1262_ (net)
-                  0.05    0.00    5.28 v mprj/u_wb_host/_2910_/D (sky130_fd_sc_hd__or4_4)
-                  0.59    0.87    6.14 v mprj/u_wb_host/_2910_/X (sky130_fd_sc_hd__or4_4)
-   142    0.42                           mprj/u_wb_host/_1263_ (net)
-                  0.64    0.13    6.27 v mprj/u_wb_host/_2951_/A2 (sky130_fd_sc_hd__a31o_1)
-                  0.16    0.56    6.83 v mprj/u_wb_host/_2951_/X (sky130_fd_sc_hd__a31o_1)
-     2    0.03                           mprj/u_wb_host/net262 (net)
-                  0.16    0.00    6.83 v mprj/u_wb_host/output262/A (sky130_fd_sc_hd__buf_2)
-                  0.11    0.26    7.09 v mprj/u_wb_host/output262/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_int_adr_i[12] (net)
-                  0.11    0.00    7.10 v mprj/u_intercon/input7/A (sky130_fd_sc_hd__buf_12)
-                  0.04    0.18    7.27 v mprj/u_intercon/input7/X (sky130_fd_sc_hd__buf_12)
-     4    0.05                           mprj/u_intercon/net7 (net)
-                  0.04    0.00    7.28 v mprj/u_intercon/_1782_/A (sky130_fd_sc_hd__or4_4)
-                  0.11    0.59    7.87 v mprj/u_intercon/_1782_/X (sky130_fd_sc_hd__or4_4)
-     3    0.02                           mprj/u_intercon/_0982_ (net)
-                  0.11    0.00    7.87 v mprj/u_intercon/_1784_/A3 (sky130_fd_sc_hd__o31a_1)
-                  0.09    0.31    8.18 v mprj/u_intercon/_1784_/X (sky130_fd_sc_hd__o31a_1)
-     4    0.01                           mprj/u_intercon/_0984_ (net)
-                  0.09    0.00    8.18 v mprj/u_intercon/_2009_/A_N (sky130_fd_sc_hd__and2b_4)
-                  0.29    0.45    8.63 ^ mprj/u_intercon/_2009_/X (sky130_fd_sc_hd__and2b_4)
-     6    0.09                           mprj/u_intercon/_1131_ (net)
-                  0.29    0.01    8.65 ^ mprj/u_intercon/fanout772/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.23    0.37    9.01 ^ mprj/u_intercon/fanout772/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.08                           mprj/u_intercon/net772 (net)
-                  0.23    0.00    9.02 ^ mprj/u_intercon/_2010_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.06    0.17    9.19 ^ mprj/u_intercon/_2010_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_intercon/_1132_ (net)
-                  0.06    0.00    9.19 ^ mprj/u_intercon/_2011_/A0 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    9.33 ^ mprj/u_intercon/_2011_/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_intercon/_1133_ (net)
-                  0.04    0.00    9.33 ^ mprj/u_intercon/_2012_/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.28    0.33    9.66 ^ mprj/u_intercon/_2012_/X (sky130_fd_sc_hd__mux2_4)
-     2    0.10                           mprj/u_intercon/net322 (net)
-                  0.28    0.02    9.68 ^ mprj/u_intercon/output322/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19    9.87 ^ mprj/u_intercon/output322/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mprj/wbd_int_ack_o (net)
-                  0.07    0.00    9.87 ^ mprj/u_wb_host/input101/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.16   10.03 ^ mprj/u_wb_host/input101/X (sky130_fd_sc_hd__buf_12)
-    12    0.08                           mprj/u_wb_host/net101 (net)
-                  0.10    0.01   10.04 ^ mprj/u_wb_host/_2728_/A (sky130_fd_sc_hd__inv_8)
-                  0.04    0.06   10.10 v mprj/u_wb_host/_2728_/Y (sky130_fd_sc_hd__inv_8)
-     8    0.04                           mprj/u_wb_host/_1144_ (net)
-                  0.04    0.00   10.10 v mprj/u_wb_host/_3131_/C1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.26   10.36 v mprj/u_wb_host/_3131_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_wb_host/_1399_ (net)
-                  0.05    0.00   10.36 v mprj/u_wb_host/_3132_/A_N (sky130_fd_sc_hd__and4bb_4)
-                  0.17    0.40   10.76 ^ mprj/u_wb_host/_3132_/X (sky130_fd_sc_hd__and4bb_4)
-     5    0.04                           mprj/u_wb_host/_1400_ (net)
-                  0.17    0.00   10.76 ^ mprj/u_wb_host/_3133_/A (sky130_fd_sc_hd__clkinv_2)
-                  0.09    0.13   10.89 v mprj/u_wb_host/_3133_/Y (sky130_fd_sc_hd__clkinv_2)
-     2    0.02                           mprj/u_wb_host/_1401_ (net)
-                  0.09    0.00   10.89 v mprj/u_wb_host/_3135_/B (sky130_fd_sc_hd__nor2_8)
-                  0.97    0.74   11.63 ^ mprj/u_wb_host/_3135_/Y (sky130_fd_sc_hd__nor2_8)
-    72    0.28                           mprj/u_wb_host/_1403_ (net)
-                  0.98    0.05   11.69 ^ mprj/u_wb_host/_4195_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.39   12.08 v mprj/u_wb_host/_4195_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0337_ (net)
-                  0.05    0.00   12.08 v mprj/u_wb_host/_5807_/D (sky130_fd_sc_hd__dfxtp_1)
-                                 12.08   data arrival time
-
-                         10.00   10.00   clock wbs_clk_i (rise edge)
-                          0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.45   10.46 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01   10.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.15   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.14   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.12   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.63 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00   11.63 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.27   12.27 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00   12.27 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.24   12.51 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00   12.51 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.20   12.72 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00   12.72 ^ mprj/u_wb_host/clkbuf_3_2__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.18   12.90 ^ mprj/u_wb_host/clkbuf_3_2__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    24    0.08                           mprj/u_wb_host/clknet_3_2__leaf_wbs_clk_i (net)
-                  0.10    0.00   12.90 ^ mprj/u_wb_host/_5807_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                         -0.25   12.65   clock uncertainty
-                          0.21   12.86   clock reconvergence pessimism
-                         -0.10   12.76   library setup time
-                                 12.76   data required time
------------------------------------------------------------------------------
-                                 12.76   data required time
-                                -12.08   data arrival time
------------------------------------------------------------------------------
-                                  0.68   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5495_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_wb_host/_5799_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.49    0.49 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01    0.50 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.16    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.13    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.15    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.13    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.76 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00    1.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.29    2.45 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00    2.45 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.26    2.71 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00    2.71 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.22    2.93 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00    2.93 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.18    3.11 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    20    0.06                           mprj/u_wb_host/clknet_3_5__leaf_wbs_clk_i (net)
-                  0.08    0.00    3.11 ^ mprj/u_wb_host/_5495_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  1.59    1.54    4.66 ^ mprj/u_wb_host/_5495_/Q (sky130_fd_sc_hd__dfrtp_4)
-   150    0.58                           mprj/u_wb_host/u_async_wb.u_cmd_if.rd_ptr[1] (net)
-                  1.59    0.01    4.67 ^ mprj/u_wb_host/_2907_/A (sky130_fd_sc_hd__xnor2_1)
-                  0.23    0.24    4.90 v mprj/u_wb_host/_2907_/Y (sky130_fd_sc_hd__xnor2_1)
-     2    0.01                           mprj/u_wb_host/_1260_ (net)
-                  0.23    0.00    4.90 v mprj/u_wb_host/_2909_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.37    5.28 v mprj/u_wb_host/_2909_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_1262_ (net)
-                  0.05    0.00    5.28 v mprj/u_wb_host/_2910_/D (sky130_fd_sc_hd__or4_4)
-                  0.59    0.87    6.14 v mprj/u_wb_host/_2910_/X (sky130_fd_sc_hd__or4_4)
-   142    0.42                           mprj/u_wb_host/_1263_ (net)
-                  0.64    0.13    6.27 v mprj/u_wb_host/_2951_/A2 (sky130_fd_sc_hd__a31o_1)
-                  0.16    0.56    6.83 v mprj/u_wb_host/_2951_/X (sky130_fd_sc_hd__a31o_1)
-     2    0.03                           mprj/u_wb_host/net262 (net)
-                  0.16    0.00    6.83 v mprj/u_wb_host/output262/A (sky130_fd_sc_hd__buf_2)
-                  0.11    0.26    7.09 v mprj/u_wb_host/output262/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_int_adr_i[12] (net)
-                  0.11    0.00    7.10 v mprj/u_intercon/input7/A (sky130_fd_sc_hd__buf_12)
-                  0.04    0.18    7.27 v mprj/u_intercon/input7/X (sky130_fd_sc_hd__buf_12)
-     4    0.05                           mprj/u_intercon/net7 (net)
-                  0.04    0.00    7.28 v mprj/u_intercon/_1782_/A (sky130_fd_sc_hd__or4_4)
-                  0.11    0.59    7.87 v mprj/u_intercon/_1782_/X (sky130_fd_sc_hd__or4_4)
-     3    0.02                           mprj/u_intercon/_0982_ (net)
-                  0.11    0.00    7.87 v mprj/u_intercon/_1784_/A3 (sky130_fd_sc_hd__o31a_1)
-                  0.09    0.31    8.18 v mprj/u_intercon/_1784_/X (sky130_fd_sc_hd__o31a_1)
-     4    0.01                           mprj/u_intercon/_0984_ (net)
-                  0.09    0.00    8.18 v mprj/u_intercon/_2009_/A_N (sky130_fd_sc_hd__and2b_4)
-                  0.29    0.45    8.63 ^ mprj/u_intercon/_2009_/X (sky130_fd_sc_hd__and2b_4)
-     6    0.09                           mprj/u_intercon/_1131_ (net)
-                  0.29    0.01    8.65 ^ mprj/u_intercon/fanout772/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.23    0.37    9.01 ^ mprj/u_intercon/fanout772/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.08                           mprj/u_intercon/net772 (net)
-                  0.23    0.00    9.02 ^ mprj/u_intercon/_2010_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.06    0.17    9.19 ^ mprj/u_intercon/_2010_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_intercon/_1132_ (net)
-                  0.06    0.00    9.19 ^ mprj/u_intercon/_2011_/A0 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    9.33 ^ mprj/u_intercon/_2011_/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_intercon/_1133_ (net)
-                  0.04    0.00    9.33 ^ mprj/u_intercon/_2012_/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.28    0.33    9.66 ^ mprj/u_intercon/_2012_/X (sky130_fd_sc_hd__mux2_4)
-     2    0.10                           mprj/u_intercon/net322 (net)
-                  0.28    0.02    9.68 ^ mprj/u_intercon/output322/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19    9.87 ^ mprj/u_intercon/output322/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mprj/wbd_int_ack_o (net)
-                  0.07    0.00    9.87 ^ mprj/u_wb_host/input101/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.16   10.03 ^ mprj/u_wb_host/input101/X (sky130_fd_sc_hd__buf_12)
-    12    0.08                           mprj/u_wb_host/net101 (net)
-                  0.10    0.01   10.04 ^ mprj/u_wb_host/_2728_/A (sky130_fd_sc_hd__inv_8)
-                  0.04    0.06   10.10 v mprj/u_wb_host/_2728_/Y (sky130_fd_sc_hd__inv_8)
-     8    0.04                           mprj/u_wb_host/_1144_ (net)
-                  0.04    0.00   10.10 v mprj/u_wb_host/_3131_/C1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.26   10.36 v mprj/u_wb_host/_3131_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_wb_host/_1399_ (net)
-                  0.05    0.00   10.36 v mprj/u_wb_host/_3132_/A_N (sky130_fd_sc_hd__and4bb_4)
-                  0.17    0.40   10.76 ^ mprj/u_wb_host/_3132_/X (sky130_fd_sc_hd__and4bb_4)
-     5    0.04                           mprj/u_wb_host/_1400_ (net)
-                  0.17    0.00   10.76 ^ mprj/u_wb_host/_3133_/A (sky130_fd_sc_hd__clkinv_2)
-                  0.09    0.13   10.89 v mprj/u_wb_host/_3133_/Y (sky130_fd_sc_hd__clkinv_2)
-     2    0.02                           mprj/u_wb_host/_1401_ (net)
-                  0.09    0.00   10.89 v mprj/u_wb_host/_3135_/B (sky130_fd_sc_hd__nor2_8)
-                  0.97    0.74   11.63 ^ mprj/u_wb_host/_3135_/Y (sky130_fd_sc_hd__nor2_8)
-    72    0.28                           mprj/u_wb_host/_1403_ (net)
-                  0.98    0.05   11.69 ^ mprj/u_wb_host/_4187_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.39   12.08 v mprj/u_wb_host/_4187_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0329_ (net)
-                  0.05    0.00   12.08 v mprj/u_wb_host/_5799_/D (sky130_fd_sc_hd__dfxtp_1)
-                                 12.08   data arrival time
-
-                         10.00   10.00   clock wbs_clk_i (rise edge)
-                          0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.45   10.46 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01   10.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.15   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.14   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.12   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.63 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00   11.63 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.27   12.27 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00   12.27 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.24   12.51 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00   12.51 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.20   12.72 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00   12.72 ^ mprj/u_wb_host/clkbuf_3_2__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.18   12.90 ^ mprj/u_wb_host/clkbuf_3_2__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    24    0.08                           mprj/u_wb_host/clknet_3_2__leaf_wbs_clk_i (net)
-                  0.10    0.00   12.90 ^ mprj/u_wb_host/_5799_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                         -0.25   12.65   clock uncertainty
-                          0.21   12.87   clock reconvergence pessimism
-                         -0.10   12.76   library setup time
-                                 12.76   data required time
------------------------------------------------------------------------------
-                                 12.76   data required time
-                                -12.08   data arrival time
------------------------------------------------------------------------------
-                                  0.68   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5495_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_wb_host/_5821_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.49    0.49 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01    0.50 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.16    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.13    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.15    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.13    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.76 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00    1.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.29    2.45 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00    2.45 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.26    2.71 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00    2.71 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.22    2.93 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00    2.93 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.18    3.11 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    20    0.06                           mprj/u_wb_host/clknet_3_5__leaf_wbs_clk_i (net)
-                  0.08    0.00    3.11 ^ mprj/u_wb_host/_5495_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  1.59    1.54    4.66 ^ mprj/u_wb_host/_5495_/Q (sky130_fd_sc_hd__dfrtp_4)
-   150    0.58                           mprj/u_wb_host/u_async_wb.u_cmd_if.rd_ptr[1] (net)
-                  1.59    0.01    4.67 ^ mprj/u_wb_host/_2907_/A (sky130_fd_sc_hd__xnor2_1)
-                  0.23    0.24    4.90 v mprj/u_wb_host/_2907_/Y (sky130_fd_sc_hd__xnor2_1)
-     2    0.01                           mprj/u_wb_host/_1260_ (net)
-                  0.23    0.00    4.90 v mprj/u_wb_host/_2909_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.37    5.28 v mprj/u_wb_host/_2909_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_1262_ (net)
-                  0.05    0.00    5.28 v mprj/u_wb_host/_2910_/D (sky130_fd_sc_hd__or4_4)
-                  0.59    0.87    6.14 v mprj/u_wb_host/_2910_/X (sky130_fd_sc_hd__or4_4)
-   142    0.42                           mprj/u_wb_host/_1263_ (net)
-                  0.64    0.13    6.27 v mprj/u_wb_host/_2951_/A2 (sky130_fd_sc_hd__a31o_1)
-                  0.16    0.56    6.83 v mprj/u_wb_host/_2951_/X (sky130_fd_sc_hd__a31o_1)
-     2    0.03                           mprj/u_wb_host/net262 (net)
-                  0.16    0.00    6.83 v mprj/u_wb_host/output262/A (sky130_fd_sc_hd__buf_2)
-                  0.11    0.26    7.09 v mprj/u_wb_host/output262/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_int_adr_i[12] (net)
-                  0.11    0.00    7.10 v mprj/u_intercon/input7/A (sky130_fd_sc_hd__buf_12)
-                  0.04    0.18    7.27 v mprj/u_intercon/input7/X (sky130_fd_sc_hd__buf_12)
-     4    0.05                           mprj/u_intercon/net7 (net)
-                  0.04    0.00    7.28 v mprj/u_intercon/_1782_/A (sky130_fd_sc_hd__or4_4)
-                  0.11    0.59    7.87 v mprj/u_intercon/_1782_/X (sky130_fd_sc_hd__or4_4)
-     3    0.02                           mprj/u_intercon/_0982_ (net)
-                  0.11    0.00    7.87 v mprj/u_intercon/_1784_/A3 (sky130_fd_sc_hd__o31a_1)
-                  0.09    0.31    8.18 v mprj/u_intercon/_1784_/X (sky130_fd_sc_hd__o31a_1)
-     4    0.01                           mprj/u_intercon/_0984_ (net)
-                  0.09    0.00    8.18 v mprj/u_intercon/_2009_/A_N (sky130_fd_sc_hd__and2b_4)
-                  0.29    0.45    8.63 ^ mprj/u_intercon/_2009_/X (sky130_fd_sc_hd__and2b_4)
-     6    0.09                           mprj/u_intercon/_1131_ (net)
-                  0.29    0.01    8.65 ^ mprj/u_intercon/fanout772/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.23    0.37    9.01 ^ mprj/u_intercon/fanout772/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.08                           mprj/u_intercon/net772 (net)
-                  0.23    0.00    9.02 ^ mprj/u_intercon/_2010_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.06    0.17    9.19 ^ mprj/u_intercon/_2010_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_intercon/_1132_ (net)
-                  0.06    0.00    9.19 ^ mprj/u_intercon/_2011_/A0 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    9.33 ^ mprj/u_intercon/_2011_/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_intercon/_1133_ (net)
-                  0.04    0.00    9.33 ^ mprj/u_intercon/_2012_/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.28    0.33    9.66 ^ mprj/u_intercon/_2012_/X (sky130_fd_sc_hd__mux2_4)
-     2    0.10                           mprj/u_intercon/net322 (net)
-                  0.28    0.02    9.68 ^ mprj/u_intercon/output322/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19    9.87 ^ mprj/u_intercon/output322/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mprj/wbd_int_ack_o (net)
-                  0.07    0.00    9.87 ^ mprj/u_wb_host/input101/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.16   10.03 ^ mprj/u_wb_host/input101/X (sky130_fd_sc_hd__buf_12)
-    12    0.08                           mprj/u_wb_host/net101 (net)
-                  0.10    0.01   10.04 ^ mprj/u_wb_host/_2728_/A (sky130_fd_sc_hd__inv_8)
-                  0.04    0.06   10.10 v mprj/u_wb_host/_2728_/Y (sky130_fd_sc_hd__inv_8)
-     8    0.04                           mprj/u_wb_host/_1144_ (net)
-                  0.04    0.00   10.10 v mprj/u_wb_host/_3131_/C1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.26   10.36 v mprj/u_wb_host/_3131_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_wb_host/_1399_ (net)
-                  0.05    0.00   10.36 v mprj/u_wb_host/_3132_/A_N (sky130_fd_sc_hd__and4bb_4)
-                  0.17    0.40   10.76 ^ mprj/u_wb_host/_3132_/X (sky130_fd_sc_hd__and4bb_4)
-     5    0.04                           mprj/u_wb_host/_1400_ (net)
-                  0.17    0.00   10.76 ^ mprj/u_wb_host/_3133_/A (sky130_fd_sc_hd__clkinv_2)
-                  0.09    0.13   10.89 v mprj/u_wb_host/_3133_/Y (sky130_fd_sc_hd__clkinv_2)
-     2    0.02                           mprj/u_wb_host/_1401_ (net)
-                  0.09    0.00   10.89 v mprj/u_wb_host/_3135_/B (sky130_fd_sc_hd__nor2_8)
-                  0.97    0.74   11.63 ^ mprj/u_wb_host/_3135_/Y (sky130_fd_sc_hd__nor2_8)
-    72    0.28                           mprj/u_wb_host/_1403_ (net)
-                  0.98    0.06   11.69 ^ mprj/u_wb_host/_4209_/S (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.40   12.10 v mprj/u_wb_host/_4209_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0351_ (net)
-                  0.06    0.00   12.10 v mprj/u_wb_host/_5821_/D (sky130_fd_sc_hd__dfxtp_1)
-                                 12.10   data arrival time
-
-                         10.00   10.00   clock wbs_clk_i (rise edge)
-                          0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.45   10.46 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01   10.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.15   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.14   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.12   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.63 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00   11.63 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.27   12.27 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00   12.27 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.24   12.51 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00   12.51 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.20   12.72 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00   12.72 ^ mprj/u_wb_host/clkbuf_3_1__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.19   12.91 ^ mprj/u_wb_host/clkbuf_3_1__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.10                           mprj/u_wb_host/clknet_3_1__leaf_wbs_clk_i (net)
-                  0.11    0.01   12.92 ^ mprj/u_wb_host/_5821_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                         -0.25   12.67   clock uncertainty
-                          0.21   12.88   clock reconvergence pessimism
-                         -0.10   12.78   library setup time
-                                 12.78   data required time
------------------------------------------------------------------------------
-                                 12.78   data required time
-                                -12.10   data arrival time
------------------------------------------------------------------------------
-                                  0.68   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5495_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_wb_host/_5808_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.49    0.49 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01    0.50 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.16    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.13    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.15    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.13    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.76 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00    1.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.29    2.45 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00    2.45 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.26    2.71 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00    2.71 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.22    2.93 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00    2.93 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.18    3.11 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    20    0.06                           mprj/u_wb_host/clknet_3_5__leaf_wbs_clk_i (net)
-                  0.08    0.00    3.11 ^ mprj/u_wb_host/_5495_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  1.59    1.54    4.66 ^ mprj/u_wb_host/_5495_/Q (sky130_fd_sc_hd__dfrtp_4)
-   150    0.58                           mprj/u_wb_host/u_async_wb.u_cmd_if.rd_ptr[1] (net)
-                  1.59    0.01    4.67 ^ mprj/u_wb_host/_2907_/A (sky130_fd_sc_hd__xnor2_1)
-                  0.23    0.24    4.90 v mprj/u_wb_host/_2907_/Y (sky130_fd_sc_hd__xnor2_1)
-     2    0.01                           mprj/u_wb_host/_1260_ (net)
-                  0.23    0.00    4.90 v mprj/u_wb_host/_2909_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.37    5.28 v mprj/u_wb_host/_2909_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_1262_ (net)
-                  0.05    0.00    5.28 v mprj/u_wb_host/_2910_/D (sky130_fd_sc_hd__or4_4)
-                  0.59    0.87    6.14 v mprj/u_wb_host/_2910_/X (sky130_fd_sc_hd__or4_4)
-   142    0.42                           mprj/u_wb_host/_1263_ (net)
-                  0.64    0.13    6.27 v mprj/u_wb_host/_2951_/A2 (sky130_fd_sc_hd__a31o_1)
-                  0.16    0.56    6.83 v mprj/u_wb_host/_2951_/X (sky130_fd_sc_hd__a31o_1)
-     2    0.03                           mprj/u_wb_host/net262 (net)
-                  0.16    0.00    6.83 v mprj/u_wb_host/output262/A (sky130_fd_sc_hd__buf_2)
-                  0.11    0.26    7.09 v mprj/u_wb_host/output262/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_int_adr_i[12] (net)
-                  0.11    0.00    7.10 v mprj/u_intercon/input7/A (sky130_fd_sc_hd__buf_12)
-                  0.04    0.18    7.27 v mprj/u_intercon/input7/X (sky130_fd_sc_hd__buf_12)
-     4    0.05                           mprj/u_intercon/net7 (net)
-                  0.04    0.00    7.28 v mprj/u_intercon/_1782_/A (sky130_fd_sc_hd__or4_4)
-                  0.11    0.59    7.87 v mprj/u_intercon/_1782_/X (sky130_fd_sc_hd__or4_4)
-     3    0.02                           mprj/u_intercon/_0982_ (net)
-                  0.11    0.00    7.87 v mprj/u_intercon/_1784_/A3 (sky130_fd_sc_hd__o31a_1)
-                  0.09    0.31    8.18 v mprj/u_intercon/_1784_/X (sky130_fd_sc_hd__o31a_1)
-     4    0.01                           mprj/u_intercon/_0984_ (net)
-                  0.09    0.00    8.18 v mprj/u_intercon/_2009_/A_N (sky130_fd_sc_hd__and2b_4)
-                  0.29    0.45    8.63 ^ mprj/u_intercon/_2009_/X (sky130_fd_sc_hd__and2b_4)
-     6    0.09                           mprj/u_intercon/_1131_ (net)
-                  0.29    0.01    8.65 ^ mprj/u_intercon/fanout772/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.23    0.37    9.01 ^ mprj/u_intercon/fanout772/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.08                           mprj/u_intercon/net772 (net)
-                  0.23    0.00    9.02 ^ mprj/u_intercon/_2010_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.06    0.17    9.19 ^ mprj/u_intercon/_2010_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_intercon/_1132_ (net)
-                  0.06    0.00    9.19 ^ mprj/u_intercon/_2011_/A0 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    9.33 ^ mprj/u_intercon/_2011_/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_intercon/_1133_ (net)
-                  0.04    0.00    9.33 ^ mprj/u_intercon/_2012_/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.28    0.33    9.66 ^ mprj/u_intercon/_2012_/X (sky130_fd_sc_hd__mux2_4)
-     2    0.10                           mprj/u_intercon/net322 (net)
-                  0.28    0.02    9.68 ^ mprj/u_intercon/output322/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19    9.87 ^ mprj/u_intercon/output322/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mprj/wbd_int_ack_o (net)
-                  0.07    0.00    9.87 ^ mprj/u_wb_host/input101/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.16   10.03 ^ mprj/u_wb_host/input101/X (sky130_fd_sc_hd__buf_12)
-    12    0.08                           mprj/u_wb_host/net101 (net)
-                  0.10    0.01   10.04 ^ mprj/u_wb_host/_2728_/A (sky130_fd_sc_hd__inv_8)
-                  0.04    0.06   10.10 v mprj/u_wb_host/_2728_/Y (sky130_fd_sc_hd__inv_8)
-     8    0.04                           mprj/u_wb_host/_1144_ (net)
-                  0.04    0.00   10.10 v mprj/u_wb_host/_3131_/C1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.26   10.36 v mprj/u_wb_host/_3131_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_wb_host/_1399_ (net)
-                  0.05    0.00   10.36 v mprj/u_wb_host/_3132_/A_N (sky130_fd_sc_hd__and4bb_4)
-                  0.17    0.40   10.76 ^ mprj/u_wb_host/_3132_/X (sky130_fd_sc_hd__and4bb_4)
-     5    0.04                           mprj/u_wb_host/_1400_ (net)
-                  0.17    0.00   10.76 ^ mprj/u_wb_host/_3133_/A (sky130_fd_sc_hd__clkinv_2)
-                  0.09    0.13   10.89 v mprj/u_wb_host/_3133_/Y (sky130_fd_sc_hd__clkinv_2)
-     2    0.02                           mprj/u_wb_host/_1401_ (net)
-                  0.09    0.00   10.89 v mprj/u_wb_host/_3135_/B (sky130_fd_sc_hd__nor2_8)
-                  0.97    0.74   11.63 ^ mprj/u_wb_host/_3135_/Y (sky130_fd_sc_hd__nor2_8)
-    72    0.28                           mprj/u_wb_host/_1403_ (net)
-                  0.97    0.03   11.67 ^ mprj/u_wb_host/_4196_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.39   12.06 v mprj/u_wb_host/_4196_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0338_ (net)
-                  0.05    0.00   12.06 v mprj/u_wb_host/_5808_/D (sky130_fd_sc_hd__dfxtp_1)
-                                 12.06   data arrival time
-
-                         10.00   10.00   clock wbs_clk_i (rise edge)
-                          0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.45   10.46 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01   10.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.15   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.14   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.12   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.63 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00   11.63 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.27   12.27 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00   12.27 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.24   12.51 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00   12.51 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.20   12.72 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00   12.72 ^ mprj/u_wb_host/clkbuf_3_6__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.17   12.89 ^ mprj/u_wb_host/clkbuf_3_6__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    20    0.06                           mprj/u_wb_host/clknet_3_6__leaf_wbs_clk_i (net)
-                  0.08    0.00   12.89 ^ mprj/u_wb_host/_5808_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                         -0.25   12.64   clock uncertainty
-                          0.21   12.85   clock reconvergence pessimism
-                         -0.10   12.75   library setup time
-                                 12.75   data required time
------------------------------------------------------------------------------
-                                 12.75   data required time
-                                -12.06   data arrival time
------------------------------------------------------------------------------
-                                  0.69   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5495_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_wb_host/_5802_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.49    0.49 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01    0.50 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.16    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.13    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.15    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.13    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.76 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00    1.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.29    2.45 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00    2.45 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.26    2.71 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00    2.71 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.22    2.93 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00    2.93 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.18    3.11 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    20    0.06                           mprj/u_wb_host/clknet_3_5__leaf_wbs_clk_i (net)
-                  0.08    0.00    3.11 ^ mprj/u_wb_host/_5495_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  1.59    1.54    4.66 ^ mprj/u_wb_host/_5495_/Q (sky130_fd_sc_hd__dfrtp_4)
-   150    0.58                           mprj/u_wb_host/u_async_wb.u_cmd_if.rd_ptr[1] (net)
-                  1.59    0.01    4.67 ^ mprj/u_wb_host/_2907_/A (sky130_fd_sc_hd__xnor2_1)
-                  0.23    0.24    4.90 v mprj/u_wb_host/_2907_/Y (sky130_fd_sc_hd__xnor2_1)
-     2    0.01                           mprj/u_wb_host/_1260_ (net)
-                  0.23    0.00    4.90 v mprj/u_wb_host/_2909_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.37    5.28 v mprj/u_wb_host/_2909_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_1262_ (net)
-                  0.05    0.00    5.28 v mprj/u_wb_host/_2910_/D (sky130_fd_sc_hd__or4_4)
-                  0.59    0.87    6.14 v mprj/u_wb_host/_2910_/X (sky130_fd_sc_hd__or4_4)
-   142    0.42                           mprj/u_wb_host/_1263_ (net)
-                  0.64    0.13    6.27 v mprj/u_wb_host/_2951_/A2 (sky130_fd_sc_hd__a31o_1)
-                  0.16    0.56    6.83 v mprj/u_wb_host/_2951_/X (sky130_fd_sc_hd__a31o_1)
-     2    0.03                           mprj/u_wb_host/net262 (net)
-                  0.16    0.00    6.83 v mprj/u_wb_host/output262/A (sky130_fd_sc_hd__buf_2)
-                  0.11    0.26    7.09 v mprj/u_wb_host/output262/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_int_adr_i[12] (net)
-                  0.11    0.00    7.10 v mprj/u_intercon/input7/A (sky130_fd_sc_hd__buf_12)
-                  0.04    0.18    7.27 v mprj/u_intercon/input7/X (sky130_fd_sc_hd__buf_12)
-     4    0.05                           mprj/u_intercon/net7 (net)
-                  0.04    0.00    7.28 v mprj/u_intercon/_1782_/A (sky130_fd_sc_hd__or4_4)
-                  0.11    0.59    7.87 v mprj/u_intercon/_1782_/X (sky130_fd_sc_hd__or4_4)
-     3    0.02                           mprj/u_intercon/_0982_ (net)
-                  0.11    0.00    7.87 v mprj/u_intercon/_1784_/A3 (sky130_fd_sc_hd__o31a_1)
-                  0.09    0.31    8.18 v mprj/u_intercon/_1784_/X (sky130_fd_sc_hd__o31a_1)
-     4    0.01                           mprj/u_intercon/_0984_ (net)
-                  0.09    0.00    8.18 v mprj/u_intercon/_2009_/A_N (sky130_fd_sc_hd__and2b_4)
-                  0.29    0.45    8.63 ^ mprj/u_intercon/_2009_/X (sky130_fd_sc_hd__and2b_4)
-     6    0.09                           mprj/u_intercon/_1131_ (net)
-                  0.29    0.01    8.65 ^ mprj/u_intercon/fanout772/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.23    0.37    9.01 ^ mprj/u_intercon/fanout772/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.08                           mprj/u_intercon/net772 (net)
-                  0.23    0.00    9.02 ^ mprj/u_intercon/_2010_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.06    0.17    9.19 ^ mprj/u_intercon/_2010_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_intercon/_1132_ (net)
-                  0.06    0.00    9.19 ^ mprj/u_intercon/_2011_/A0 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    9.33 ^ mprj/u_intercon/_2011_/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_intercon/_1133_ (net)
-                  0.04    0.00    9.33 ^ mprj/u_intercon/_2012_/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.28    0.33    9.66 ^ mprj/u_intercon/_2012_/X (sky130_fd_sc_hd__mux2_4)
-     2    0.10                           mprj/u_intercon/net322 (net)
-                  0.28    0.02    9.68 ^ mprj/u_intercon/output322/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19    9.87 ^ mprj/u_intercon/output322/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mprj/wbd_int_ack_o (net)
-                  0.07    0.00    9.87 ^ mprj/u_wb_host/input101/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.16   10.03 ^ mprj/u_wb_host/input101/X (sky130_fd_sc_hd__buf_12)
-    12    0.08                           mprj/u_wb_host/net101 (net)
-                  0.10    0.01   10.04 ^ mprj/u_wb_host/_2728_/A (sky130_fd_sc_hd__inv_8)
-                  0.04    0.06   10.10 v mprj/u_wb_host/_2728_/Y (sky130_fd_sc_hd__inv_8)
-     8    0.04                           mprj/u_wb_host/_1144_ (net)
-                  0.04    0.00   10.10 v mprj/u_wb_host/_3131_/C1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.26   10.36 v mprj/u_wb_host/_3131_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_wb_host/_1399_ (net)
-                  0.05    0.00   10.36 v mprj/u_wb_host/_3132_/A_N (sky130_fd_sc_hd__and4bb_4)
-                  0.17    0.40   10.76 ^ mprj/u_wb_host/_3132_/X (sky130_fd_sc_hd__and4bb_4)
-     5    0.04                           mprj/u_wb_host/_1400_ (net)
-                  0.17    0.00   10.76 ^ mprj/u_wb_host/_3133_/A (sky130_fd_sc_hd__clkinv_2)
-                  0.09    0.13   10.89 v mprj/u_wb_host/_3133_/Y (sky130_fd_sc_hd__clkinv_2)
-     2    0.02                           mprj/u_wb_host/_1401_ (net)
-                  0.09    0.00   10.89 v mprj/u_wb_host/_3135_/B (sky130_fd_sc_hd__nor2_8)
-                  0.97    0.74   11.63 ^ mprj/u_wb_host/_3135_/Y (sky130_fd_sc_hd__nor2_8)
-    72    0.28                           mprj/u_wb_host/_1403_ (net)
-                  0.97    0.03   11.66 ^ mprj/u_wb_host/_4190_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.39   12.06 v mprj/u_wb_host/_4190_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0332_ (net)
-                  0.05    0.00   12.06 v mprj/u_wb_host/_5802_/D (sky130_fd_sc_hd__dfxtp_1)
-                                 12.06   data arrival time
-
-                         10.00   10.00   clock wbs_clk_i (rise edge)
-                          0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.45   10.46 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01   10.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.15   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.14   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.12   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.63 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00   11.63 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.27   12.27 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00   12.27 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.24   12.51 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00   12.51 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.20   12.72 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00   12.72 ^ mprj/u_wb_host/clkbuf_3_6__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.17   12.89 ^ mprj/u_wb_host/clkbuf_3_6__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    20    0.06                           mprj/u_wb_host/clknet_3_6__leaf_wbs_clk_i (net)
-                  0.08    0.00   12.89 ^ mprj/u_wb_host/_5802_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                         -0.25   12.64   clock uncertainty
-                          0.21   12.85   clock reconvergence pessimism
-                         -0.11   12.75   library setup time
-                                 12.75   data required time
------------------------------------------------------------------------------
-                                 12.75   data required time
-                                -12.06   data arrival time
------------------------------------------------------------------------------
-                                  0.69   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5495_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_wb_host/_5823_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.49    0.49 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01    0.50 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.16    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.13    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.15    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.13    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.76 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00    1.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.29    2.45 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00    2.45 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.26    2.71 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00    2.71 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.22    2.93 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00    2.93 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.18    3.11 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    20    0.06                           mprj/u_wb_host/clknet_3_5__leaf_wbs_clk_i (net)
-                  0.08    0.00    3.11 ^ mprj/u_wb_host/_5495_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  1.59    1.54    4.66 ^ mprj/u_wb_host/_5495_/Q (sky130_fd_sc_hd__dfrtp_4)
-   150    0.58                           mprj/u_wb_host/u_async_wb.u_cmd_if.rd_ptr[1] (net)
-                  1.59    0.01    4.67 ^ mprj/u_wb_host/_2907_/A (sky130_fd_sc_hd__xnor2_1)
-                  0.23    0.24    4.90 v mprj/u_wb_host/_2907_/Y (sky130_fd_sc_hd__xnor2_1)
-     2    0.01                           mprj/u_wb_host/_1260_ (net)
-                  0.23    0.00    4.90 v mprj/u_wb_host/_2909_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.37    5.28 v mprj/u_wb_host/_2909_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_1262_ (net)
-                  0.05    0.00    5.28 v mprj/u_wb_host/_2910_/D (sky130_fd_sc_hd__or4_4)
-                  0.59    0.87    6.14 v mprj/u_wb_host/_2910_/X (sky130_fd_sc_hd__or4_4)
-   142    0.42                           mprj/u_wb_host/_1263_ (net)
-                  0.64    0.13    6.27 v mprj/u_wb_host/_2951_/A2 (sky130_fd_sc_hd__a31o_1)
-                  0.16    0.56    6.83 v mprj/u_wb_host/_2951_/X (sky130_fd_sc_hd__a31o_1)
-     2    0.03                           mprj/u_wb_host/net262 (net)
-                  0.16    0.00    6.83 v mprj/u_wb_host/output262/A (sky130_fd_sc_hd__buf_2)
-                  0.11    0.26    7.09 v mprj/u_wb_host/output262/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_int_adr_i[12] (net)
-                  0.11    0.00    7.10 v mprj/u_intercon/input7/A (sky130_fd_sc_hd__buf_12)
-                  0.04    0.18    7.27 v mprj/u_intercon/input7/X (sky130_fd_sc_hd__buf_12)
-     4    0.05                           mprj/u_intercon/net7 (net)
-                  0.04    0.00    7.28 v mprj/u_intercon/_1782_/A (sky130_fd_sc_hd__or4_4)
-                  0.11    0.59    7.87 v mprj/u_intercon/_1782_/X (sky130_fd_sc_hd__or4_4)
-     3    0.02                           mprj/u_intercon/_0982_ (net)
-                  0.11    0.00    7.87 v mprj/u_intercon/_1784_/A3 (sky130_fd_sc_hd__o31a_1)
-                  0.09    0.31    8.18 v mprj/u_intercon/_1784_/X (sky130_fd_sc_hd__o31a_1)
-     4    0.01                           mprj/u_intercon/_0984_ (net)
-                  0.09    0.00    8.18 v mprj/u_intercon/_2009_/A_N (sky130_fd_sc_hd__and2b_4)
-                  0.29    0.45    8.63 ^ mprj/u_intercon/_2009_/X (sky130_fd_sc_hd__and2b_4)
-     6    0.09                           mprj/u_intercon/_1131_ (net)
-                  0.29    0.01    8.65 ^ mprj/u_intercon/fanout772/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.23    0.37    9.01 ^ mprj/u_intercon/fanout772/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.08                           mprj/u_intercon/net772 (net)
-                  0.23    0.00    9.02 ^ mprj/u_intercon/_2010_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.06    0.17    9.19 ^ mprj/u_intercon/_2010_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_intercon/_1132_ (net)
-                  0.06    0.00    9.19 ^ mprj/u_intercon/_2011_/A0 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    9.33 ^ mprj/u_intercon/_2011_/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_intercon/_1133_ (net)
-                  0.04    0.00    9.33 ^ mprj/u_intercon/_2012_/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.28    0.33    9.66 ^ mprj/u_intercon/_2012_/X (sky130_fd_sc_hd__mux2_4)
-     2    0.10                           mprj/u_intercon/net322 (net)
-                  0.28    0.02    9.68 ^ mprj/u_intercon/output322/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19    9.87 ^ mprj/u_intercon/output322/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mprj/wbd_int_ack_o (net)
-                  0.07    0.00    9.87 ^ mprj/u_wb_host/input101/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.16   10.03 ^ mprj/u_wb_host/input101/X (sky130_fd_sc_hd__buf_12)
-    12    0.08                           mprj/u_wb_host/net101 (net)
-                  0.10    0.01   10.04 ^ mprj/u_wb_host/_2728_/A (sky130_fd_sc_hd__inv_8)
-                  0.04    0.06   10.10 v mprj/u_wb_host/_2728_/Y (sky130_fd_sc_hd__inv_8)
-     8    0.04                           mprj/u_wb_host/_1144_ (net)
-                  0.04    0.00   10.10 v mprj/u_wb_host/_3131_/C1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.26   10.36 v mprj/u_wb_host/_3131_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_wb_host/_1399_ (net)
-                  0.05    0.00   10.36 v mprj/u_wb_host/_3132_/A_N (sky130_fd_sc_hd__and4bb_4)
-                  0.17    0.40   10.76 ^ mprj/u_wb_host/_3132_/X (sky130_fd_sc_hd__and4bb_4)
-     5    0.04                           mprj/u_wb_host/_1400_ (net)
-                  0.17    0.00   10.76 ^ mprj/u_wb_host/_3133_/A (sky130_fd_sc_hd__clkinv_2)
-                  0.09    0.13   10.89 v mprj/u_wb_host/_3133_/Y (sky130_fd_sc_hd__clkinv_2)
-     2    0.02                           mprj/u_wb_host/_1401_ (net)
-                  0.09    0.00   10.89 v mprj/u_wb_host/_3135_/B (sky130_fd_sc_hd__nor2_8)
-                  0.97    0.74   11.63 ^ mprj/u_wb_host/_3135_/Y (sky130_fd_sc_hd__nor2_8)
-    72    0.28                           mprj/u_wb_host/_1403_ (net)
-                  0.97    0.01   11.65 ^ mprj/u_wb_host/_4211_/S (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.40   12.05 v mprj/u_wb_host/_4211_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0353_ (net)
-                  0.06    0.00   12.05 v mprj/u_wb_host/_5823_/D (sky130_fd_sc_hd__dfxtp_1)
-                                 12.05   data arrival time
-
-                         10.00   10.00   clock wbs_clk_i (rise edge)
-                          0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.45   10.46 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01   10.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.15   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.14   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.12   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.63 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00   11.63 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.27   12.27 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00   12.27 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.24   12.51 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00   12.51 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.20   12.72 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00   12.72 ^ mprj/u_wb_host/clkbuf_3_6__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.17   12.89 ^ mprj/u_wb_host/clkbuf_3_6__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    20    0.06                           mprj/u_wb_host/clknet_3_6__leaf_wbs_clk_i (net)
-                  0.08    0.00   12.89 ^ mprj/u_wb_host/_5823_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                         -0.25   12.64   clock uncertainty
-                          0.21   12.85   clock reconvergence pessimism
-                         -0.11   12.75   library setup time
-                                 12.75   data required time
------------------------------------------------------------------------------
-                                 12.75   data required time
-                                -12.05   data arrival time
------------------------------------------------------------------------------
-                                  0.69   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5495_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_wb_host/_5816_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.49    0.49 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01    0.50 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.16    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.13    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.15    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.13    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.76 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00    1.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.29    2.45 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00    2.45 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.26    2.71 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00    2.71 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.22    2.93 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00    2.93 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.18    3.11 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    20    0.06                           mprj/u_wb_host/clknet_3_5__leaf_wbs_clk_i (net)
-                  0.08    0.00    3.11 ^ mprj/u_wb_host/_5495_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  1.59    1.54    4.66 ^ mprj/u_wb_host/_5495_/Q (sky130_fd_sc_hd__dfrtp_4)
-   150    0.58                           mprj/u_wb_host/u_async_wb.u_cmd_if.rd_ptr[1] (net)
-                  1.59    0.01    4.67 ^ mprj/u_wb_host/_2907_/A (sky130_fd_sc_hd__xnor2_1)
-                  0.23    0.24    4.90 v mprj/u_wb_host/_2907_/Y (sky130_fd_sc_hd__xnor2_1)
-     2    0.01                           mprj/u_wb_host/_1260_ (net)
-                  0.23    0.00    4.90 v mprj/u_wb_host/_2909_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.37    5.28 v mprj/u_wb_host/_2909_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_1262_ (net)
-                  0.05    0.00    5.28 v mprj/u_wb_host/_2910_/D (sky130_fd_sc_hd__or4_4)
-                  0.59    0.87    6.14 v mprj/u_wb_host/_2910_/X (sky130_fd_sc_hd__or4_4)
-   142    0.42                           mprj/u_wb_host/_1263_ (net)
-                  0.64    0.13    6.27 v mprj/u_wb_host/_2951_/A2 (sky130_fd_sc_hd__a31o_1)
-                  0.16    0.56    6.83 v mprj/u_wb_host/_2951_/X (sky130_fd_sc_hd__a31o_1)
-     2    0.03                           mprj/u_wb_host/net262 (net)
-                  0.16    0.00    6.83 v mprj/u_wb_host/output262/A (sky130_fd_sc_hd__buf_2)
-                  0.11    0.26    7.09 v mprj/u_wb_host/output262/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_int_adr_i[12] (net)
-                  0.11    0.00    7.10 v mprj/u_intercon/input7/A (sky130_fd_sc_hd__buf_12)
-                  0.04    0.18    7.27 v mprj/u_intercon/input7/X (sky130_fd_sc_hd__buf_12)
-     4    0.05                           mprj/u_intercon/net7 (net)
-                  0.04    0.00    7.28 v mprj/u_intercon/_1782_/A (sky130_fd_sc_hd__or4_4)
-                  0.11    0.59    7.87 v mprj/u_intercon/_1782_/X (sky130_fd_sc_hd__or4_4)
-     3    0.02                           mprj/u_intercon/_0982_ (net)
-                  0.11    0.00    7.87 v mprj/u_intercon/_1784_/A3 (sky130_fd_sc_hd__o31a_1)
-                  0.09    0.31    8.18 v mprj/u_intercon/_1784_/X (sky130_fd_sc_hd__o31a_1)
-     4    0.01                           mprj/u_intercon/_0984_ (net)
-                  0.09    0.00    8.18 v mprj/u_intercon/_2009_/A_N (sky130_fd_sc_hd__and2b_4)
-                  0.29    0.45    8.63 ^ mprj/u_intercon/_2009_/X (sky130_fd_sc_hd__and2b_4)
-     6    0.09                           mprj/u_intercon/_1131_ (net)
-                  0.29    0.01    8.65 ^ mprj/u_intercon/fanout772/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.23    0.37    9.01 ^ mprj/u_intercon/fanout772/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.08                           mprj/u_intercon/net772 (net)
-                  0.23    0.00    9.02 ^ mprj/u_intercon/_2010_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.06    0.17    9.19 ^ mprj/u_intercon/_2010_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_intercon/_1132_ (net)
-                  0.06    0.00    9.19 ^ mprj/u_intercon/_2011_/A0 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    9.33 ^ mprj/u_intercon/_2011_/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_intercon/_1133_ (net)
-                  0.04    0.00    9.33 ^ mprj/u_intercon/_2012_/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.28    0.33    9.66 ^ mprj/u_intercon/_2012_/X (sky130_fd_sc_hd__mux2_4)
-     2    0.10                           mprj/u_intercon/net322 (net)
-                  0.28    0.02    9.68 ^ mprj/u_intercon/output322/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19    9.87 ^ mprj/u_intercon/output322/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mprj/wbd_int_ack_o (net)
-                  0.07    0.00    9.87 ^ mprj/u_wb_host/input101/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.16   10.03 ^ mprj/u_wb_host/input101/X (sky130_fd_sc_hd__buf_12)
-    12    0.08                           mprj/u_wb_host/net101 (net)
-                  0.10    0.01   10.04 ^ mprj/u_wb_host/_2728_/A (sky130_fd_sc_hd__inv_8)
-                  0.04    0.06   10.10 v mprj/u_wb_host/_2728_/Y (sky130_fd_sc_hd__inv_8)
-     8    0.04                           mprj/u_wb_host/_1144_ (net)
-                  0.04    0.00   10.10 v mprj/u_wb_host/_3131_/C1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.26   10.36 v mprj/u_wb_host/_3131_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_wb_host/_1399_ (net)
-                  0.05    0.00   10.36 v mprj/u_wb_host/_3132_/A_N (sky130_fd_sc_hd__and4bb_4)
-                  0.17    0.40   10.76 ^ mprj/u_wb_host/_3132_/X (sky130_fd_sc_hd__and4bb_4)
-     5    0.04                           mprj/u_wb_host/_1400_ (net)
-                  0.17    0.00   10.76 ^ mprj/u_wb_host/_3133_/A (sky130_fd_sc_hd__clkinv_2)
-                  0.09    0.13   10.89 v mprj/u_wb_host/_3133_/Y (sky130_fd_sc_hd__clkinv_2)
-     2    0.02                           mprj/u_wb_host/_1401_ (net)
-                  0.09    0.00   10.89 v mprj/u_wb_host/_3135_/B (sky130_fd_sc_hd__nor2_8)
-                  0.97    0.74   11.63 ^ mprj/u_wb_host/_3135_/Y (sky130_fd_sc_hd__nor2_8)
-    72    0.28                           mprj/u_wb_host/_1403_ (net)
-                  0.98    0.06   11.69 ^ mprj/u_wb_host/_4204_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.39   12.09 v mprj/u_wb_host/_4204_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0346_ (net)
-                  0.05    0.00   12.09 v mprj/u_wb_host/_5816_/D (sky130_fd_sc_hd__dfxtp_1)
-                                 12.09   data arrival time
-
-                         10.00   10.00   clock wbs_clk_i (rise edge)
-                          0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.45   10.46 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01   10.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.15   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.14   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.12   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.63 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00   11.63 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.27   12.27 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00   12.27 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.24   12.51 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00   12.51 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.20   12.72 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00   12.72 ^ mprj/u_wb_host/clkbuf_3_1__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.19   12.91 ^ mprj/u_wb_host/clkbuf_3_1__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.10                           mprj/u_wb_host/clknet_3_1__leaf_wbs_clk_i (net)
-                  0.11    0.00   12.92 ^ mprj/u_wb_host/_5816_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                         -0.25   12.67   clock uncertainty
-                          0.21   12.88   clock reconvergence pessimism
-                         -0.10   12.78   library setup time
-                                 12.78   data required time
------------------------------------------------------------------------------
-                                 12.78   data required time
-                                -12.09   data arrival time
------------------------------------------------------------------------------
-                                  0.69   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5495_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_wb_host/_5805_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.49    0.49 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01    0.50 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.16    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.13    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.15    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.13    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.76 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00    1.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.29    2.45 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00    2.45 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.26    2.71 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00    2.71 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.22    2.93 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00    2.93 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.18    3.11 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    20    0.06                           mprj/u_wb_host/clknet_3_5__leaf_wbs_clk_i (net)
-                  0.08    0.00    3.11 ^ mprj/u_wb_host/_5495_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  1.59    1.54    4.66 ^ mprj/u_wb_host/_5495_/Q (sky130_fd_sc_hd__dfrtp_4)
-   150    0.58                           mprj/u_wb_host/u_async_wb.u_cmd_if.rd_ptr[1] (net)
-                  1.59    0.01    4.67 ^ mprj/u_wb_host/_2907_/A (sky130_fd_sc_hd__xnor2_1)
-                  0.23    0.24    4.90 v mprj/u_wb_host/_2907_/Y (sky130_fd_sc_hd__xnor2_1)
-     2    0.01                           mprj/u_wb_host/_1260_ (net)
-                  0.23    0.00    4.90 v mprj/u_wb_host/_2909_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.37    5.28 v mprj/u_wb_host/_2909_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_1262_ (net)
-                  0.05    0.00    5.28 v mprj/u_wb_host/_2910_/D (sky130_fd_sc_hd__or4_4)
-                  0.59    0.87    6.14 v mprj/u_wb_host/_2910_/X (sky130_fd_sc_hd__or4_4)
-   142    0.42                           mprj/u_wb_host/_1263_ (net)
-                  0.64    0.13    6.27 v mprj/u_wb_host/_2951_/A2 (sky130_fd_sc_hd__a31o_1)
-                  0.16    0.56    6.83 v mprj/u_wb_host/_2951_/X (sky130_fd_sc_hd__a31o_1)
-     2    0.03                           mprj/u_wb_host/net262 (net)
-                  0.16    0.00    6.83 v mprj/u_wb_host/output262/A (sky130_fd_sc_hd__buf_2)
-                  0.11    0.26    7.09 v mprj/u_wb_host/output262/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_int_adr_i[12] (net)
-                  0.11    0.00    7.10 v mprj/u_intercon/input7/A (sky130_fd_sc_hd__buf_12)
-                  0.04    0.18    7.27 v mprj/u_intercon/input7/X (sky130_fd_sc_hd__buf_12)
-     4    0.05                           mprj/u_intercon/net7 (net)
-                  0.04    0.00    7.28 v mprj/u_intercon/_1782_/A (sky130_fd_sc_hd__or4_4)
-                  0.11    0.59    7.87 v mprj/u_intercon/_1782_/X (sky130_fd_sc_hd__or4_4)
-     3    0.02                           mprj/u_intercon/_0982_ (net)
-                  0.11    0.00    7.87 v mprj/u_intercon/_1784_/A3 (sky130_fd_sc_hd__o31a_1)
-                  0.09    0.31    8.18 v mprj/u_intercon/_1784_/X (sky130_fd_sc_hd__o31a_1)
-     4    0.01                           mprj/u_intercon/_0984_ (net)
-                  0.09    0.00    8.18 v mprj/u_intercon/_2009_/A_N (sky130_fd_sc_hd__and2b_4)
-                  0.29    0.45    8.63 ^ mprj/u_intercon/_2009_/X (sky130_fd_sc_hd__and2b_4)
-     6    0.09                           mprj/u_intercon/_1131_ (net)
-                  0.29    0.01    8.65 ^ mprj/u_intercon/fanout772/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.23    0.37    9.01 ^ mprj/u_intercon/fanout772/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.08                           mprj/u_intercon/net772 (net)
-                  0.23    0.00    9.02 ^ mprj/u_intercon/_2010_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.06    0.17    9.19 ^ mprj/u_intercon/_2010_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_intercon/_1132_ (net)
-                  0.06    0.00    9.19 ^ mprj/u_intercon/_2011_/A0 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    9.33 ^ mprj/u_intercon/_2011_/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_intercon/_1133_ (net)
-                  0.04    0.00    9.33 ^ mprj/u_intercon/_2012_/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.28    0.33    9.66 ^ mprj/u_intercon/_2012_/X (sky130_fd_sc_hd__mux2_4)
-     2    0.10                           mprj/u_intercon/net322 (net)
-                  0.28    0.02    9.68 ^ mprj/u_intercon/output322/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19    9.87 ^ mprj/u_intercon/output322/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mprj/wbd_int_ack_o (net)
-                  0.07    0.00    9.87 ^ mprj/u_wb_host/input101/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.16   10.03 ^ mprj/u_wb_host/input101/X (sky130_fd_sc_hd__buf_12)
-    12    0.08                           mprj/u_wb_host/net101 (net)
-                  0.10    0.01   10.04 ^ mprj/u_wb_host/_2728_/A (sky130_fd_sc_hd__inv_8)
-                  0.04    0.06   10.10 v mprj/u_wb_host/_2728_/Y (sky130_fd_sc_hd__inv_8)
-     8    0.04                           mprj/u_wb_host/_1144_ (net)
-                  0.04    0.00   10.10 v mprj/u_wb_host/_3131_/C1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.26   10.36 v mprj/u_wb_host/_3131_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_wb_host/_1399_ (net)
-                  0.05    0.00   10.36 v mprj/u_wb_host/_3132_/A_N (sky130_fd_sc_hd__and4bb_4)
-                  0.17    0.40   10.76 ^ mprj/u_wb_host/_3132_/X (sky130_fd_sc_hd__and4bb_4)
-     5    0.04                           mprj/u_wb_host/_1400_ (net)
-                  0.17    0.00   10.76 ^ mprj/u_wb_host/_3133_/A (sky130_fd_sc_hd__clkinv_2)
-                  0.09    0.13   10.89 v mprj/u_wb_host/_3133_/Y (sky130_fd_sc_hd__clkinv_2)
-     2    0.02                           mprj/u_wb_host/_1401_ (net)
-                  0.09    0.00   10.89 v mprj/u_wb_host/_3135_/B (sky130_fd_sc_hd__nor2_8)
-                  0.97    0.74   11.63 ^ mprj/u_wb_host/_3135_/Y (sky130_fd_sc_hd__nor2_8)
-    72    0.28                           mprj/u_wb_host/_1403_ (net)
-                  0.98    0.06   11.69 ^ mprj/u_wb_host/_4193_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.39   12.09 v mprj/u_wb_host/_4193_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0335_ (net)
-                  0.05    0.00   12.09 v mprj/u_wb_host/_5805_/D (sky130_fd_sc_hd__dfxtp_1)
-                                 12.09   data arrival time
-
-                         10.00   10.00   clock wbs_clk_i (rise edge)
-                          0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.45   10.46 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01   10.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.15   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.14   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.12   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.63 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00   11.63 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.27   12.27 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00   12.27 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.24   12.51 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00   12.51 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.20   12.72 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00   12.72 ^ mprj/u_wb_host/clkbuf_3_1__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.19   12.91 ^ mprj/u_wb_host/clkbuf_3_1__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.10                           mprj/u_wb_host/clknet_3_1__leaf_wbs_clk_i (net)
-                  0.11    0.01   12.92 ^ mprj/u_wb_host/_5805_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                         -0.25   12.67   clock uncertainty
-                          0.21   12.88   clock reconvergence pessimism
-                         -0.10   12.78   library setup time
-                                 12.78   data required time
------------------------------------------------------------------------------
-                                 12.78   data required time
-                                -12.09   data arrival time
------------------------------------------------------------------------------
-                                  0.69   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5495_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_wb_host/_5828_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.49    0.49 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01    0.50 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.16    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.13    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.15    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.13    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.76 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00    1.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.29    2.45 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00    2.45 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.26    2.71 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00    2.71 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.22    2.93 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00    2.93 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.18    3.11 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    20    0.06                           mprj/u_wb_host/clknet_3_5__leaf_wbs_clk_i (net)
-                  0.08    0.00    3.11 ^ mprj/u_wb_host/_5495_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  1.59    1.54    4.66 ^ mprj/u_wb_host/_5495_/Q (sky130_fd_sc_hd__dfrtp_4)
-   150    0.58                           mprj/u_wb_host/u_async_wb.u_cmd_if.rd_ptr[1] (net)
-                  1.59    0.01    4.67 ^ mprj/u_wb_host/_2907_/A (sky130_fd_sc_hd__xnor2_1)
-                  0.23    0.24    4.90 v mprj/u_wb_host/_2907_/Y (sky130_fd_sc_hd__xnor2_1)
-     2    0.01                           mprj/u_wb_host/_1260_ (net)
-                  0.23    0.00    4.90 v mprj/u_wb_host/_2909_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.37    5.28 v mprj/u_wb_host/_2909_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_1262_ (net)
-                  0.05    0.00    5.28 v mprj/u_wb_host/_2910_/D (sky130_fd_sc_hd__or4_4)
-                  0.59    0.87    6.14 v mprj/u_wb_host/_2910_/X (sky130_fd_sc_hd__or4_4)
-   142    0.42                           mprj/u_wb_host/_1263_ (net)
-                  0.64    0.13    6.27 v mprj/u_wb_host/_2951_/A2 (sky130_fd_sc_hd__a31o_1)
-                  0.16    0.56    6.83 v mprj/u_wb_host/_2951_/X (sky130_fd_sc_hd__a31o_1)
-     2    0.03                           mprj/u_wb_host/net262 (net)
-                  0.16    0.00    6.83 v mprj/u_wb_host/output262/A (sky130_fd_sc_hd__buf_2)
-                  0.11    0.26    7.09 v mprj/u_wb_host/output262/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_int_adr_i[12] (net)
-                  0.11    0.00    7.10 v mprj/u_intercon/input7/A (sky130_fd_sc_hd__buf_12)
-                  0.04    0.18    7.27 v mprj/u_intercon/input7/X (sky130_fd_sc_hd__buf_12)
-     4    0.05                           mprj/u_intercon/net7 (net)
-                  0.04    0.00    7.28 v mprj/u_intercon/_1782_/A (sky130_fd_sc_hd__or4_4)
-                  0.11    0.59    7.87 v mprj/u_intercon/_1782_/X (sky130_fd_sc_hd__or4_4)
-     3    0.02                           mprj/u_intercon/_0982_ (net)
-                  0.11    0.00    7.87 v mprj/u_intercon/_1784_/A3 (sky130_fd_sc_hd__o31a_1)
-                  0.09    0.31    8.18 v mprj/u_intercon/_1784_/X (sky130_fd_sc_hd__o31a_1)
-     4    0.01                           mprj/u_intercon/_0984_ (net)
-                  0.09    0.00    8.18 v mprj/u_intercon/_2009_/A_N (sky130_fd_sc_hd__and2b_4)
-                  0.29    0.45    8.63 ^ mprj/u_intercon/_2009_/X (sky130_fd_sc_hd__and2b_4)
-     6    0.09                           mprj/u_intercon/_1131_ (net)
-                  0.29    0.01    8.65 ^ mprj/u_intercon/fanout772/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.23    0.37    9.01 ^ mprj/u_intercon/fanout772/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.08                           mprj/u_intercon/net772 (net)
-                  0.23    0.00    9.02 ^ mprj/u_intercon/_2010_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.06    0.17    9.19 ^ mprj/u_intercon/_2010_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_intercon/_1132_ (net)
-                  0.06    0.00    9.19 ^ mprj/u_intercon/_2011_/A0 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    9.33 ^ mprj/u_intercon/_2011_/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_intercon/_1133_ (net)
-                  0.04    0.00    9.33 ^ mprj/u_intercon/_2012_/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.28    0.33    9.66 ^ mprj/u_intercon/_2012_/X (sky130_fd_sc_hd__mux2_4)
-     2    0.10                           mprj/u_intercon/net322 (net)
-                  0.28    0.02    9.68 ^ mprj/u_intercon/output322/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19    9.87 ^ mprj/u_intercon/output322/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mprj/wbd_int_ack_o (net)
-                  0.07    0.00    9.87 ^ mprj/u_wb_host/input101/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.16   10.03 ^ mprj/u_wb_host/input101/X (sky130_fd_sc_hd__buf_12)
-    12    0.08                           mprj/u_wb_host/net101 (net)
-                  0.10    0.01   10.04 ^ mprj/u_wb_host/_2728_/A (sky130_fd_sc_hd__inv_8)
-                  0.04    0.06   10.10 v mprj/u_wb_host/_2728_/Y (sky130_fd_sc_hd__inv_8)
-     8    0.04                           mprj/u_wb_host/_1144_ (net)
-                  0.04    0.00   10.10 v mprj/u_wb_host/_3131_/C1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.26   10.36 v mprj/u_wb_host/_3131_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_wb_host/_1399_ (net)
-                  0.05    0.00   10.36 v mprj/u_wb_host/_3132_/A_N (sky130_fd_sc_hd__and4bb_4)
-                  0.17    0.40   10.76 ^ mprj/u_wb_host/_3132_/X (sky130_fd_sc_hd__and4bb_4)
-     5    0.04                           mprj/u_wb_host/_1400_ (net)
-                  0.17    0.00   10.76 ^ mprj/u_wb_host/_3133_/A (sky130_fd_sc_hd__clkinv_2)
-                  0.09    0.13   10.89 v mprj/u_wb_host/_3133_/Y (sky130_fd_sc_hd__clkinv_2)
-     2    0.02                           mprj/u_wb_host/_1401_ (net)
-                  0.09    0.00   10.89 v mprj/u_wb_host/_3135_/B (sky130_fd_sc_hd__nor2_8)
-                  0.97    0.74   11.63 ^ mprj/u_wb_host/_3135_/Y (sky130_fd_sc_hd__nor2_8)
-    72    0.28                           mprj/u_wb_host/_1403_ (net)
-                  0.98    0.06   11.70 ^ mprj/u_wb_host/_4216_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.39   12.09 v mprj/u_wb_host/_4216_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0358_ (net)
-                  0.05    0.00   12.09 v mprj/u_wb_host/_5828_/D (sky130_fd_sc_hd__dfxtp_1)
-                                 12.09   data arrival time
-
-                         10.00   10.00   clock wbs_clk_i (rise edge)
-                          0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.45   10.46 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01   10.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.15   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.14   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.12   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.63 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00   11.63 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.27   12.27 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00   12.27 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.24   12.51 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00   12.51 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.20   12.72 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00   12.72 ^ mprj/u_wb_host/clkbuf_3_1__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.19   12.91 ^ mprj/u_wb_host/clkbuf_3_1__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.10                           mprj/u_wb_host/clknet_3_1__leaf_wbs_clk_i (net)
-                  0.11    0.01   12.92 ^ mprj/u_wb_host/_5828_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                         -0.25   12.67   clock uncertainty
-                          0.21   12.88   clock reconvergence pessimism
-                         -0.10   12.78   library setup time
-                                 12.78   data required time
------------------------------------------------------------------------------
-                                 12.78   data required time
-                                -12.09   data arrival time
------------------------------------------------------------------------------
-                                  0.70   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5495_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_wb_host/_5822_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.49    0.49 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01    0.50 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.16    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.13    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.15    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.13    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.76 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00    1.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.29    2.45 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00    2.45 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.26    2.71 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00    2.71 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.22    2.93 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00    2.93 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.18    3.11 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    20    0.06                           mprj/u_wb_host/clknet_3_5__leaf_wbs_clk_i (net)
-                  0.08    0.00    3.11 ^ mprj/u_wb_host/_5495_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  1.59    1.54    4.66 ^ mprj/u_wb_host/_5495_/Q (sky130_fd_sc_hd__dfrtp_4)
-   150    0.58                           mprj/u_wb_host/u_async_wb.u_cmd_if.rd_ptr[1] (net)
-                  1.59    0.01    4.67 ^ mprj/u_wb_host/_2907_/A (sky130_fd_sc_hd__xnor2_1)
-                  0.23    0.24    4.90 v mprj/u_wb_host/_2907_/Y (sky130_fd_sc_hd__xnor2_1)
-     2    0.01                           mprj/u_wb_host/_1260_ (net)
-                  0.23    0.00    4.90 v mprj/u_wb_host/_2909_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.37    5.28 v mprj/u_wb_host/_2909_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_1262_ (net)
-                  0.05    0.00    5.28 v mprj/u_wb_host/_2910_/D (sky130_fd_sc_hd__or4_4)
-                  0.59    0.87    6.14 v mprj/u_wb_host/_2910_/X (sky130_fd_sc_hd__or4_4)
-   142    0.42                           mprj/u_wb_host/_1263_ (net)
-                  0.64    0.13    6.27 v mprj/u_wb_host/_2951_/A2 (sky130_fd_sc_hd__a31o_1)
-                  0.16    0.56    6.83 v mprj/u_wb_host/_2951_/X (sky130_fd_sc_hd__a31o_1)
-     2    0.03                           mprj/u_wb_host/net262 (net)
-                  0.16    0.00    6.83 v mprj/u_wb_host/output262/A (sky130_fd_sc_hd__buf_2)
-                  0.11    0.26    7.09 v mprj/u_wb_host/output262/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_int_adr_i[12] (net)
-                  0.11    0.00    7.10 v mprj/u_intercon/input7/A (sky130_fd_sc_hd__buf_12)
-                  0.04    0.18    7.27 v mprj/u_intercon/input7/X (sky130_fd_sc_hd__buf_12)
-     4    0.05                           mprj/u_intercon/net7 (net)
-                  0.04    0.00    7.28 v mprj/u_intercon/_1782_/A (sky130_fd_sc_hd__or4_4)
-                  0.11    0.59    7.87 v mprj/u_intercon/_1782_/X (sky130_fd_sc_hd__or4_4)
-     3    0.02                           mprj/u_intercon/_0982_ (net)
-                  0.11    0.00    7.87 v mprj/u_intercon/_1784_/A3 (sky130_fd_sc_hd__o31a_1)
-                  0.09    0.31    8.18 v mprj/u_intercon/_1784_/X (sky130_fd_sc_hd__o31a_1)
-     4    0.01                           mprj/u_intercon/_0984_ (net)
-                  0.09    0.00    8.18 v mprj/u_intercon/_2009_/A_N (sky130_fd_sc_hd__and2b_4)
-                  0.29    0.45    8.63 ^ mprj/u_intercon/_2009_/X (sky130_fd_sc_hd__and2b_4)
-     6    0.09                           mprj/u_intercon/_1131_ (net)
-                  0.29    0.01    8.65 ^ mprj/u_intercon/fanout772/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.23    0.37    9.01 ^ mprj/u_intercon/fanout772/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.08                           mprj/u_intercon/net772 (net)
-                  0.23    0.00    9.02 ^ mprj/u_intercon/_2010_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.06    0.17    9.19 ^ mprj/u_intercon/_2010_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_intercon/_1132_ (net)
-                  0.06    0.00    9.19 ^ mprj/u_intercon/_2011_/A0 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    9.33 ^ mprj/u_intercon/_2011_/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_intercon/_1133_ (net)
-                  0.04    0.00    9.33 ^ mprj/u_intercon/_2012_/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.28    0.33    9.66 ^ mprj/u_intercon/_2012_/X (sky130_fd_sc_hd__mux2_4)
-     2    0.10                           mprj/u_intercon/net322 (net)
-                  0.28    0.02    9.68 ^ mprj/u_intercon/output322/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19    9.87 ^ mprj/u_intercon/output322/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mprj/wbd_int_ack_o (net)
-                  0.07    0.00    9.87 ^ mprj/u_wb_host/input101/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.16   10.03 ^ mprj/u_wb_host/input101/X (sky130_fd_sc_hd__buf_12)
-    12    0.08                           mprj/u_wb_host/net101 (net)
-                  0.10    0.01   10.04 ^ mprj/u_wb_host/_2728_/A (sky130_fd_sc_hd__inv_8)
-                  0.04    0.06   10.10 v mprj/u_wb_host/_2728_/Y (sky130_fd_sc_hd__inv_8)
-     8    0.04                           mprj/u_wb_host/_1144_ (net)
-                  0.04    0.00   10.10 v mprj/u_wb_host/_3131_/C1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.26   10.36 v mprj/u_wb_host/_3131_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_wb_host/_1399_ (net)
-                  0.05    0.00   10.36 v mprj/u_wb_host/_3132_/A_N (sky130_fd_sc_hd__and4bb_4)
-                  0.17    0.40   10.76 ^ mprj/u_wb_host/_3132_/X (sky130_fd_sc_hd__and4bb_4)
-     5    0.04                           mprj/u_wb_host/_1400_ (net)
-                  0.17    0.00   10.76 ^ mprj/u_wb_host/_3133_/A (sky130_fd_sc_hd__clkinv_2)
-                  0.09    0.13   10.89 v mprj/u_wb_host/_3133_/Y (sky130_fd_sc_hd__clkinv_2)
-     2    0.02                           mprj/u_wb_host/_1401_ (net)
-                  0.09    0.00   10.89 v mprj/u_wb_host/_3135_/B (sky130_fd_sc_hd__nor2_8)
-                  0.97    0.74   11.63 ^ mprj/u_wb_host/_3135_/Y (sky130_fd_sc_hd__nor2_8)
-    72    0.28                           mprj/u_wb_host/_1403_ (net)
-                  0.98    0.06   11.69 ^ mprj/u_wb_host/_4210_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.39   12.08 v mprj/u_wb_host/_4210_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0352_ (net)
-                  0.05    0.00   12.08 v mprj/u_wb_host/_5822_/D (sky130_fd_sc_hd__dfxtp_1)
-                                 12.08   data arrival time
-
-                         10.00   10.00   clock wbs_clk_i (rise edge)
-                          0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.45   10.46 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01   10.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.15   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.14   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.12   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.63 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00   11.63 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.27   12.27 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00   12.27 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.24   12.51 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00   12.51 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.20   12.72 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00   12.72 ^ mprj/u_wb_host/clkbuf_3_1__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.19   12.91 ^ mprj/u_wb_host/clkbuf_3_1__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.10                           mprj/u_wb_host/clknet_3_1__leaf_wbs_clk_i (net)
-                  0.11    0.00   12.92 ^ mprj/u_wb_host/_5822_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                         -0.25   12.67   clock uncertainty
-                          0.21   12.88   clock reconvergence pessimism
-                         -0.10   12.78   library setup time
-                                 12.78   data required time
------------------------------------------------------------------------------
-                                 12.78   data required time
-                                -12.08   data arrival time
------------------------------------------------------------------------------
-                                  0.70   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5495_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_wb_host/_5814_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.49    0.49 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01    0.50 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.16    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.13    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.15    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.13    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.76 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00    1.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.29    2.45 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00    2.45 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.26    2.71 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00    2.71 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.22    2.93 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00    2.93 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.18    3.11 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    20    0.06                           mprj/u_wb_host/clknet_3_5__leaf_wbs_clk_i (net)
-                  0.08    0.00    3.11 ^ mprj/u_wb_host/_5495_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  1.59    1.54    4.66 ^ mprj/u_wb_host/_5495_/Q (sky130_fd_sc_hd__dfrtp_4)
-   150    0.58                           mprj/u_wb_host/u_async_wb.u_cmd_if.rd_ptr[1] (net)
-                  1.59    0.01    4.67 ^ mprj/u_wb_host/_2907_/A (sky130_fd_sc_hd__xnor2_1)
-                  0.23    0.24    4.90 v mprj/u_wb_host/_2907_/Y (sky130_fd_sc_hd__xnor2_1)
-     2    0.01                           mprj/u_wb_host/_1260_ (net)
-                  0.23    0.00    4.90 v mprj/u_wb_host/_2909_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.37    5.28 v mprj/u_wb_host/_2909_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_1262_ (net)
-                  0.05    0.00    5.28 v mprj/u_wb_host/_2910_/D (sky130_fd_sc_hd__or4_4)
-                  0.59    0.87    6.14 v mprj/u_wb_host/_2910_/X (sky130_fd_sc_hd__or4_4)
-   142    0.42                           mprj/u_wb_host/_1263_ (net)
-                  0.64    0.13    6.27 v mprj/u_wb_host/_2951_/A2 (sky130_fd_sc_hd__a31o_1)
-                  0.16    0.56    6.83 v mprj/u_wb_host/_2951_/X (sky130_fd_sc_hd__a31o_1)
-     2    0.03                           mprj/u_wb_host/net262 (net)
-                  0.16    0.00    6.83 v mprj/u_wb_host/output262/A (sky130_fd_sc_hd__buf_2)
-                  0.11    0.26    7.09 v mprj/u_wb_host/output262/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_int_adr_i[12] (net)
-                  0.11    0.00    7.10 v mprj/u_intercon/input7/A (sky130_fd_sc_hd__buf_12)
-                  0.04    0.18    7.27 v mprj/u_intercon/input7/X (sky130_fd_sc_hd__buf_12)
-     4    0.05                           mprj/u_intercon/net7 (net)
-                  0.04    0.00    7.28 v mprj/u_intercon/_1782_/A (sky130_fd_sc_hd__or4_4)
-                  0.11    0.59    7.87 v mprj/u_intercon/_1782_/X (sky130_fd_sc_hd__or4_4)
-     3    0.02                           mprj/u_intercon/_0982_ (net)
-                  0.11    0.00    7.87 v mprj/u_intercon/_1784_/A3 (sky130_fd_sc_hd__o31a_1)
-                  0.09    0.31    8.18 v mprj/u_intercon/_1784_/X (sky130_fd_sc_hd__o31a_1)
-     4    0.01                           mprj/u_intercon/_0984_ (net)
-                  0.09    0.00    8.18 v mprj/u_intercon/_2009_/A_N (sky130_fd_sc_hd__and2b_4)
-                  0.29    0.45    8.63 ^ mprj/u_intercon/_2009_/X (sky130_fd_sc_hd__and2b_4)
-     6    0.09                           mprj/u_intercon/_1131_ (net)
-                  0.29    0.01    8.65 ^ mprj/u_intercon/fanout772/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.23    0.37    9.01 ^ mprj/u_intercon/fanout772/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.08                           mprj/u_intercon/net772 (net)
-                  0.23    0.00    9.02 ^ mprj/u_intercon/_2010_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.06    0.17    9.19 ^ mprj/u_intercon/_2010_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_intercon/_1132_ (net)
-                  0.06    0.00    9.19 ^ mprj/u_intercon/_2011_/A0 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    9.33 ^ mprj/u_intercon/_2011_/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_intercon/_1133_ (net)
-                  0.04    0.00    9.33 ^ mprj/u_intercon/_2012_/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.28    0.33    9.66 ^ mprj/u_intercon/_2012_/X (sky130_fd_sc_hd__mux2_4)
-     2    0.10                           mprj/u_intercon/net322 (net)
-                  0.28    0.02    9.68 ^ mprj/u_intercon/output322/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19    9.87 ^ mprj/u_intercon/output322/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mprj/wbd_int_ack_o (net)
-                  0.07    0.00    9.87 ^ mprj/u_wb_host/input101/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.16   10.03 ^ mprj/u_wb_host/input101/X (sky130_fd_sc_hd__buf_12)
-    12    0.08                           mprj/u_wb_host/net101 (net)
-                  0.10    0.01   10.04 ^ mprj/u_wb_host/_2728_/A (sky130_fd_sc_hd__inv_8)
-                  0.04    0.06   10.10 v mprj/u_wb_host/_2728_/Y (sky130_fd_sc_hd__inv_8)
-     8    0.04                           mprj/u_wb_host/_1144_ (net)
-                  0.04    0.00   10.10 v mprj/u_wb_host/_3131_/C1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.26   10.36 v mprj/u_wb_host/_3131_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_wb_host/_1399_ (net)
-                  0.05    0.00   10.36 v mprj/u_wb_host/_3132_/A_N (sky130_fd_sc_hd__and4bb_4)
-                  0.17    0.40   10.76 ^ mprj/u_wb_host/_3132_/X (sky130_fd_sc_hd__and4bb_4)
-     5    0.04                           mprj/u_wb_host/_1400_ (net)
-                  0.17    0.00   10.76 ^ mprj/u_wb_host/_3133_/A (sky130_fd_sc_hd__clkinv_2)
-                  0.09    0.13   10.89 v mprj/u_wb_host/_3133_/Y (sky130_fd_sc_hd__clkinv_2)
-     2    0.02                           mprj/u_wb_host/_1401_ (net)
-                  0.09    0.00   10.89 v mprj/u_wb_host/_3135_/B (sky130_fd_sc_hd__nor2_8)
-                  0.97    0.74   11.63 ^ mprj/u_wb_host/_3135_/Y (sky130_fd_sc_hd__nor2_8)
-    72    0.28                           mprj/u_wb_host/_1403_ (net)
-                  0.98    0.06   11.69 ^ mprj/u_wb_host/_4202_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.39   12.08 v mprj/u_wb_host/_4202_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0344_ (net)
-                  0.05    0.00   12.08 v mprj/u_wb_host/_5814_/D (sky130_fd_sc_hd__dfxtp_1)
-                                 12.08   data arrival time
-
-                         10.00   10.00   clock wbs_clk_i (rise edge)
-                          0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.45   10.46 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01   10.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.15   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.14   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.12   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.63 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00   11.63 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.27   12.27 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00   12.27 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.24   12.51 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00   12.51 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.20   12.72 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00   12.72 ^ mprj/u_wb_host/clkbuf_3_1__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.19   12.91 ^ mprj/u_wb_host/clkbuf_3_1__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.10                           mprj/u_wb_host/clknet_3_1__leaf_wbs_clk_i (net)
-                  0.11    0.00   12.91 ^ mprj/u_wb_host/_5814_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                         -0.25   12.66   clock uncertainty
-                          0.21   12.88   clock reconvergence pessimism
-                         -0.10   12.78   library setup time
-                                 12.78   data required time
------------------------------------------------------------------------------
-                                 12.78   data required time
-                                -12.08   data arrival time
------------------------------------------------------------------------------
-                                  0.70   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5495_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_wb_host/_5815_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.49    0.49 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01    0.50 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.16    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.13    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.15    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.13    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.76 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00    1.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.29    2.45 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00    2.45 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.26    2.71 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00    2.71 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.22    2.93 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00    2.93 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.18    3.11 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    20    0.06                           mprj/u_wb_host/clknet_3_5__leaf_wbs_clk_i (net)
-                  0.08    0.00    3.11 ^ mprj/u_wb_host/_5495_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  1.59    1.54    4.66 ^ mprj/u_wb_host/_5495_/Q (sky130_fd_sc_hd__dfrtp_4)
-   150    0.58                           mprj/u_wb_host/u_async_wb.u_cmd_if.rd_ptr[1] (net)
-                  1.59    0.01    4.67 ^ mprj/u_wb_host/_2907_/A (sky130_fd_sc_hd__xnor2_1)
-                  0.23    0.24    4.90 v mprj/u_wb_host/_2907_/Y (sky130_fd_sc_hd__xnor2_1)
-     2    0.01                           mprj/u_wb_host/_1260_ (net)
-                  0.23    0.00    4.90 v mprj/u_wb_host/_2909_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.37    5.28 v mprj/u_wb_host/_2909_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_1262_ (net)
-                  0.05    0.00    5.28 v mprj/u_wb_host/_2910_/D (sky130_fd_sc_hd__or4_4)
-                  0.59    0.87    6.14 v mprj/u_wb_host/_2910_/X (sky130_fd_sc_hd__or4_4)
-   142    0.42                           mprj/u_wb_host/_1263_ (net)
-                  0.64    0.13    6.27 v mprj/u_wb_host/_2951_/A2 (sky130_fd_sc_hd__a31o_1)
-                  0.16    0.56    6.83 v mprj/u_wb_host/_2951_/X (sky130_fd_sc_hd__a31o_1)
-     2    0.03                           mprj/u_wb_host/net262 (net)
-                  0.16    0.00    6.83 v mprj/u_wb_host/output262/A (sky130_fd_sc_hd__buf_2)
-                  0.11    0.26    7.09 v mprj/u_wb_host/output262/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_int_adr_i[12] (net)
-                  0.11    0.00    7.10 v mprj/u_intercon/input7/A (sky130_fd_sc_hd__buf_12)
-                  0.04    0.18    7.27 v mprj/u_intercon/input7/X (sky130_fd_sc_hd__buf_12)
-     4    0.05                           mprj/u_intercon/net7 (net)
-                  0.04    0.00    7.28 v mprj/u_intercon/_1782_/A (sky130_fd_sc_hd__or4_4)
-                  0.11    0.59    7.87 v mprj/u_intercon/_1782_/X (sky130_fd_sc_hd__or4_4)
-     3    0.02                           mprj/u_intercon/_0982_ (net)
-                  0.11    0.00    7.87 v mprj/u_intercon/_1784_/A3 (sky130_fd_sc_hd__o31a_1)
-                  0.09    0.31    8.18 v mprj/u_intercon/_1784_/X (sky130_fd_sc_hd__o31a_1)
-     4    0.01                           mprj/u_intercon/_0984_ (net)
-                  0.09    0.00    8.18 v mprj/u_intercon/_2009_/A_N (sky130_fd_sc_hd__and2b_4)
-                  0.29    0.45    8.63 ^ mprj/u_intercon/_2009_/X (sky130_fd_sc_hd__and2b_4)
-     6    0.09                           mprj/u_intercon/_1131_ (net)
-                  0.29    0.01    8.65 ^ mprj/u_intercon/fanout772/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.23    0.37    9.01 ^ mprj/u_intercon/fanout772/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.08                           mprj/u_intercon/net772 (net)
-                  0.23    0.00    9.02 ^ mprj/u_intercon/_2010_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.06    0.17    9.19 ^ mprj/u_intercon/_2010_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_intercon/_1132_ (net)
-                  0.06    0.00    9.19 ^ mprj/u_intercon/_2011_/A0 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    9.33 ^ mprj/u_intercon/_2011_/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_intercon/_1133_ (net)
-                  0.04    0.00    9.33 ^ mprj/u_intercon/_2012_/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.28    0.33    9.66 ^ mprj/u_intercon/_2012_/X (sky130_fd_sc_hd__mux2_4)
-     2    0.10                           mprj/u_intercon/net322 (net)
-                  0.28    0.02    9.68 ^ mprj/u_intercon/output322/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19    9.87 ^ mprj/u_intercon/output322/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mprj/wbd_int_ack_o (net)
-                  0.07    0.00    9.87 ^ mprj/u_wb_host/input101/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.16   10.03 ^ mprj/u_wb_host/input101/X (sky130_fd_sc_hd__buf_12)
-    12    0.08                           mprj/u_wb_host/net101 (net)
-                  0.10    0.01   10.04 ^ mprj/u_wb_host/_2728_/A (sky130_fd_sc_hd__inv_8)
-                  0.04    0.06   10.10 v mprj/u_wb_host/_2728_/Y (sky130_fd_sc_hd__inv_8)
-     8    0.04                           mprj/u_wb_host/_1144_ (net)
-                  0.04    0.00   10.10 v mprj/u_wb_host/_3131_/C1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.26   10.36 v mprj/u_wb_host/_3131_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_wb_host/_1399_ (net)
-                  0.05    0.00   10.36 v mprj/u_wb_host/_3132_/A_N (sky130_fd_sc_hd__and4bb_4)
-                  0.17    0.40   10.76 ^ mprj/u_wb_host/_3132_/X (sky130_fd_sc_hd__and4bb_4)
-     5    0.04                           mprj/u_wb_host/_1400_ (net)
-                  0.17    0.00   10.76 ^ mprj/u_wb_host/_3133_/A (sky130_fd_sc_hd__clkinv_2)
-                  0.09    0.13   10.89 v mprj/u_wb_host/_3133_/Y (sky130_fd_sc_hd__clkinv_2)
-     2    0.02                           mprj/u_wb_host/_1401_ (net)
-                  0.09    0.00   10.89 v mprj/u_wb_host/_3135_/B (sky130_fd_sc_hd__nor2_8)
-                  0.97    0.74   11.63 ^ mprj/u_wb_host/_3135_/Y (sky130_fd_sc_hd__nor2_8)
-    72    0.28                           mprj/u_wb_host/_1403_ (net)
-                  0.97    0.05   11.68 ^ mprj/u_wb_host/_4203_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.40   12.08 v mprj/u_wb_host/_4203_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0345_ (net)
-                  0.05    0.00   12.08 v mprj/u_wb_host/_5815_/D (sky130_fd_sc_hd__dfxtp_1)
-                                 12.08   data arrival time
-
-                         10.00   10.00   clock wbs_clk_i (rise edge)
-                          0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.45   10.46 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01   10.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.15   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.14   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.12   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.63 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00   11.63 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.27   12.27 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00   12.27 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.24   12.51 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00   12.51 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.20   12.72 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00   12.72 ^ mprj/u_wb_host/clkbuf_3_1__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.19   12.91 ^ mprj/u_wb_host/clkbuf_3_1__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.10                           mprj/u_wb_host/clknet_3_1__leaf_wbs_clk_i (net)
-                  0.11    0.00   12.91 ^ mprj/u_wb_host/_5815_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                         -0.25   12.66   clock uncertainty
-                          0.21   12.87   clock reconvergence pessimism
-                         -0.10   12.78   library setup time
-                                 12.78   data required time
------------------------------------------------------------------------------
-                                 12.78   data required time
-                                -12.08   data arrival time
------------------------------------------------------------------------------
-                                  0.70   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5495_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_wb_host/_5820_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.49    0.49 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01    0.50 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.16    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.13    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.15    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.13    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.76 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00    1.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.29    2.45 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00    2.45 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.26    2.71 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00    2.71 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.22    2.93 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00    2.93 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.18    3.11 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    20    0.06                           mprj/u_wb_host/clknet_3_5__leaf_wbs_clk_i (net)
-                  0.08    0.00    3.11 ^ mprj/u_wb_host/_5495_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  1.59    1.54    4.66 ^ mprj/u_wb_host/_5495_/Q (sky130_fd_sc_hd__dfrtp_4)
-   150    0.58                           mprj/u_wb_host/u_async_wb.u_cmd_if.rd_ptr[1] (net)
-                  1.59    0.01    4.67 ^ mprj/u_wb_host/_2907_/A (sky130_fd_sc_hd__xnor2_1)
-                  0.23    0.24    4.90 v mprj/u_wb_host/_2907_/Y (sky130_fd_sc_hd__xnor2_1)
-     2    0.01                           mprj/u_wb_host/_1260_ (net)
-                  0.23    0.00    4.90 v mprj/u_wb_host/_2909_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.37    5.28 v mprj/u_wb_host/_2909_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_1262_ (net)
-                  0.05    0.00    5.28 v mprj/u_wb_host/_2910_/D (sky130_fd_sc_hd__or4_4)
-                  0.59    0.87    6.14 v mprj/u_wb_host/_2910_/X (sky130_fd_sc_hd__or4_4)
-   142    0.42                           mprj/u_wb_host/_1263_ (net)
-                  0.64    0.13    6.27 v mprj/u_wb_host/_2951_/A2 (sky130_fd_sc_hd__a31o_1)
-                  0.16    0.56    6.83 v mprj/u_wb_host/_2951_/X (sky130_fd_sc_hd__a31o_1)
-     2    0.03                           mprj/u_wb_host/net262 (net)
-                  0.16    0.00    6.83 v mprj/u_wb_host/output262/A (sky130_fd_sc_hd__buf_2)
-                  0.11    0.26    7.09 v mprj/u_wb_host/output262/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_int_adr_i[12] (net)
-                  0.11    0.00    7.10 v mprj/u_intercon/input7/A (sky130_fd_sc_hd__buf_12)
-                  0.04    0.18    7.27 v mprj/u_intercon/input7/X (sky130_fd_sc_hd__buf_12)
-     4    0.05                           mprj/u_intercon/net7 (net)
-                  0.04    0.00    7.28 v mprj/u_intercon/_1782_/A (sky130_fd_sc_hd__or4_4)
-                  0.11    0.59    7.87 v mprj/u_intercon/_1782_/X (sky130_fd_sc_hd__or4_4)
-     3    0.02                           mprj/u_intercon/_0982_ (net)
-                  0.11    0.00    7.87 v mprj/u_intercon/_1784_/A3 (sky130_fd_sc_hd__o31a_1)
-                  0.09    0.31    8.18 v mprj/u_intercon/_1784_/X (sky130_fd_sc_hd__o31a_1)
-     4    0.01                           mprj/u_intercon/_0984_ (net)
-                  0.09    0.00    8.18 v mprj/u_intercon/_2009_/A_N (sky130_fd_sc_hd__and2b_4)
-                  0.29    0.45    8.63 ^ mprj/u_intercon/_2009_/X (sky130_fd_sc_hd__and2b_4)
-     6    0.09                           mprj/u_intercon/_1131_ (net)
-                  0.29    0.01    8.65 ^ mprj/u_intercon/fanout772/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.23    0.37    9.01 ^ mprj/u_intercon/fanout772/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.08                           mprj/u_intercon/net772 (net)
-                  0.23    0.00    9.02 ^ mprj/u_intercon/_2010_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.06    0.17    9.19 ^ mprj/u_intercon/_2010_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_intercon/_1132_ (net)
-                  0.06    0.00    9.19 ^ mprj/u_intercon/_2011_/A0 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    9.33 ^ mprj/u_intercon/_2011_/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_intercon/_1133_ (net)
-                  0.04    0.00    9.33 ^ mprj/u_intercon/_2012_/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.28    0.33    9.66 ^ mprj/u_intercon/_2012_/X (sky130_fd_sc_hd__mux2_4)
-     2    0.10                           mprj/u_intercon/net322 (net)
-                  0.28    0.02    9.68 ^ mprj/u_intercon/output322/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19    9.87 ^ mprj/u_intercon/output322/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mprj/wbd_int_ack_o (net)
-                  0.07    0.00    9.87 ^ mprj/u_wb_host/input101/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.16   10.03 ^ mprj/u_wb_host/input101/X (sky130_fd_sc_hd__buf_12)
-    12    0.08                           mprj/u_wb_host/net101 (net)
-                  0.10    0.01   10.04 ^ mprj/u_wb_host/_2728_/A (sky130_fd_sc_hd__inv_8)
-                  0.04    0.06   10.10 v mprj/u_wb_host/_2728_/Y (sky130_fd_sc_hd__inv_8)
-     8    0.04                           mprj/u_wb_host/_1144_ (net)
-                  0.04    0.00   10.10 v mprj/u_wb_host/_3131_/C1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.26   10.36 v mprj/u_wb_host/_3131_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_wb_host/_1399_ (net)
-                  0.05    0.00   10.36 v mprj/u_wb_host/_3132_/A_N (sky130_fd_sc_hd__and4bb_4)
-                  0.17    0.40   10.76 ^ mprj/u_wb_host/_3132_/X (sky130_fd_sc_hd__and4bb_4)
-     5    0.04                           mprj/u_wb_host/_1400_ (net)
-                  0.17    0.00   10.76 ^ mprj/u_wb_host/_3133_/A (sky130_fd_sc_hd__clkinv_2)
-                  0.09    0.13   10.89 v mprj/u_wb_host/_3133_/Y (sky130_fd_sc_hd__clkinv_2)
-     2    0.02                           mprj/u_wb_host/_1401_ (net)
-                  0.09    0.00   10.89 v mprj/u_wb_host/_3135_/B (sky130_fd_sc_hd__nor2_8)
-                  0.97    0.74   11.63 ^ mprj/u_wb_host/_3135_/Y (sky130_fd_sc_hd__nor2_8)
-    72    0.28                           mprj/u_wb_host/_1403_ (net)
-                  0.97    0.03   11.67 ^ mprj/u_wb_host/_4208_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.39   12.06 v mprj/u_wb_host/_4208_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0350_ (net)
-                  0.05    0.00   12.06 v mprj/u_wb_host/_5820_/D (sky130_fd_sc_hd__dfxtp_1)
-                                 12.06   data arrival time
-
-                         10.00   10.00   clock wbs_clk_i (rise edge)
-                          0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.45   10.46 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01   10.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.15   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.14   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.12   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.63 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00   11.63 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.27   12.27 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00   12.27 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.24   12.51 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00   12.51 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.20   12.72 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00   12.72 ^ mprj/u_wb_host/clkbuf_3_7__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.18   12.90 ^ mprj/u_wb_host/clkbuf_3_7__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    30    0.09                           mprj/u_wb_host/clknet_3_7__leaf_wbs_clk_i (net)
-                  0.10    0.00   12.90 ^ mprj/u_wb_host/_5820_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                         -0.25   12.65   clock uncertainty
-                          0.21   12.86   clock reconvergence pessimism
-                         -0.10   12.76   library setup time
-                                 12.76   data required time
------------------------------------------------------------------------------
-                                 12.76   data required time
-                                -12.06   data arrival time
------------------------------------------------------------------------------
-                                  0.70   slack (MET)
-
-
-Startpoint: mprj/u_sram1_2kb
-            (falling edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist0/_4232_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          5.00    5.00   clock wbs_clk_i (fall edge)
-                          0.00    5.00   clock source latency
-                  0.32    0.00    5.00 v mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.32    0.00    5.00 v mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.13    0.35    5.35 v mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     2    0.03                           mprj/u_intercon/net2 (net)
-                  0.13    0.00    5.36 v mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.03    0.13    5.49 v mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net319 (net)
-                  0.03    0.00    5.49 v mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
-                  0.08    0.17    5.66 v mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
-                  0.08    0.00    5.66 v mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.14    5.80 v mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net198 (net)
-                  0.06    0.00    5.80 v mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.04    0.11    5.91 v mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
-                  0.04    0.00    5.91 v mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.04    0.10    6.01 v mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
-                  0.04    0.00    6.01 v mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.12    6.12 v mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
-                  0.06    0.00    6.12 v mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.04    0.11    6.23 v mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
-                  0.04    0.00    6.23 v mprj/u_mbist0/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.04    0.10    6.33 v mprj/u_mbist0/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d5 (net)
-                  0.04    0.00    6.33 v mprj/u_mbist0/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.04    0.10    6.43 v mprj/u_mbist0/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d6 (net)
-                  0.04    0.00    6.43 v mprj/u_mbist0/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.04    0.10    6.53 v mprj/u_mbist0/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d7 (net)
-                  0.04    0.00    6.53 v mprj/u_mbist0/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.29    6.82 v mprj/u_mbist0/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d03 (net)
-                  0.05    0.00    6.82 v mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.30    7.11 v mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
-                  0.05    0.00    7.11 v mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.32    7.44 v mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
-                  0.07    0.00    7.44 v mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.31    7.74 v mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/net427 (net)
-                  0.06    0.00    7.74 v mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
-                  0.51    0.50    8.24 v mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist0_skew (net)
-                  0.52    0.04    8.28 v mprj/u_mbist0/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.35    8.63 v mprj/u_mbist0/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_mbist0/clknet_0_wb_clk2_i (net)
-                  0.04    0.00    8.63 v mprj/u_mbist0/clkbuf_1_1__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.09    0.21    8.84 v mprj/u_mbist0/clkbuf_1_1__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.12                           mprj/u_mbist0/clknet_1_1__leaf_wb_clk2_i (net)
-                  0.10    0.02    8.86 v mprj/u_mbist0/u_mbist.mem_no[1].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
-                  0.11    0.41    9.27 v mprj/u_mbist0/u_mbist.mem_no[1].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-     2    0.08                           mprj/u_mbist0/u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
-                  0.11    0.00    9.27 v mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[1].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.21    9.48 v mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[1].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.07                           mprj/u_mbist0/clknet_0_u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
-                  0.06    0.00    9.48 v mprj/u_mbist0/clkbuf_3_5__f_u_mbist.mem_no[1].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.19    9.67 v mprj/u_mbist0/clkbuf_3_5__f_u_mbist.mem_no[1].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    14    0.07                           mprj/u_mbist0/clknet_3_5__leaf_u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
-                  0.07    0.01    9.68 v mprj/u_mbist0/_4687_/A (sky130_fd_sc_hd__buf_2)
-                  0.04    0.15    9.83 v mprj/u_mbist0/_4687_/X (sky130_fd_sc_hd__buf_2)
-     1    0.01                           mprj/u_mbist0/net240 (net)
-                  0.04    0.00    9.83 v mprj/u_mbist0/output240/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.38    0.35   10.19 v mprj/u_mbist0/output240/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.07                           mprj/mem_clk_a[1] (net)
-                  0.50    0.01   10.20 v mprj/u_sram1_2kb/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8)
-                  0.02    0.55   10.75 ^ mprj/u_sram1_2kb/dout0[20] (sky130_sram_2kbyte_1rw1r_32x512_8)
-     2    0.03                           mprj/mem1_dout_a[20] (net)
-                  0.01    0.00   10.75 ^ mprj/u_mbist0/input49/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.40    0.34   11.09 ^ mprj/u_mbist0/input49/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     6    0.04                           mprj/u_mbist0/net49 (net)
-                  0.40    0.00   11.09 ^ mprj/u_mbist0/_2872_/A1_N (sky130_fd_sc_hd__a2bb2o_1)
-                  0.05    0.34   11.43 v mprj/u_mbist0/_2872_/X (sky130_fd_sc_hd__a2bb2o_1)
-     1    0.00                           mprj/u_mbist0/_1367_ (net)
-                  0.05    0.00   11.43 v mprj/u_mbist0/_2873_/C1 (sky130_fd_sc_hd__a221o_1)
-                  0.06    0.27   11.70 v mprj/u_mbist0/_2873_/X (sky130_fd_sc_hd__a221o_1)
-     1    0.00                           mprj/u_mbist0/_1368_ (net)
-                  0.06    0.00   11.70 v mprj/u_mbist0/_2880_/A (sky130_fd_sc_hd__or4_1)
-                  0.14    0.63   12.33 v mprj/u_mbist0/_2880_/X (sky130_fd_sc_hd__or4_1)
-     1    0.01                           mprj/u_mbist0/_1375_ (net)
-                  0.14    0.00   12.33 v mprj/u_mbist0/_2881_/B (sky130_fd_sc_hd__or4b_2)
-                  0.17    0.80   13.13 v mprj/u_mbist0/_2881_/X (sky130_fd_sc_hd__or4b_2)
-     2    0.02                           mprj/u_mbist0/_1376_ (net)
-                  0.17    0.00   13.13 v mprj/u_mbist0/_2894_/A1 (sky130_fd_sc_hd__o21ba_1)
-                  0.10    0.30   13.43 v mprj/u_mbist0/_2894_/X (sky130_fd_sc_hd__o21ba_1)
-     1    0.02                           mprj/u_mbist0/_0010_ (net)
-                  0.10    0.00   13.43 v mprj/u_mbist0/_4232_/D (sky130_fd_sc_hd__dfrtp_2)
-                                 13.43   data arrival time
-
-                         10.00   10.00   clock wbs_clk_i (rise edge)
-                          0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.27    0.33   10.33 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     2    0.03                           mprj/u_intercon/net2 (net)
-                  0.27    0.00   10.34 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.12   10.46 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net319 (net)
-                  0.06    0.00   10.46 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
-                  0.15    0.18   10.64 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
-                  0.15    0.00   10.64 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.15   10.79 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net198 (net)
-                  0.12    0.00   10.79 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12   10.91 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00   10.91 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.01 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00   11.02 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.13   11.15 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00   11.15 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.26 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00   11.26 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.37 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00   11.37 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00   11.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.58 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00   11.58 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12   11.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d03 (net)
-                  0.04    0.00   11.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11   11.81 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
-                  0.04    0.00   11.81 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   11.94 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
-                  0.07    0.00   11.94 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   12.07 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/net427 (net)
-                  0.05    0.00   12.07 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.80   12.87 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist0_skew (net)
-                  1.11    0.05   12.91 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31   13.23 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
-                  0.08    0.00   13.23 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   13.36 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00   13.36 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.12   13.49 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00   13.49 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.11   13.60 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00   13.60 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.38   13.98 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
-                  0.44    0.01   13.99 ^ mprj/u_mbist0/clkbuf_leaf_19_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.14    0.30   14.28 ^ mprj/u_mbist0/clkbuf_leaf_19_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    30    0.12                           mprj/u_mbist0/clknet_leaf_19_wb_clk_i (net)
-                  0.14    0.00   14.29 ^ mprj/u_mbist0/_4232_/CLK (sky130_fd_sc_hd__dfrtp_2)
-                         -0.25   14.04   clock uncertainty
-                          0.22   14.26   clock reconvergence pessimism
-                         -0.12   14.14   library setup time
-                                 14.14   data required time
------------------------------------------------------------------------------
-                                 14.14   data required time
-                                -13.43   data arrival time
------------------------------------------------------------------------------
-                                  0.71   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5495_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_wb_host/_5804_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.49    0.49 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01    0.50 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.16    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.13    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.15    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.13    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.76 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00    1.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.29    2.45 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00    2.45 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.26    2.71 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00    2.71 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.22    2.93 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00    2.93 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.18    3.11 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    20    0.06                           mprj/u_wb_host/clknet_3_5__leaf_wbs_clk_i (net)
-                  0.08    0.00    3.11 ^ mprj/u_wb_host/_5495_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  1.59    1.54    4.66 ^ mprj/u_wb_host/_5495_/Q (sky130_fd_sc_hd__dfrtp_4)
-   150    0.58                           mprj/u_wb_host/u_async_wb.u_cmd_if.rd_ptr[1] (net)
-                  1.59    0.01    4.67 ^ mprj/u_wb_host/_2907_/A (sky130_fd_sc_hd__xnor2_1)
-                  0.23    0.24    4.90 v mprj/u_wb_host/_2907_/Y (sky130_fd_sc_hd__xnor2_1)
-     2    0.01                           mprj/u_wb_host/_1260_ (net)
-                  0.23    0.00    4.90 v mprj/u_wb_host/_2909_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.37    5.28 v mprj/u_wb_host/_2909_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_1262_ (net)
-                  0.05    0.00    5.28 v mprj/u_wb_host/_2910_/D (sky130_fd_sc_hd__or4_4)
-                  0.59    0.87    6.14 v mprj/u_wb_host/_2910_/X (sky130_fd_sc_hd__or4_4)
-   142    0.42                           mprj/u_wb_host/_1263_ (net)
-                  0.64    0.13    6.27 v mprj/u_wb_host/_2951_/A2 (sky130_fd_sc_hd__a31o_1)
-                  0.16    0.56    6.83 v mprj/u_wb_host/_2951_/X (sky130_fd_sc_hd__a31o_1)
-     2    0.03                           mprj/u_wb_host/net262 (net)
-                  0.16    0.00    6.83 v mprj/u_wb_host/output262/A (sky130_fd_sc_hd__buf_2)
-                  0.11    0.26    7.09 v mprj/u_wb_host/output262/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_int_adr_i[12] (net)
-                  0.11    0.00    7.10 v mprj/u_intercon/input7/A (sky130_fd_sc_hd__buf_12)
-                  0.04    0.18    7.27 v mprj/u_intercon/input7/X (sky130_fd_sc_hd__buf_12)
-     4    0.05                           mprj/u_intercon/net7 (net)
-                  0.04    0.00    7.28 v mprj/u_intercon/_1782_/A (sky130_fd_sc_hd__or4_4)
-                  0.11    0.59    7.87 v mprj/u_intercon/_1782_/X (sky130_fd_sc_hd__or4_4)
-     3    0.02                           mprj/u_intercon/_0982_ (net)
-                  0.11    0.00    7.87 v mprj/u_intercon/_1784_/A3 (sky130_fd_sc_hd__o31a_1)
-                  0.09    0.31    8.18 v mprj/u_intercon/_1784_/X (sky130_fd_sc_hd__o31a_1)
-     4    0.01                           mprj/u_intercon/_0984_ (net)
-                  0.09    0.00    8.18 v mprj/u_intercon/_2009_/A_N (sky130_fd_sc_hd__and2b_4)
-                  0.29    0.45    8.63 ^ mprj/u_intercon/_2009_/X (sky130_fd_sc_hd__and2b_4)
-     6    0.09                           mprj/u_intercon/_1131_ (net)
-                  0.29    0.01    8.65 ^ mprj/u_intercon/fanout772/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.23    0.37    9.01 ^ mprj/u_intercon/fanout772/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.08                           mprj/u_intercon/net772 (net)
-                  0.23    0.00    9.02 ^ mprj/u_intercon/_2010_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.06    0.17    9.19 ^ mprj/u_intercon/_2010_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_intercon/_1132_ (net)
-                  0.06    0.00    9.19 ^ mprj/u_intercon/_2011_/A0 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    9.33 ^ mprj/u_intercon/_2011_/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_intercon/_1133_ (net)
-                  0.04    0.00    9.33 ^ mprj/u_intercon/_2012_/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.28    0.33    9.66 ^ mprj/u_intercon/_2012_/X (sky130_fd_sc_hd__mux2_4)
-     2    0.10                           mprj/u_intercon/net322 (net)
-                  0.28    0.02    9.68 ^ mprj/u_intercon/output322/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19    9.87 ^ mprj/u_intercon/output322/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mprj/wbd_int_ack_o (net)
-                  0.07    0.00    9.87 ^ mprj/u_wb_host/input101/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.16   10.03 ^ mprj/u_wb_host/input101/X (sky130_fd_sc_hd__buf_12)
-    12    0.08                           mprj/u_wb_host/net101 (net)
-                  0.10    0.01   10.04 ^ mprj/u_wb_host/_2728_/A (sky130_fd_sc_hd__inv_8)
-                  0.04    0.06   10.10 v mprj/u_wb_host/_2728_/Y (sky130_fd_sc_hd__inv_8)
-     8    0.04                           mprj/u_wb_host/_1144_ (net)
-                  0.04    0.00   10.10 v mprj/u_wb_host/_3131_/C1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.26   10.36 v mprj/u_wb_host/_3131_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_wb_host/_1399_ (net)
-                  0.05    0.00   10.36 v mprj/u_wb_host/_3132_/A_N (sky130_fd_sc_hd__and4bb_4)
-                  0.17    0.40   10.76 ^ mprj/u_wb_host/_3132_/X (sky130_fd_sc_hd__and4bb_4)
-     5    0.04                           mprj/u_wb_host/_1400_ (net)
-                  0.17    0.00   10.76 ^ mprj/u_wb_host/_3133_/A (sky130_fd_sc_hd__clkinv_2)
-                  0.09    0.13   10.89 v mprj/u_wb_host/_3133_/Y (sky130_fd_sc_hd__clkinv_2)
-     2    0.02                           mprj/u_wb_host/_1401_ (net)
-                  0.09    0.00   10.89 v mprj/u_wb_host/_3135_/B (sky130_fd_sc_hd__nor2_8)
-                  0.97    0.74   11.63 ^ mprj/u_wb_host/_3135_/Y (sky130_fd_sc_hd__nor2_8)
-    72    0.28                           mprj/u_wb_host/_1403_ (net)
-                  0.97    0.03   11.66 ^ mprj/u_wb_host/_4192_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.39   12.05 v mprj/u_wb_host/_4192_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0334_ (net)
-                  0.05    0.00   12.05 v mprj/u_wb_host/_5804_/D (sky130_fd_sc_hd__dfxtp_1)
-                                 12.05   data arrival time
-
-                         10.00   10.00   clock wbs_clk_i (rise edge)
-                          0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.45   10.46 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01   10.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.15   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.14   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.12   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.63 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00   11.63 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.27   12.27 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00   12.27 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.24   12.51 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00   12.51 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.20   12.72 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00   12.72 ^ mprj/u_wb_host/clkbuf_3_7__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.18   12.90 ^ mprj/u_wb_host/clkbuf_3_7__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    30    0.09                           mprj/u_wb_host/clknet_3_7__leaf_wbs_clk_i (net)
-                  0.10    0.00   12.91 ^ mprj/u_wb_host/_5804_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                         -0.25   12.66   clock uncertainty
-                          0.21   12.87   clock reconvergence pessimism
-                         -0.10   12.77   library setup time
-                                 12.77   data required time
------------------------------------------------------------------------------
-                                 12.77   data required time
-                                -12.05   data arrival time
------------------------------------------------------------------------------
-                                  0.71   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5495_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_wb_host/_5819_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.49    0.49 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01    0.50 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.16    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.13    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.15    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.13    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.76 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00    1.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.29    2.45 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00    2.45 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.26    2.71 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00    2.71 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.22    2.93 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00    2.93 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.18    3.11 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    20    0.06                           mprj/u_wb_host/clknet_3_5__leaf_wbs_clk_i (net)
-                  0.08    0.00    3.11 ^ mprj/u_wb_host/_5495_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  1.59    1.54    4.66 ^ mprj/u_wb_host/_5495_/Q (sky130_fd_sc_hd__dfrtp_4)
-   150    0.58                           mprj/u_wb_host/u_async_wb.u_cmd_if.rd_ptr[1] (net)
-                  1.59    0.01    4.67 ^ mprj/u_wb_host/_2907_/A (sky130_fd_sc_hd__xnor2_1)
-                  0.23    0.24    4.90 v mprj/u_wb_host/_2907_/Y (sky130_fd_sc_hd__xnor2_1)
-     2    0.01                           mprj/u_wb_host/_1260_ (net)
-                  0.23    0.00    4.90 v mprj/u_wb_host/_2909_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.37    5.28 v mprj/u_wb_host/_2909_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_1262_ (net)
-                  0.05    0.00    5.28 v mprj/u_wb_host/_2910_/D (sky130_fd_sc_hd__or4_4)
-                  0.59    0.87    6.14 v mprj/u_wb_host/_2910_/X (sky130_fd_sc_hd__or4_4)
-   142    0.42                           mprj/u_wb_host/_1263_ (net)
-                  0.64    0.13    6.27 v mprj/u_wb_host/_2951_/A2 (sky130_fd_sc_hd__a31o_1)
-                  0.16    0.56    6.83 v mprj/u_wb_host/_2951_/X (sky130_fd_sc_hd__a31o_1)
-     2    0.03                           mprj/u_wb_host/net262 (net)
-                  0.16    0.00    6.83 v mprj/u_wb_host/output262/A (sky130_fd_sc_hd__buf_2)
-                  0.11    0.26    7.09 v mprj/u_wb_host/output262/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_int_adr_i[12] (net)
-                  0.11    0.00    7.10 v mprj/u_intercon/input7/A (sky130_fd_sc_hd__buf_12)
-                  0.04    0.18    7.27 v mprj/u_intercon/input7/X (sky130_fd_sc_hd__buf_12)
-     4    0.05                           mprj/u_intercon/net7 (net)
-                  0.04    0.00    7.28 v mprj/u_intercon/_1782_/A (sky130_fd_sc_hd__or4_4)
-                  0.11    0.59    7.87 v mprj/u_intercon/_1782_/X (sky130_fd_sc_hd__or4_4)
-     3    0.02                           mprj/u_intercon/_0982_ (net)
-                  0.11    0.00    7.87 v mprj/u_intercon/_1784_/A3 (sky130_fd_sc_hd__o31a_1)
-                  0.09    0.31    8.18 v mprj/u_intercon/_1784_/X (sky130_fd_sc_hd__o31a_1)
-     4    0.01                           mprj/u_intercon/_0984_ (net)
-                  0.09    0.00    8.18 v mprj/u_intercon/_2009_/A_N (sky130_fd_sc_hd__and2b_4)
-                  0.29    0.45    8.63 ^ mprj/u_intercon/_2009_/X (sky130_fd_sc_hd__and2b_4)
-     6    0.09                           mprj/u_intercon/_1131_ (net)
-                  0.29    0.01    8.65 ^ mprj/u_intercon/fanout772/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.23    0.37    9.01 ^ mprj/u_intercon/fanout772/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.08                           mprj/u_intercon/net772 (net)
-                  0.23    0.00    9.02 ^ mprj/u_intercon/_2010_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.06    0.17    9.19 ^ mprj/u_intercon/_2010_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_intercon/_1132_ (net)
-                  0.06    0.00    9.19 ^ mprj/u_intercon/_2011_/A0 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    9.33 ^ mprj/u_intercon/_2011_/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_intercon/_1133_ (net)
-                  0.04    0.00    9.33 ^ mprj/u_intercon/_2012_/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.28    0.33    9.66 ^ mprj/u_intercon/_2012_/X (sky130_fd_sc_hd__mux2_4)
-     2    0.10                           mprj/u_intercon/net322 (net)
-                  0.28    0.02    9.68 ^ mprj/u_intercon/output322/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19    9.87 ^ mprj/u_intercon/output322/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mprj/wbd_int_ack_o (net)
-                  0.07    0.00    9.87 ^ mprj/u_wb_host/input101/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.16   10.03 ^ mprj/u_wb_host/input101/X (sky130_fd_sc_hd__buf_12)
-    12    0.08                           mprj/u_wb_host/net101 (net)
-                  0.10    0.01   10.04 ^ mprj/u_wb_host/_2728_/A (sky130_fd_sc_hd__inv_8)
-                  0.04    0.06   10.10 v mprj/u_wb_host/_2728_/Y (sky130_fd_sc_hd__inv_8)
-     8    0.04                           mprj/u_wb_host/_1144_ (net)
-                  0.04    0.00   10.10 v mprj/u_wb_host/_3131_/C1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.26   10.36 v mprj/u_wb_host/_3131_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_wb_host/_1399_ (net)
-                  0.05    0.00   10.36 v mprj/u_wb_host/_3132_/A_N (sky130_fd_sc_hd__and4bb_4)
-                  0.17    0.40   10.76 ^ mprj/u_wb_host/_3132_/X (sky130_fd_sc_hd__and4bb_4)
-     5    0.04                           mprj/u_wb_host/_1400_ (net)
-                  0.17    0.00   10.76 ^ mprj/u_wb_host/_3133_/A (sky130_fd_sc_hd__clkinv_2)
-                  0.09    0.13   10.89 v mprj/u_wb_host/_3133_/Y (sky130_fd_sc_hd__clkinv_2)
-     2    0.02                           mprj/u_wb_host/_1401_ (net)
-                  0.09    0.00   10.89 v mprj/u_wb_host/_3135_/B (sky130_fd_sc_hd__nor2_8)
-                  0.97    0.74   11.63 ^ mprj/u_wb_host/_3135_/Y (sky130_fd_sc_hd__nor2_8)
-    72    0.28                           mprj/u_wb_host/_1403_ (net)
-                  0.97    0.02   11.66 ^ mprj/u_wb_host/_4207_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.39   12.05 v mprj/u_wb_host/_4207_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0349_ (net)
-                  0.05    0.00   12.05 v mprj/u_wb_host/_5819_/D (sky130_fd_sc_hd__dfxtp_1)
-                                 12.05   data arrival time
-
-                         10.00   10.00   clock wbs_clk_i (rise edge)
-                          0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.45   10.46 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01   10.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.15   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.14   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.12   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.63 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00   11.63 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.27   12.27 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00   12.27 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.24   12.51 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00   12.51 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.20   12.72 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00   12.72 ^ mprj/u_wb_host/clkbuf_3_7__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.18   12.90 ^ mprj/u_wb_host/clkbuf_3_7__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    30    0.09                           mprj/u_wb_host/clknet_3_7__leaf_wbs_clk_i (net)
-                  0.10    0.00   12.91 ^ mprj/u_wb_host/_5819_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                         -0.25   12.66   clock uncertainty
-                          0.21   12.87   clock reconvergence pessimism
-                         -0.10   12.77   library setup time
-                                 12.77   data required time
------------------------------------------------------------------------------
-                                 12.77   data required time
-                                -12.05   data arrival time
------------------------------------------------------------------------------
-                                  0.72   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5495_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_wb_host/_5801_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.49    0.49 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01    0.50 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.16    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.13    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.15    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.13    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.76 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00    1.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.29    2.45 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00    2.45 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.26    2.71 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00    2.71 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.22    2.93 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00    2.93 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.18    3.11 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    20    0.06                           mprj/u_wb_host/clknet_3_5__leaf_wbs_clk_i (net)
-                  0.08    0.00    3.11 ^ mprj/u_wb_host/_5495_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  1.59    1.54    4.66 ^ mprj/u_wb_host/_5495_/Q (sky130_fd_sc_hd__dfrtp_4)
-   150    0.58                           mprj/u_wb_host/u_async_wb.u_cmd_if.rd_ptr[1] (net)
-                  1.59    0.01    4.67 ^ mprj/u_wb_host/_2907_/A (sky130_fd_sc_hd__xnor2_1)
-                  0.23    0.24    4.90 v mprj/u_wb_host/_2907_/Y (sky130_fd_sc_hd__xnor2_1)
-     2    0.01                           mprj/u_wb_host/_1260_ (net)
-                  0.23    0.00    4.90 v mprj/u_wb_host/_2909_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.37    5.28 v mprj/u_wb_host/_2909_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_1262_ (net)
-                  0.05    0.00    5.28 v mprj/u_wb_host/_2910_/D (sky130_fd_sc_hd__or4_4)
-                  0.59    0.87    6.14 v mprj/u_wb_host/_2910_/X (sky130_fd_sc_hd__or4_4)
-   142    0.42                           mprj/u_wb_host/_1263_ (net)
-                  0.64    0.13    6.27 v mprj/u_wb_host/_2951_/A2 (sky130_fd_sc_hd__a31o_1)
-                  0.16    0.56    6.83 v mprj/u_wb_host/_2951_/X (sky130_fd_sc_hd__a31o_1)
-     2    0.03                           mprj/u_wb_host/net262 (net)
-                  0.16    0.00    6.83 v mprj/u_wb_host/output262/A (sky130_fd_sc_hd__buf_2)
-                  0.11    0.26    7.09 v mprj/u_wb_host/output262/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_int_adr_i[12] (net)
-                  0.11    0.00    7.10 v mprj/u_intercon/input7/A (sky130_fd_sc_hd__buf_12)
-                  0.04    0.18    7.27 v mprj/u_intercon/input7/X (sky130_fd_sc_hd__buf_12)
-     4    0.05                           mprj/u_intercon/net7 (net)
-                  0.04    0.00    7.28 v mprj/u_intercon/_1782_/A (sky130_fd_sc_hd__or4_4)
-                  0.11    0.59    7.87 v mprj/u_intercon/_1782_/X (sky130_fd_sc_hd__or4_4)
-     3    0.02                           mprj/u_intercon/_0982_ (net)
-                  0.11    0.00    7.87 v mprj/u_intercon/_1784_/A3 (sky130_fd_sc_hd__o31a_1)
-                  0.09    0.31    8.18 v mprj/u_intercon/_1784_/X (sky130_fd_sc_hd__o31a_1)
-     4    0.01                           mprj/u_intercon/_0984_ (net)
-                  0.09    0.00    8.18 v mprj/u_intercon/_2009_/A_N (sky130_fd_sc_hd__and2b_4)
-                  0.29    0.45    8.63 ^ mprj/u_intercon/_2009_/X (sky130_fd_sc_hd__and2b_4)
-     6    0.09                           mprj/u_intercon/_1131_ (net)
-                  0.29    0.01    8.65 ^ mprj/u_intercon/fanout772/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.23    0.37    9.01 ^ mprj/u_intercon/fanout772/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.08                           mprj/u_intercon/net772 (net)
-                  0.23    0.00    9.02 ^ mprj/u_intercon/_2010_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.06    0.17    9.19 ^ mprj/u_intercon/_2010_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_intercon/_1132_ (net)
-                  0.06    0.00    9.19 ^ mprj/u_intercon/_2011_/A0 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    9.33 ^ mprj/u_intercon/_2011_/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_intercon/_1133_ (net)
-                  0.04    0.00    9.33 ^ mprj/u_intercon/_2012_/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.28    0.33    9.66 ^ mprj/u_intercon/_2012_/X (sky130_fd_sc_hd__mux2_4)
-     2    0.10                           mprj/u_intercon/net322 (net)
-                  0.28    0.02    9.68 ^ mprj/u_intercon/output322/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19    9.87 ^ mprj/u_intercon/output322/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mprj/wbd_int_ack_o (net)
-                  0.07    0.00    9.87 ^ mprj/u_wb_host/input101/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.16   10.03 ^ mprj/u_wb_host/input101/X (sky130_fd_sc_hd__buf_12)
-    12    0.08                           mprj/u_wb_host/net101 (net)
-                  0.10    0.01   10.04 ^ mprj/u_wb_host/_2728_/A (sky130_fd_sc_hd__inv_8)
-                  0.04    0.06   10.10 v mprj/u_wb_host/_2728_/Y (sky130_fd_sc_hd__inv_8)
-     8    0.04                           mprj/u_wb_host/_1144_ (net)
-                  0.04    0.00   10.10 v mprj/u_wb_host/_3131_/C1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.26   10.36 v mprj/u_wb_host/_3131_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_wb_host/_1399_ (net)
-                  0.05    0.00   10.36 v mprj/u_wb_host/_3132_/A_N (sky130_fd_sc_hd__and4bb_4)
-                  0.17    0.40   10.76 ^ mprj/u_wb_host/_3132_/X (sky130_fd_sc_hd__and4bb_4)
-     5    0.04                           mprj/u_wb_host/_1400_ (net)
-                  0.17    0.00   10.76 ^ mprj/u_wb_host/_3133_/A (sky130_fd_sc_hd__clkinv_2)
-                  0.09    0.13   10.89 v mprj/u_wb_host/_3133_/Y (sky130_fd_sc_hd__clkinv_2)
-     2    0.02                           mprj/u_wb_host/_1401_ (net)
-                  0.09    0.00   10.89 v mprj/u_wb_host/_3135_/B (sky130_fd_sc_hd__nor2_8)
-                  0.97    0.74   11.63 ^ mprj/u_wb_host/_3135_/Y (sky130_fd_sc_hd__nor2_8)
-    72    0.28                           mprj/u_wb_host/_1403_ (net)
-                  0.97    0.02   11.66 ^ mprj/u_wb_host/_4189_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.39   12.05 v mprj/u_wb_host/_4189_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0331_ (net)
-                  0.05    0.00   12.05 v mprj/u_wb_host/_5801_/D (sky130_fd_sc_hd__dfxtp_1)
-                                 12.05   data arrival time
-
-                         10.00   10.00   clock wbs_clk_i (rise edge)
-                          0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.45   10.46 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01   10.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.15   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.14   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.12   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.63 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00   11.63 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.27   12.27 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00   12.27 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.24   12.51 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00   12.51 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.20   12.72 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00   12.72 ^ mprj/u_wb_host/clkbuf_3_7__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.18   12.90 ^ mprj/u_wb_host/clkbuf_3_7__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    30    0.09                           mprj/u_wb_host/clknet_3_7__leaf_wbs_clk_i (net)
-                  0.10    0.01   12.91 ^ mprj/u_wb_host/_5801_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                         -0.25   12.66   clock uncertainty
-                          0.21   12.87   clock reconvergence pessimism
-                         -0.10   12.77   library setup time
-                                 12.77   data required time
------------------------------------------------------------------------------
-                                 12.77   data required time
-                                -12.05   data arrival time
------------------------------------------------------------------------------
-                                  0.72   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5495_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_wb_host/_5803_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.49    0.49 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01    0.50 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.16    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.13    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.15    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.13    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.76 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00    1.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.29    2.45 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00    2.45 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.26    2.71 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00    2.71 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.22    2.93 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00    2.93 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.18    3.11 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    20    0.06                           mprj/u_wb_host/clknet_3_5__leaf_wbs_clk_i (net)
-                  0.08    0.00    3.11 ^ mprj/u_wb_host/_5495_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  1.59    1.54    4.66 ^ mprj/u_wb_host/_5495_/Q (sky130_fd_sc_hd__dfrtp_4)
-   150    0.58                           mprj/u_wb_host/u_async_wb.u_cmd_if.rd_ptr[1] (net)
-                  1.59    0.01    4.67 ^ mprj/u_wb_host/_2907_/A (sky130_fd_sc_hd__xnor2_1)
-                  0.23    0.24    4.90 v mprj/u_wb_host/_2907_/Y (sky130_fd_sc_hd__xnor2_1)
-     2    0.01                           mprj/u_wb_host/_1260_ (net)
-                  0.23    0.00    4.90 v mprj/u_wb_host/_2909_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.37    5.28 v mprj/u_wb_host/_2909_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_1262_ (net)
-                  0.05    0.00    5.28 v mprj/u_wb_host/_2910_/D (sky130_fd_sc_hd__or4_4)
-                  0.59    0.87    6.14 v mprj/u_wb_host/_2910_/X (sky130_fd_sc_hd__or4_4)
-   142    0.42                           mprj/u_wb_host/_1263_ (net)
-                  0.64    0.13    6.27 v mprj/u_wb_host/_2951_/A2 (sky130_fd_sc_hd__a31o_1)
-                  0.16    0.56    6.83 v mprj/u_wb_host/_2951_/X (sky130_fd_sc_hd__a31o_1)
-     2    0.03                           mprj/u_wb_host/net262 (net)
-                  0.16    0.00    6.83 v mprj/u_wb_host/output262/A (sky130_fd_sc_hd__buf_2)
-                  0.11    0.26    7.09 v mprj/u_wb_host/output262/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_int_adr_i[12] (net)
-                  0.11    0.00    7.10 v mprj/u_intercon/input7/A (sky130_fd_sc_hd__buf_12)
-                  0.04    0.18    7.27 v mprj/u_intercon/input7/X (sky130_fd_sc_hd__buf_12)
-     4    0.05                           mprj/u_intercon/net7 (net)
-                  0.04    0.00    7.28 v mprj/u_intercon/_1782_/A (sky130_fd_sc_hd__or4_4)
-                  0.11    0.59    7.87 v mprj/u_intercon/_1782_/X (sky130_fd_sc_hd__or4_4)
-     3    0.02                           mprj/u_intercon/_0982_ (net)
-                  0.11    0.00    7.87 v mprj/u_intercon/_1784_/A3 (sky130_fd_sc_hd__o31a_1)
-                  0.09    0.31    8.18 v mprj/u_intercon/_1784_/X (sky130_fd_sc_hd__o31a_1)
-     4    0.01                           mprj/u_intercon/_0984_ (net)
-                  0.09    0.00    8.18 v mprj/u_intercon/_2009_/A_N (sky130_fd_sc_hd__and2b_4)
-                  0.29    0.45    8.63 ^ mprj/u_intercon/_2009_/X (sky130_fd_sc_hd__and2b_4)
-     6    0.09                           mprj/u_intercon/_1131_ (net)
-                  0.29    0.01    8.65 ^ mprj/u_intercon/fanout772/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.23    0.37    9.01 ^ mprj/u_intercon/fanout772/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.08                           mprj/u_intercon/net772 (net)
-                  0.23    0.00    9.02 ^ mprj/u_intercon/_2010_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.06    0.17    9.19 ^ mprj/u_intercon/_2010_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_intercon/_1132_ (net)
-                  0.06    0.00    9.19 ^ mprj/u_intercon/_2011_/A0 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    9.33 ^ mprj/u_intercon/_2011_/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_intercon/_1133_ (net)
-                  0.04    0.00    9.33 ^ mprj/u_intercon/_2012_/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.28    0.33    9.66 ^ mprj/u_intercon/_2012_/X (sky130_fd_sc_hd__mux2_4)
-     2    0.10                           mprj/u_intercon/net322 (net)
-                  0.28    0.02    9.68 ^ mprj/u_intercon/output322/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19    9.87 ^ mprj/u_intercon/output322/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mprj/wbd_int_ack_o (net)
-                  0.07    0.00    9.87 ^ mprj/u_wb_host/input101/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.16   10.03 ^ mprj/u_wb_host/input101/X (sky130_fd_sc_hd__buf_12)
-    12    0.08                           mprj/u_wb_host/net101 (net)
-                  0.10    0.01   10.04 ^ mprj/u_wb_host/_2728_/A (sky130_fd_sc_hd__inv_8)
-                  0.04    0.06   10.10 v mprj/u_wb_host/_2728_/Y (sky130_fd_sc_hd__inv_8)
-     8    0.04                           mprj/u_wb_host/_1144_ (net)
-                  0.04    0.00   10.10 v mprj/u_wb_host/_3131_/C1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.26   10.36 v mprj/u_wb_host/_3131_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_wb_host/_1399_ (net)
-                  0.05    0.00   10.36 v mprj/u_wb_host/_3132_/A_N (sky130_fd_sc_hd__and4bb_4)
-                  0.17    0.40   10.76 ^ mprj/u_wb_host/_3132_/X (sky130_fd_sc_hd__and4bb_4)
-     5    0.04                           mprj/u_wb_host/_1400_ (net)
-                  0.17    0.00   10.76 ^ mprj/u_wb_host/_3133_/A (sky130_fd_sc_hd__clkinv_2)
-                  0.09    0.13   10.89 v mprj/u_wb_host/_3133_/Y (sky130_fd_sc_hd__clkinv_2)
-     2    0.02                           mprj/u_wb_host/_1401_ (net)
-                  0.09    0.00   10.89 v mprj/u_wb_host/_3135_/B (sky130_fd_sc_hd__nor2_8)
-                  0.97    0.74   11.63 ^ mprj/u_wb_host/_3135_/Y (sky130_fd_sc_hd__nor2_8)
-    72    0.28                           mprj/u_wb_host/_1403_ (net)
-                  0.97    0.02   11.66 ^ mprj/u_wb_host/_4191_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.39   12.05 v mprj/u_wb_host/_4191_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0333_ (net)
-                  0.05    0.00   12.05 v mprj/u_wb_host/_5803_/D (sky130_fd_sc_hd__dfxtp_1)
-                                 12.05   data arrival time
-
-                         10.00   10.00   clock wbs_clk_i (rise edge)
-                          0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.45   10.46 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01   10.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.15   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.14   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.12   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.63 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00   11.63 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.27   12.27 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00   12.27 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.24   12.51 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00   12.51 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.20   12.72 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00   12.72 ^ mprj/u_wb_host/clkbuf_3_7__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.18   12.90 ^ mprj/u_wb_host/clkbuf_3_7__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    30    0.09                           mprj/u_wb_host/clknet_3_7__leaf_wbs_clk_i (net)
-                  0.10    0.01   12.91 ^ mprj/u_wb_host/_5803_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                         -0.25   12.66   clock uncertainty
-                          0.21   12.87   clock reconvergence pessimism
-                         -0.10   12.77   library setup time
-                                 12.77   data required time
------------------------------------------------------------------------------
-                                 12.77   data required time
-                                -12.05   data arrival time
------------------------------------------------------------------------------
-                                  0.72   slack (MET)
-
-
-Startpoint: mprj/u_sram2_2kb
-            (falling edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist0/_4151_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          5.00    5.00   clock wbs_clk_i (fall edge)
-                          0.00    5.00   clock source latency
-                  0.32    0.00    5.00 v mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.32    0.00    5.00 v mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.13    0.35    5.35 v mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     2    0.03                           mprj/u_intercon/net2 (net)
-                  0.13    0.00    5.36 v mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.03    0.13    5.49 v mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net319 (net)
-                  0.03    0.00    5.49 v mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
-                  0.08    0.17    5.66 v mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
-                  0.08    0.00    5.66 v mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.14    5.80 v mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net198 (net)
-                  0.06    0.00    5.80 v mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.04    0.11    5.91 v mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
-                  0.04    0.00    5.91 v mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.04    0.10    6.01 v mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
-                  0.04    0.00    6.01 v mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.12    6.12 v mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
-                  0.06    0.00    6.12 v mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.04    0.11    6.23 v mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
-                  0.04    0.00    6.23 v mprj/u_mbist0/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.04    0.10    6.33 v mprj/u_mbist0/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d5 (net)
-                  0.04    0.00    6.33 v mprj/u_mbist0/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.04    0.10    6.43 v mprj/u_mbist0/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d6 (net)
-                  0.04    0.00    6.43 v mprj/u_mbist0/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.04    0.10    6.53 v mprj/u_mbist0/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d7 (net)
-                  0.04    0.00    6.53 v mprj/u_mbist0/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.29    6.82 v mprj/u_mbist0/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d03 (net)
-                  0.05    0.00    6.82 v mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.30    7.11 v mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
-                  0.05    0.00    7.11 v mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.32    7.44 v mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
-                  0.07    0.00    7.44 v mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.31    7.74 v mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/net427 (net)
-                  0.06    0.00    7.74 v mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
-                  0.51    0.50    8.24 v mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist0_skew (net)
-                  0.52    0.04    8.28 v mprj/u_mbist0/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.35    8.63 v mprj/u_mbist0/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_mbist0/clknet_0_wb_clk2_i (net)
-                  0.04    0.00    8.63 v mprj/u_mbist0/clkbuf_1_0__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.19    8.82 v mprj/u_mbist0/clkbuf_1_0__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.09                           mprj/u_mbist0/clknet_1_0__leaf_wb_clk2_i (net)
-                  0.08    0.01    8.83 v mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
-                  0.09    0.38    9.21 v mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-     2    0.05                           mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
-                  0.09    0.00    9.21 v mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.22    9.43 v mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    16    0.11                           mprj/u_mbist0/clknet_0_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
-                  0.08    0.01    9.44 v mprj/u_mbist0/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.18    9.62 v mprj/u_mbist0/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    10    0.03                           mprj/u_mbist0/clknet_3_7__leaf_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
-                  0.04    0.00    9.62 v mprj/u_mbist0/_4688_/A (sky130_fd_sc_hd__buf_2)
-                  0.03    0.13    9.74 v mprj/u_mbist0/_4688_/X (sky130_fd_sc_hd__buf_2)
-     1    0.01                           mprj/u_mbist0/net241 (net)
-                  0.03    0.00    9.74 v mprj/u_mbist0/output241/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.36    0.34   10.08 v mprj/u_mbist0/output241/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.06                           mprj/mem_clk_a[2] (net)
-                  0.48    0.01   10.09 v mprj/u_sram2_2kb/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8)
-                  0.02    0.64   10.73 ^ mprj/u_sram2_2kb/dout0[0] (sky130_sram_2kbyte_1rw1r_32x512_8)
-     2    0.04                           mprj/mem2_dout_a[0] (net)
-                  0.02    0.01   10.74 ^ mprj/u_mbist0/input69/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.20    0.19   10.93 ^ mprj/u_mbist0/input69/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.02                           mprj/u_mbist0/net69 (net)
-                  0.20    0.00   10.93 ^ mprj/u_mbist0/wire2006/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.24    0.30   11.23 ^ mprj/u_mbist0/wire2006/X (sky130_fd_sc_hd__clkbuf_8)
-     6    0.13                           mprj/u_mbist0/net2006 (net)
-                  0.25    0.05   11.28 ^ mprj/u_mbist0/_2833_/A1_N (sky130_fd_sc_hd__a2bb2o_1)
-                  0.05    0.32   11.60 v mprj/u_mbist0/_2833_/X (sky130_fd_sc_hd__a2bb2o_1)
-     1    0.00                           mprj/u_mbist0/_1329_ (net)
-                  0.05    0.00   11.60 v mprj/u_mbist0/_2834_/C1 (sky130_fd_sc_hd__a211oi_1)
-                  0.20    0.19   11.78 ^ mprj/u_mbist0/_2834_/Y (sky130_fd_sc_hd__a211oi_1)
-     1    0.00                           mprj/u_mbist0/_1330_ (net)
-                  0.20    0.00   11.78 ^ mprj/u_mbist0/_2835_/D1 (sky130_fd_sc_hd__o2111a_1)
-                  0.07    0.22   12.01 ^ mprj/u_mbist0/_2835_/X (sky130_fd_sc_hd__o2111a_1)
-     1    0.00                           mprj/u_mbist0/_1331_ (net)
-                  0.07    0.00   12.01 ^ mprj/u_mbist0/_2836_/D_N (sky130_fd_sc_hd__or4b_1)
-                  0.11    0.48   12.49 v mprj/u_mbist0/_2836_/X (sky130_fd_sc_hd__or4b_1)
-     1    0.01                           mprj/u_mbist0/_1332_ (net)
-                  0.11    0.00   12.49 v mprj/u_mbist0/_2850_/A (sky130_fd_sc_hd__or4_1)
-                  0.11    0.59   13.08 v mprj/u_mbist0/_2850_/X (sky130_fd_sc_hd__or4_1)
-     1    0.01                           mprj/u_mbist0/_1346_ (net)
-                  0.11    0.00   13.08 v mprj/u_mbist0/_2851_/A2 (sky130_fd_sc_hd__o21ba_1)
-                  0.05    0.21   13.29 v mprj/u_mbist0/_2851_/X (sky130_fd_sc_hd__o21ba_1)
-     1    0.01                           mprj/u_mbist0/_0011_ (net)
-                  0.05    0.00   13.29 v mprj/u_mbist0/_4151_/D (sky130_fd_sc_hd__dfrtp_4)
-                                 13.29   data arrival time
-
-                         10.00   10.00   clock wbs_clk_i (rise edge)
-                          0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.27    0.33   10.33 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     2    0.03                           mprj/u_intercon/net2 (net)
-                  0.27    0.00   10.34 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.12   10.46 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net319 (net)
-                  0.06    0.00   10.46 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
-                  0.15    0.18   10.64 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
-                  0.15    0.00   10.64 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.15   10.79 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/net198 (net)
-                  0.12    0.00   10.79 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12   10.91 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00   10.91 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.01 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00   11.02 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.13   11.15 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00   11.15 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.26 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00   11.26 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.37 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00   11.37 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00   11.48 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.58 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00   11.58 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12   11.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d03 (net)
-                  0.04    0.00   11.70 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11   11.81 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
-                  0.04    0.00   11.81 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   11.94 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
-                  0.07    0.00   11.94 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   12.07 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist0/net427 (net)
-                  0.05    0.00   12.07 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.80   12.87 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist0_skew (net)
-                  1.11    0.05   12.91 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31   13.23 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
-                  0.08    0.00   13.23 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   13.36 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00   13.36 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.12   13.49 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00   13.49 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.11   13.60 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00   13.60 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.38   13.98 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
-                  0.44    0.00   13.98 ^ mprj/u_mbist0/clkbuf_leaf_9_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.14    0.30   14.28 ^ mprj/u_mbist0/clkbuf_leaf_9_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.12                           mprj/u_mbist0/clknet_leaf_9_wb_clk_i (net)
-                  0.14    0.00   14.28 ^ mprj/u_mbist0/_4151_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                         -0.25   14.03   clock uncertainty
-                          0.22   14.25   clock reconvergence pessimism
-                         -0.09   14.16   library setup time
-                                 14.16   data required time
------------------------------------------------------------------------------
-                                 14.16   data required time
-                                -13.29   data arrival time
------------------------------------------------------------------------------
-                                  0.87   slack (MET)
-
-
-Startpoint: mprj/u_sram5_2kb
-            (falling edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist1/_4232_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          5.00    5.00   clock wbs_clk_i (fall edge)
-                          0.00    5.00   clock source latency
-                  0.32    0.00    5.00 v mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.32    0.00    5.00 v mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.29    5.30 v mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.07    0.00    5.30 v mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.09    0.19    5.49 v mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
-     2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.09    0.01    5.50 v mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.18    5.68 v mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.08    0.02    5.70 v mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.04    0.12    5.82 v mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net320 (net)
-                  0.04    0.00    5.82 v mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.06    0.16    5.97 v mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
-     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.06    0.00    5.97 v mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.13    6.10 v mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.06    0.00    6.10 v mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.04    0.11    6.21 v mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.04    0.00    6.21 v mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.04    0.10    6.31 v mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.04    0.00    6.31 v mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.12    6.42 v mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.06    0.00    6.42 v mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.04    0.11    6.53 v mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.04    0.00    6.53 v mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.04    0.10    6.63 v mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.04    0.00    6.63 v mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.04    0.10    6.73 v mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.04    0.00    6.73 v mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.04    0.10    6.83 v mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.04    0.00    6.83 v mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.29    7.12 v mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.05    0.00    7.12 v mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.30    7.42 v mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.05    0.00    7.42 v mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.32    7.74 v mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00    7.74 v mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.31    8.05 v mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.06    0.00    8.05 v mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  0.51    0.50    8.54 v mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  0.52    0.04    8.58 v mprj/u_mbist1/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.35    8.94 v mprj/u_mbist1/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.02                           mprj/u_mbist1/clknet_0_wb_clk2_i (net)
-                  0.04    0.00    8.94 v mprj/u_mbist1/clkbuf_1_1__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.09    0.21    9.14 v mprj/u_mbist1/clkbuf_1_1__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.12                           mprj/u_mbist1/clknet_1_1__leaf_wb_clk2_i (net)
-                  0.10    0.02    9.16 v mprj/u_mbist1/u_mbist.mem_no[1].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
-                  0.11    0.41    9.57 v mprj/u_mbist1/u_mbist.mem_no[1].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-     2    0.08                           mprj/u_mbist1/u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
-                  0.11    0.00    9.57 v mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[1].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.21    9.78 v mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[1].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.07                           mprj/u_mbist1/clknet_0_u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
-                  0.06    0.00    9.79 v mprj/u_mbist1/clkbuf_3_5__f_u_mbist.mem_no[1].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.19    9.97 v mprj/u_mbist1/clkbuf_3_5__f_u_mbist.mem_no[1].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
-    14    0.07                           mprj/u_mbist1/clknet_3_5__leaf_u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
-                  0.07    0.01    9.98 v mprj/u_mbist1/_4687_/A (sky130_fd_sc_hd__buf_2)
-                  0.04    0.15   10.14 v mprj/u_mbist1/_4687_/X (sky130_fd_sc_hd__buf_2)
-     1    0.01                           mprj/u_mbist1/net240 (net)
-                  0.04    0.00   10.14 v mprj/u_mbist1/output240/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.26    0.27   10.41 v mprj/u_mbist1/output240/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.04                           mprj/mem_clk_a[5] (net)
-                  0.34    0.01   10.41 v mprj/u_sram5_2kb/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8)
-                  0.01    0.54   10.95 ^ mprj/u_sram5_2kb/dout0[20] (sky130_sram_2kbyte_1rw1r_32x512_8)
-     2    0.03                           mprj/mem5_dout_a[20] (net)
-                  0.01    0.00   10.95 ^ mprj/u_mbist1/input49/A (sky130_fd_sc_hd__dlymetal6s2s_1)
-                  0.40    0.34   11.29 ^ mprj/u_mbist1/input49/X (sky130_fd_sc_hd__dlymetal6s2s_1)
-     6    0.04                           mprj/u_mbist1/net49 (net)
-                  0.40    0.00   11.29 ^ mprj/u_mbist1/_2872_/A1_N (sky130_fd_sc_hd__a2bb2o_1)
-                  0.05    0.34   11.63 v mprj/u_mbist1/_2872_/X (sky130_fd_sc_hd__a2bb2o_1)
-     1    0.00                           mprj/u_mbist1/_1367_ (net)
-                  0.05    0.00   11.63 v mprj/u_mbist1/_2873_/C1 (sky130_fd_sc_hd__a221o_1)
-                  0.06    0.27   11.90 v mprj/u_mbist1/_2873_/X (sky130_fd_sc_hd__a221o_1)
-     1    0.00                           mprj/u_mbist1/_1368_ (net)
-                  0.06    0.00   11.90 v mprj/u_mbist1/_2880_/A (sky130_fd_sc_hd__or4_1)
-                  0.14    0.63   12.53 v mprj/u_mbist1/_2880_/X (sky130_fd_sc_hd__or4_1)
-     1    0.01                           mprj/u_mbist1/_1375_ (net)
-                  0.14    0.00   12.53 v mprj/u_mbist1/_2881_/B (sky130_fd_sc_hd__or4b_2)
-                  0.17    0.80   13.33 v mprj/u_mbist1/_2881_/X (sky130_fd_sc_hd__or4b_2)
-     2    0.02                           mprj/u_mbist1/_1376_ (net)
-                  0.17    0.00   13.33 v mprj/u_mbist1/_2894_/A1 (sky130_fd_sc_hd__o21ba_1)
-                  0.10    0.30   13.63 v mprj/u_mbist1/_2894_/X (sky130_fd_sc_hd__o21ba_1)
-     1    0.02                           mprj/u_mbist1/_0010_ (net)
-                  0.10    0.00   13.63 v mprj/u_mbist1/_4232_/D (sky130_fd_sc_hd__dfrtp_2)
-                                 13.63   data arrival time
-
-                         10.00   10.00   clock wbs_clk_i (rise edge)
-                          0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.27   10.27 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00   10.27 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.22   10.49 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
-     2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01   10.50 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.20   10.70 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02   10.72 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12   10.84 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00   10.84 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.15   10.99 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
-     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00   10.99 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14   11.14 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00   11.14 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12   11.25 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00   11.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.36 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00   11.36 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.13   11.49 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00   11.49 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.60 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00   11.60 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.71 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00   11.71 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.82 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00   11.82 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.92 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00   11.92 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12   12.04 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00   12.04 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11   12.15 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00   12.15 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   12.28 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00   12.28 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   12.41 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00   12.41 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.80   13.21 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05   13.26 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31   13.57 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00   13.57 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   13.70 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00   13.71 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.12   13.83 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00   13.83 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.11   13.94 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00   13.94 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.38   14.32 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
-                  0.44    0.01   14.33 ^ mprj/u_mbist1/clkbuf_leaf_19_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.14    0.30   14.63 ^ mprj/u_mbist1/clkbuf_leaf_19_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    30    0.12                           mprj/u_mbist1/clknet_leaf_19_wb_clk_i (net)
-                  0.14    0.00   14.63 ^ mprj/u_mbist1/_4232_/CLK (sky130_fd_sc_hd__dfrtp_2)
-                         -0.25   14.38   clock uncertainty
-                          0.25   14.63   clock reconvergence pessimism
-                         -0.12   14.51   library setup time
-                                 14.51   data required time
------------------------------------------------------------------------------
-                                 14.51   data required time
-                                -13.63   data arrival time
------------------------------------------------------------------------------
-                                  0.88   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5495_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_wb_host/_6551_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.49    0.49 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01    0.50 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.16    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.13    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.15    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.13    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.76 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00    1.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.29    2.45 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00    2.45 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.26    2.71 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00    2.71 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.22    2.93 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00    2.93 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.18    3.11 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    20    0.06                           mprj/u_wb_host/clknet_3_5__leaf_wbs_clk_i (net)
-                  0.08    0.00    3.11 ^ mprj/u_wb_host/_5495_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  1.59    1.54    4.66 ^ mprj/u_wb_host/_5495_/Q (sky130_fd_sc_hd__dfrtp_4)
-   150    0.58                           mprj/u_wb_host/u_async_wb.u_cmd_if.rd_ptr[1] (net)
-                  1.59    0.01    4.67 ^ mprj/u_wb_host/_2907_/A (sky130_fd_sc_hd__xnor2_1)
-                  0.23    0.24    4.90 v mprj/u_wb_host/_2907_/Y (sky130_fd_sc_hd__xnor2_1)
-     2    0.01                           mprj/u_wb_host/_1260_ (net)
-                  0.23    0.00    4.90 v mprj/u_wb_host/_2909_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.37    5.28 v mprj/u_wb_host/_2909_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_1262_ (net)
-                  0.05    0.00    5.28 v mprj/u_wb_host/_2910_/D (sky130_fd_sc_hd__or4_4)
-                  0.59    0.87    6.14 v mprj/u_wb_host/_2910_/X (sky130_fd_sc_hd__or4_4)
-   142    0.42                           mprj/u_wb_host/_1263_ (net)
-                  0.64    0.13    6.27 v mprj/u_wb_host/_2951_/A2 (sky130_fd_sc_hd__a31o_1)
-                  0.16    0.56    6.83 v mprj/u_wb_host/_2951_/X (sky130_fd_sc_hd__a31o_1)
-     2    0.03                           mprj/u_wb_host/net262 (net)
-                  0.16    0.00    6.83 v mprj/u_wb_host/output262/A (sky130_fd_sc_hd__buf_2)
-                  0.11    0.26    7.09 v mprj/u_wb_host/output262/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_int_adr_i[12] (net)
-                  0.11    0.00    7.10 v mprj/u_intercon/input7/A (sky130_fd_sc_hd__buf_12)
-                  0.04    0.18    7.27 v mprj/u_intercon/input7/X (sky130_fd_sc_hd__buf_12)
-     4    0.05                           mprj/u_intercon/net7 (net)
-                  0.04    0.00    7.28 v mprj/u_intercon/_1782_/A (sky130_fd_sc_hd__or4_4)
-                  0.11    0.59    7.87 v mprj/u_intercon/_1782_/X (sky130_fd_sc_hd__or4_4)
-     3    0.02                           mprj/u_intercon/_0982_ (net)
-                  0.11    0.00    7.87 v mprj/u_intercon/_1784_/A3 (sky130_fd_sc_hd__o31a_1)
-                  0.09    0.31    8.18 v mprj/u_intercon/_1784_/X (sky130_fd_sc_hd__o31a_1)
-     4    0.01                           mprj/u_intercon/_0984_ (net)
-                  0.09    0.00    8.18 v mprj/u_intercon/_2009_/A_N (sky130_fd_sc_hd__and2b_4)
-                  0.29    0.45    8.63 ^ mprj/u_intercon/_2009_/X (sky130_fd_sc_hd__and2b_4)
-     6    0.09                           mprj/u_intercon/_1131_ (net)
-                  0.29    0.01    8.65 ^ mprj/u_intercon/fanout772/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.23    0.37    9.01 ^ mprj/u_intercon/fanout772/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.08                           mprj/u_intercon/net772 (net)
-                  0.23    0.00    9.02 ^ mprj/u_intercon/_2010_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.06    0.17    9.19 ^ mprj/u_intercon/_2010_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_intercon/_1132_ (net)
-                  0.06    0.00    9.19 ^ mprj/u_intercon/_2011_/A0 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    9.33 ^ mprj/u_intercon/_2011_/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_intercon/_1133_ (net)
-                  0.04    0.00    9.33 ^ mprj/u_intercon/_2012_/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.28    0.33    9.66 ^ mprj/u_intercon/_2012_/X (sky130_fd_sc_hd__mux2_4)
-     2    0.10                           mprj/u_intercon/net322 (net)
-                  0.28    0.02    9.68 ^ mprj/u_intercon/output322/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19    9.87 ^ mprj/u_intercon/output322/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mprj/wbd_int_ack_o (net)
-                  0.07    0.00    9.87 ^ mprj/u_wb_host/input101/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.16   10.03 ^ mprj/u_wb_host/input101/X (sky130_fd_sc_hd__buf_12)
-    12    0.08                           mprj/u_wb_host/net101 (net)
-                  0.10    0.01   10.04 ^ mprj/u_wb_host/_2728_/A (sky130_fd_sc_hd__inv_8)
-                  0.04    0.06   10.10 v mprj/u_wb_host/_2728_/Y (sky130_fd_sc_hd__inv_8)
-     8    0.04                           mprj/u_wb_host/_1144_ (net)
-                  0.04    0.00   10.10 v mprj/u_wb_host/_3131_/C1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.26   10.36 v mprj/u_wb_host/_3131_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_wb_host/_1399_ (net)
-                  0.05    0.00   10.36 v mprj/u_wb_host/_3132_/A_N (sky130_fd_sc_hd__and4bb_4)
-                  0.17    0.40   10.76 ^ mprj/u_wb_host/_3132_/X (sky130_fd_sc_hd__and4bb_4)
-     5    0.04                           mprj/u_wb_host/_1400_ (net)
-                  0.17    0.00   10.76 ^ mprj/u_wb_host/_3133_/A (sky130_fd_sc_hd__clkinv_2)
-                  0.09    0.13   10.89 v mprj/u_wb_host/_3133_/Y (sky130_fd_sc_hd__clkinv_2)
-     2    0.02                           mprj/u_wb_host/_1401_ (net)
-                  0.09    0.00   10.89 v mprj/u_wb_host/_3135_/B (sky130_fd_sc_hd__nor2_8)
-                  0.97    0.74   11.63 ^ mprj/u_wb_host/_3135_/Y (sky130_fd_sc_hd__nor2_8)
-    72    0.28                           mprj/u_wb_host/_1403_ (net)
-                  0.97    0.00   11.64 ^ mprj/u_wb_host/_5409_/B1 (sky130_fd_sc_hd__a221o_1)
-                  0.06    0.28   11.91 ^ mprj/u_wb_host/_5409_/X (sky130_fd_sc_hd__a221o_1)
-     1    0.00                           mprj/u_wb_host/_1034_ (net)
-                  0.06    0.00   11.91 ^ mprj/u_wb_host/_6551_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 11.91   data arrival time
-
-                         10.00   10.00   clock wbs_clk_i (rise edge)
-                          0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.45   10.46 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01   10.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.15   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.14   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.12   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.63 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00   11.63 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.27   12.27 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00   12.27 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.24   12.51 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00   12.51 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.20   12.72 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00   12.72 ^ mprj/u_wb_host/clkbuf_3_7__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.18   12.90 ^ mprj/u_wb_host/clkbuf_3_7__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    30    0.09                           mprj/u_wb_host/clknet_3_7__leaf_wbs_clk_i (net)
-                  0.10    0.01   12.91 ^ mprj/u_wb_host/_6551_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   12.66   clock uncertainty
-                          0.21   12.87   clock reconvergence pessimism
-                         -0.05   12.82   library setup time
-                                 12.82   data required time
------------------------------------------------------------------------------
-                                 12.82   data required time
-                                -11.91   data arrival time
------------------------------------------------------------------------------
-                                  0.91   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_5495_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_wb_host/_5478_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: max
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.49    0.49 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01    0.50 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.16    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.13    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.15    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.13    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.76 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00    1.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.29    2.45 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00    2.45 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.26    2.71 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00    2.71 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.22    2.93 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00    2.93 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.18    3.11 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    20    0.06                           mprj/u_wb_host/clknet_3_5__leaf_wbs_clk_i (net)
-                  0.08    0.00    3.11 ^ mprj/u_wb_host/_5495_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  1.59    1.54    4.66 ^ mprj/u_wb_host/_5495_/Q (sky130_fd_sc_hd__dfrtp_4)
-   150    0.58                           mprj/u_wb_host/u_async_wb.u_cmd_if.rd_ptr[1] (net)
-                  1.59    0.01    4.67 ^ mprj/u_wb_host/_2907_/A (sky130_fd_sc_hd__xnor2_1)
-                  0.23    0.24    4.90 v mprj/u_wb_host/_2907_/Y (sky130_fd_sc_hd__xnor2_1)
-     2    0.01                           mprj/u_wb_host/_1260_ (net)
-                  0.23    0.00    4.90 v mprj/u_wb_host/_2909_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.37    5.28 v mprj/u_wb_host/_2909_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_1262_ (net)
-                  0.05    0.00    5.28 v mprj/u_wb_host/_2910_/D (sky130_fd_sc_hd__or4_4)
-                  0.59    0.87    6.14 v mprj/u_wb_host/_2910_/X (sky130_fd_sc_hd__or4_4)
-   142    0.42                           mprj/u_wb_host/_1263_ (net)
-                  0.64    0.13    6.27 v mprj/u_wb_host/_2951_/A2 (sky130_fd_sc_hd__a31o_1)
-                  0.16    0.56    6.83 v mprj/u_wb_host/_2951_/X (sky130_fd_sc_hd__a31o_1)
-     2    0.03                           mprj/u_wb_host/net262 (net)
-                  0.16    0.00    6.83 v mprj/u_wb_host/output262/A (sky130_fd_sc_hd__buf_2)
-                  0.11    0.26    7.09 v mprj/u_wb_host/output262/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_int_adr_i[12] (net)
-                  0.11    0.00    7.10 v mprj/u_intercon/input7/A (sky130_fd_sc_hd__buf_12)
-                  0.04    0.18    7.27 v mprj/u_intercon/input7/X (sky130_fd_sc_hd__buf_12)
-     4    0.05                           mprj/u_intercon/net7 (net)
-                  0.04    0.00    7.28 v mprj/u_intercon/_1782_/A (sky130_fd_sc_hd__or4_4)
-                  0.11    0.59    7.87 v mprj/u_intercon/_1782_/X (sky130_fd_sc_hd__or4_4)
-     3    0.02                           mprj/u_intercon/_0982_ (net)
-                  0.11    0.00    7.87 v mprj/u_intercon/_1784_/A3 (sky130_fd_sc_hd__o31a_1)
-                  0.09    0.31    8.18 v mprj/u_intercon/_1784_/X (sky130_fd_sc_hd__o31a_1)
-     4    0.01                           mprj/u_intercon/_0984_ (net)
-                  0.09    0.00    8.18 v mprj/u_intercon/_2009_/A_N (sky130_fd_sc_hd__and2b_4)
-                  0.29    0.45    8.63 ^ mprj/u_intercon/_2009_/X (sky130_fd_sc_hd__and2b_4)
-     6    0.09                           mprj/u_intercon/_1131_ (net)
-                  0.29    0.01    8.65 ^ mprj/u_intercon/fanout772/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.23    0.37    9.01 ^ mprj/u_intercon/fanout772/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.08                           mprj/u_intercon/net772 (net)
-                  0.23    0.00    9.02 ^ mprj/u_intercon/_2010_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.06    0.17    9.19 ^ mprj/u_intercon/_2010_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_intercon/_1132_ (net)
-                  0.06    0.00    9.19 ^ mprj/u_intercon/_2011_/A0 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    9.33 ^ mprj/u_intercon/_2011_/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_intercon/_1133_ (net)
-                  0.04    0.00    9.33 ^ mprj/u_intercon/_2012_/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.28    0.33    9.66 ^ mprj/u_intercon/_2012_/X (sky130_fd_sc_hd__mux2_4)
-     2    0.10                           mprj/u_intercon/net322 (net)
-                  0.28    0.02    9.68 ^ mprj/u_intercon/output322/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19    9.87 ^ mprj/u_intercon/output322/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mprj/wbd_int_ack_o (net)
-                  0.07    0.00    9.87 ^ mprj/u_wb_host/input101/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.16   10.03 ^ mprj/u_wb_host/input101/X (sky130_fd_sc_hd__buf_12)
-    12    0.08                           mprj/u_wb_host/net101 (net)
-                  0.10    0.01   10.04 ^ mprj/u_wb_host/_2728_/A (sky130_fd_sc_hd__inv_8)
-                  0.04    0.06   10.10 v mprj/u_wb_host/_2728_/Y (sky130_fd_sc_hd__inv_8)
-     8    0.04                           mprj/u_wb_host/_1144_ (net)
-                  0.04    0.00   10.10 v mprj/u_wb_host/_3131_/C1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.26   10.36 v mprj/u_wb_host/_3131_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_wb_host/_1399_ (net)
-                  0.05    0.00   10.36 v mprj/u_wb_host/_3132_/A_N (sky130_fd_sc_hd__and4bb_4)
-                  0.17    0.40   10.76 ^ mprj/u_wb_host/_3132_/X (sky130_fd_sc_hd__and4bb_4)
-     5    0.04                           mprj/u_wb_host/_1400_ (net)
-                  0.17    0.00   10.76 ^ mprj/u_wb_host/_3133_/A (sky130_fd_sc_hd__clkinv_2)
-                  0.09    0.13   10.89 v mprj/u_wb_host/_3133_/Y (sky130_fd_sc_hd__clkinv_2)
-     2    0.02                           mprj/u_wb_host/_1401_ (net)
-                  0.09    0.00   10.89 v mprj/u_wb_host/_3135_/B (sky130_fd_sc_hd__nor2_8)
-                  0.97    0.74   11.63 ^ mprj/u_wb_host/_3135_/Y (sky130_fd_sc_hd__nor2_8)
-    72    0.28                           mprj/u_wb_host/_1403_ (net)
-                  0.97    0.01   11.64 ^ mprj/u_wb_host/_3140_/A2 (sky130_fd_sc_hd__o22a_1)
-                  0.06    0.24   11.88 ^ mprj/u_wb_host/_3140_/X (sky130_fd_sc_hd__o22a_1)
-     1    0.00                           mprj/u_wb_host/_0031_ (net)
-                  0.06    0.00   11.88 ^ mprj/u_wb_host/_5478_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 11.88   data arrival time
-
-                         10.00   10.00   clock wbs_clk_i (rise edge)
-                          0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.45   10.46 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01   10.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.15   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.14   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.12   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.63 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00   11.63 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.27   12.27 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00   12.27 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.24   12.51 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00   12.51 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.20   12.72 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00   12.72 ^ mprj/u_wb_host/clkbuf_3_7__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.18   12.90 ^ mprj/u_wb_host/clkbuf_3_7__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    30    0.09                           mprj/u_wb_host/clknet_3_7__leaf_wbs_clk_i (net)
-                  0.10    0.01   12.91 ^ mprj/u_wb_host/_5478_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   12.66   clock uncertainty
-                          0.21   12.87   clock reconvergence pessimism
-                         -0.05   12.82   library setup time
-                                 12.82   data required time
------------------------------------------------------------------------------
-                                 12.82   data required time
-                                -11.88   data arrival time
------------------------------------------------------------------------------
-                                  0.93   slack (MET)
+                                 16.45   slack (MET)
 
 
 Startpoint: mprj/u_sram6_2kb
@@ -171855,73 +192800,73 @@
 -----------------------------------------------------------------------------
                           5.00    5.00   clock wbs_clk_i (fall edge)
                           0.00    5.00   clock source latency
-                  0.32    0.00    5.00 v mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.32    0.00    5.00 v mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.29    5.30 v mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.07    0.00    5.30 v mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.09    0.19    5.49 v mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+                  0.42    0.00    5.00 v mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.07                           mprj/wbd_clk_int (net)
+                  0.42    0.00    5.00 v mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.08    0.34    5.34 v mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.08    0.00    5.34 v mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.19    5.53 v mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
      2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.09    0.01    5.50 v mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.18    5.68 v mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+                  0.10    0.03    5.56 v mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.19    5.74 v mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
      2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.08    0.02    5.70 v mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.04    0.12    5.82 v mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.02    5.76 v mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.03    0.11    5.87 v mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.00                           mprj/u_intercon/net320 (net)
-                  0.04    0.00    5.82 v mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.06    0.16    5.97 v mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+                  0.03    0.00    5.87 v mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.06    0.16    6.03 v mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
      2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.06    0.00    5.97 v mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.13    6.10 v mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.00    6.03 v mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.13    6.16 v mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.06    0.00    6.10 v mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.04    0.11    6.21 v mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.00    6.16 v mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.11    6.27 v mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.04    0.00    6.21 v mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.04    0.10    6.31 v mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.00    6.27 v mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.10    6.37 v mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.04    0.00    6.31 v mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.12    6.42 v mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.06    0.00    6.42 v mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.04    0.11    6.53 v mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.04    0.00    6.53 v mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.04    0.10    6.63 v mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.04    0.00    6.63 v mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.04    0.10    6.73 v mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.04    0.00    6.73 v mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.04    0.10    6.83 v mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.04    0.00    6.83 v mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.29    7.12 v mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.05    0.00    7.12 v mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.30    7.42 v mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.05    0.00    7.42 v mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.32    7.74 v mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.00    6.37 v mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.29    6.65 v mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.06    0.00    6.65 v mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.29    6.95 v mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.05    0.00    6.95 v mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.31    7.26 v mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
      1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00    7.74 v mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.31    8.05 v mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00    7.26 v mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.31    7.56 v mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.06    0.00    8.05 v mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  0.51    0.50    8.54 v mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  0.52    0.04    8.58 v mprj/u_mbist1/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.35    8.94 v mprj/u_mbist1/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.00    7.56 v mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.21    7.77 v mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.04                           mprj/wbd_clk_mbist1_skew (net)
+                  0.11    0.00    7.78 v mprj/u_mbist1/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.21    7.99 v mprj/u_mbist1/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2103 (net)
+                  0.12    0.00    7.99 v mprj/u_mbist1/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.06    0.21    8.20 v mprj/u_mbist1/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2102 (net)
+                  0.06    0.00    8.20 v mprj/u_mbist1/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.16    8.36 v mprj/u_mbist1/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2101 (net)
+                  0.06    0.01    8.37 v mprj/u_mbist1/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.16    8.53 v mprj/u_mbist1/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
      2    0.02                           mprj/u_mbist1/clknet_0_wb_clk2_i (net)
-                  0.04    0.00    8.94 v mprj/u_mbist1/clkbuf_1_0__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.19    9.13 v mprj/u_mbist1/clkbuf_1_0__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.09                           mprj/u_mbist1/clknet_1_0__leaf_wb_clk2_i (net)
-                  0.08    0.01    9.13 v mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
-                  0.09    0.38    9.51 v mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-     2    0.05                           mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
-                  0.09    0.00    9.51 v mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.22    9.73 v mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00    8.53 v mprj/u_mbist1/clkbuf_1_0__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.13    8.66 v mprj/u_mbist1/clkbuf_1_0__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_mbist1/clknet_1_0__leaf_wb_clk2_i (net)
+                  0.03    0.00    8.66 v mprj/u_mbist1/wire14/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.25    8.91 v mprj/u_mbist1/wire14/X (sky130_fd_sc_hd__clkbuf_4)
+     8    0.09                           mprj/u_mbist1/net2107 (net)
+                  0.17    0.01    8.92 v mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
+                  0.05    0.35    9.27 v mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.00                           mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.05    0.00    9.27 v mprj/u_mbist1/wire13/A (sky130_fd_sc_hd__buf_2)
+                  0.13    0.22    9.50 v mprj/u_mbist1/wire13/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mbist1/net2106 (net)
+                  0.13    0.00    9.50 v mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.08    0.24    9.74 v mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
     16    0.11                           mprj/u_mbist1/clknet_0_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
                   0.08    0.01    9.74 v mprj/u_mbist1/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
                   0.04    0.18    9.92 v mprj/u_mbist1/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
@@ -171930,4391 +192875,9888 @@
                   0.03    0.13   10.05 v mprj/u_mbist1/_4688_/X (sky130_fd_sc_hd__buf_2)
      1    0.01                           mprj/u_mbist1/net241 (net)
                   0.03    0.00   10.05 v mprj/u_mbist1/output241/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.32    0.31   10.35 v mprj/u_mbist1/output241/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.36    0.34   10.39 v mprj/u_mbist1/output241/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.06                           mprj/mem_clk_a[6] (net)
-                  0.42    0.01   10.37 v mprj/u_sram6_2kb/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8)
-                  0.03    0.65   11.02 ^ mprj/u_sram6_2kb/dout0[0] (sky130_sram_2kbyte_1rw1r_32x512_8)
+                  0.48    0.01   10.40 v mprj/u_sram6_2kb/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8)
+                  0.03    0.67   11.07 ^ mprj/u_sram6_2kb/dout0[0] (sky130_sram_2kbyte_1rw1r_32x512_8)
      2    0.05                           mprj/mem6_dout_a[0] (net)
-                  0.02    0.01   11.03 ^ mprj/u_mbist1/input69/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.20    0.19   11.22 ^ mprj/u_mbist1/input69/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.02    0.01   11.08 ^ mprj/u_mbist1/input69/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.22    0.20   11.28 ^ mprj/u_mbist1/input69/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.02                           mprj/u_mbist1/net69 (net)
-                  0.20    0.00   11.22 ^ mprj/u_mbist1/wire2006/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.24    0.30   11.52 ^ mprj/u_mbist1/wire2006/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.22    0.00   11.28 ^ mprj/u_mbist1/wire2006/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.23    0.30   11.58 ^ mprj/u_mbist1/wire2006/X (sky130_fd_sc_hd__clkbuf_8)
      6    0.13                           mprj/u_mbist1/net2006 (net)
-                  0.25    0.05   11.56 ^ mprj/u_mbist1/_2833_/A1_N (sky130_fd_sc_hd__a2bb2o_1)
-                  0.05    0.32   11.88 v mprj/u_mbist1/_2833_/X (sky130_fd_sc_hd__a2bb2o_1)
+                  0.24    0.04   11.62 ^ mprj/u_mbist1/_2833_/A1_N (sky130_fd_sc_hd__a2bb2o_1)
+                  0.05    0.32   11.94 v mprj/u_mbist1/_2833_/X (sky130_fd_sc_hd__a2bb2o_1)
      1    0.00                           mprj/u_mbist1/_1329_ (net)
-                  0.05    0.00   11.88 v mprj/u_mbist1/_2834_/C1 (sky130_fd_sc_hd__a211oi_1)
-                  0.20    0.19   12.07 ^ mprj/u_mbist1/_2834_/Y (sky130_fd_sc_hd__a211oi_1)
+                  0.05    0.00   11.94 v mprj/u_mbist1/_2834_/C1 (sky130_fd_sc_hd__a211oi_1)
+                  0.21    0.19   12.13 ^ mprj/u_mbist1/_2834_/Y (sky130_fd_sc_hd__a211oi_1)
      1    0.00                           mprj/u_mbist1/_1330_ (net)
-                  0.20    0.00   12.07 ^ mprj/u_mbist1/_2835_/D1 (sky130_fd_sc_hd__o2111a_1)
-                  0.07    0.22   12.29 ^ mprj/u_mbist1/_2835_/X (sky130_fd_sc_hd__o2111a_1)
+                  0.21    0.00   12.13 ^ mprj/u_mbist1/_2835_/D1 (sky130_fd_sc_hd__o2111a_1)
+                  0.07    0.23   12.36 ^ mprj/u_mbist1/_2835_/X (sky130_fd_sc_hd__o2111a_1)
      1    0.00                           mprj/u_mbist1/_1331_ (net)
-                  0.07    0.00   12.29 ^ mprj/u_mbist1/_2836_/D_N (sky130_fd_sc_hd__or4b_1)
-                  0.11    0.48   12.78 v mprj/u_mbist1/_2836_/X (sky130_fd_sc_hd__or4b_1)
+                  0.07    0.00   12.36 ^ mprj/u_mbist1/_2836_/D_N (sky130_fd_sc_hd__or4b_1)
+                  0.12    0.50   12.86 v mprj/u_mbist1/_2836_/X (sky130_fd_sc_hd__or4b_1)
      1    0.01                           mprj/u_mbist1/_1332_ (net)
-                  0.11    0.00   12.78 v mprj/u_mbist1/_2850_/A (sky130_fd_sc_hd__or4_1)
-                  0.11    0.59   13.37 v mprj/u_mbist1/_2850_/X (sky130_fd_sc_hd__or4_1)
+                  0.12    0.00   12.86 v mprj/u_mbist1/_2850_/A (sky130_fd_sc_hd__or4_1)
+                  0.10    0.58   13.44 v mprj/u_mbist1/_2850_/X (sky130_fd_sc_hd__or4_1)
      1    0.01                           mprj/u_mbist1/_1346_ (net)
-                  0.11    0.00   13.37 v mprj/u_mbist1/_2851_/A2 (sky130_fd_sc_hd__o21ba_1)
-                  0.05    0.21   13.57 v mprj/u_mbist1/_2851_/X (sky130_fd_sc_hd__o21ba_1)
-     1    0.01                           mprj/u_mbist1/_0011_ (net)
-                  0.05    0.00   13.57 v mprj/u_mbist1/_4151_/D (sky130_fd_sc_hd__dfrtp_4)
-                                 13.57   data arrival time
+                  0.10    0.00   13.44 v mprj/u_mbist1/_2851_/A2 (sky130_fd_sc_hd__o21ba_1)
+                  0.04    0.20   13.64 v mprj/u_mbist1/_2851_/X (sky130_fd_sc_hd__o21ba_1)
+     1    0.00                           mprj/u_mbist1/_0011_ (net)
+                  0.04    0.00   13.64 v mprj/u_mbist1/_4151_/D (sky130_fd_sc_hd__dfrtp_4)
+                                 13.64   data arrival time
 
                          10.00   10.00   clock wbs_clk_i (rise edge)
                           0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.27   10.27 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00   10.27 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.22   10.49 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.29   10.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00   10.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.22   10.51 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
      2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01   10.50 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.20   10.70 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+                  0.20    0.03   10.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.20   10.74 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
      2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02   10.72 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12   10.84 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.15    0.02   10.76 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11   10.87 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
      1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00   10.84 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.15   10.99 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+                  0.06    0.00   10.87 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.16   11.02 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
      2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00   10.99 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14   11.14 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.00   11.03 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   11.17 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00   11.14 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12   11.25 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.00   11.17 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00   11.26 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.36 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.00   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
      2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00   11.36 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.13   11.49 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00   11.49 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.60 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00   11.60 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.71 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00   11.71 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.82 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00   11.82 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.92 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00   11.92 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12   12.04 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00   12.04 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11   12.15 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00   12.15 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.13   12.28 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00   11.39 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
      1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00   12.28 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   12.41 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.00   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.87 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
      1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00   12.41 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.80   13.21 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05   13.26 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.31   13.57 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.00   11.87 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.10 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00   12.10 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.33 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00   12.34 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.53 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00   12.53 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.69 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00   12.70 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.86 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
      4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00   13.57 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.14   13.70 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.06    0.00   12.86 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   12.98 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00   13.71 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.12   13.83 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00   13.83 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.11   13.94 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.00   12.98 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.10 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.10 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.21 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
      1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00   13.94 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.38   14.32 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
-                  0.44    0.00   14.32 ^ mprj/u_mbist1/clkbuf_leaf_9_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.14    0.30   14.62 ^ mprj/u_mbist1/clkbuf_leaf_9_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.00   13.21 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.39 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.39 ^ mprj/u_mbist1/clkbuf_leaf_9_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.22   13.61 ^ mprj/u_mbist1/clkbuf_leaf_9_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
     32    0.12                           mprj/u_mbist1/clknet_leaf_9_wb_clk_i (net)
-                  0.14    0.00   14.62 ^ mprj/u_mbist1/_4151_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                         -0.25   14.37   clock uncertainty
-                          0.25   14.62   clock reconvergence pessimism
-                         -0.09   14.53   library setup time
-                                 14.53   data required time
+                  0.14    0.00   13.62 ^ mprj/u_mbist1/_4151_/CLK (sky130_fd_sc_hd__dfrtp_4)
+                         -0.25   13.37   clock uncertainty
+                          0.16   13.53   clock reconvergence pessimism
+                         -0.09   13.44   library setup time
+                                 13.44   data required time
 -----------------------------------------------------------------------------
-                                 14.53   data required time
-                                -13.57   data arrival time
+                                 13.44   data required time
+                                -13.64   data arrival time
+-----------------------------------------------------------------------------
+                                 -0.20   slack (VIOLATED)
+
+
+Startpoint: mprj/u_sram2_2kb
+            (falling edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist0/_4151_
+          (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Path Group: wbs_clk_i
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          5.00    5.00   clock wbs_clk_i (fall edge)
+                          0.00    5.00   clock source latency
+                  0.42    0.00    5.00 v mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.07                           mprj/wbd_clk_int (net)
+                  0.42    0.00    5.00 v mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.18    0.42    5.43 v mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.18    0.00    5.43 v mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.18    5.61 v mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.07    0.00    5.62 v mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.08    0.19    5.81 v mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.08    0.00    5.81 v mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.14    5.95 v mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.06    0.00    5.95 v mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.11    6.06 v mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.04    0.00    6.06 v mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.10    6.15 v mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.04    0.00    6.15 v mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.12    6.27 v mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.06    0.00    6.27 v mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.11    6.38 v mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.04    0.00    6.38 v mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.29    6.67 v mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.06    0.00    6.67 v mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.29    6.96 v mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.05    0.00    6.96 v mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.32    7.28 v mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00    7.28 v mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.31    7.59 v mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.06    0.00    7.59 v mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.21    7.80 v mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.04                           mprj/wbd_clk_mbist0_skew (net)
+                  0.11    0.00    7.80 v mprj/u_mbist0/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.21    8.01 v mprj/u_mbist0/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2103 (net)
+                  0.12    0.00    8.01 v mprj/u_mbist0/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.06    0.21    8.22 v mprj/u_mbist0/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2102 (net)
+                  0.06    0.00    8.23 v mprj/u_mbist0/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.16    8.39 v mprj/u_mbist0/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2101 (net)
+                  0.06    0.01    8.39 v mprj/u_mbist0/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.16    8.55 v mprj/u_mbist0/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist0/clknet_0_wb_clk2_i (net)
+                  0.03    0.00    8.55 v mprj/u_mbist0/clkbuf_1_0__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.13    8.68 v mprj/u_mbist0/clkbuf_1_0__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_mbist0/clknet_1_0__leaf_wb_clk2_i (net)
+                  0.03    0.00    8.68 v mprj/u_mbist0/wire14/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.25    8.93 v mprj/u_mbist0/wire14/X (sky130_fd_sc_hd__clkbuf_4)
+     8    0.09                           mprj/u_mbist0/net2107 (net)
+                  0.17    0.01    8.95 v mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
+                  0.05    0.35    9.30 v mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.00                           mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.05    0.00    9.30 v mprj/u_mbist0/wire13/A (sky130_fd_sc_hd__buf_2)
+                  0.13    0.22    9.52 v mprj/u_mbist0/wire13/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mbist0/net2106 (net)
+                  0.13    0.00    9.52 v mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.08    0.24    9.76 v mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mbist0/clknet_0_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.08    0.01    9.77 v mprj/u_mbist0/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    9.95 v mprj/u_mbist0/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_mbist0/clknet_3_7__leaf_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.04    0.00    9.95 v mprj/u_mbist0/_4688_/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.13   10.08 v mprj/u_mbist0/_4688_/X (sky130_fd_sc_hd__buf_2)
+     1    0.01                           mprj/u_mbist0/net241 (net)
+                  0.03    0.00   10.08 v mprj/u_mbist0/output241/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.36    0.34   10.41 v mprj/u_mbist0/output241/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.06                           mprj/mem_clk_a[2] (net)
+                  0.48    0.01   10.43 v mprj/u_sram2_2kb/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8)
+                  0.03    0.65   11.08 ^ mprj/u_sram2_2kb/dout0[0] (sky130_sram_2kbyte_1rw1r_32x512_8)
+     2    0.05                           mprj/mem2_dout_a[0] (net)
+                  0.02    0.01   11.09 ^ mprj/u_mbist0/input69/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.22    0.20   11.29 ^ mprj/u_mbist0/input69/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.02                           mprj/u_mbist0/net69 (net)
+                  0.22    0.00   11.29 ^ mprj/u_mbist0/wire2006/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.23    0.30   11.59 ^ mprj/u_mbist0/wire2006/X (sky130_fd_sc_hd__clkbuf_8)
+     6    0.13                           mprj/u_mbist0/net2006 (net)
+                  0.24    0.04   11.63 ^ mprj/u_mbist0/_2833_/A1_N (sky130_fd_sc_hd__a2bb2o_1)
+                  0.05    0.32   11.95 v mprj/u_mbist0/_2833_/X (sky130_fd_sc_hd__a2bb2o_1)
+     1    0.00                           mprj/u_mbist0/_1329_ (net)
+                  0.05    0.00   11.95 v mprj/u_mbist0/_2834_/C1 (sky130_fd_sc_hd__a211oi_1)
+                  0.21    0.19   12.14 ^ mprj/u_mbist0/_2834_/Y (sky130_fd_sc_hd__a211oi_1)
+     1    0.00                           mprj/u_mbist0/_1330_ (net)
+                  0.21    0.00   12.14 ^ mprj/u_mbist0/_2835_/D1 (sky130_fd_sc_hd__o2111a_1)
+                  0.07    0.23   12.37 ^ mprj/u_mbist0/_2835_/X (sky130_fd_sc_hd__o2111a_1)
+     1    0.00                           mprj/u_mbist0/_1331_ (net)
+                  0.07    0.00   12.37 ^ mprj/u_mbist0/_2836_/D_N (sky130_fd_sc_hd__or4b_1)
+                  0.12    0.50   12.86 v mprj/u_mbist0/_2836_/X (sky130_fd_sc_hd__or4b_1)
+     1    0.01                           mprj/u_mbist0/_1332_ (net)
+                  0.12    0.00   12.86 v mprj/u_mbist0/_2850_/A (sky130_fd_sc_hd__or4_1)
+                  0.10    0.58   13.44 v mprj/u_mbist0/_2850_/X (sky130_fd_sc_hd__or4_1)
+     1    0.01                           mprj/u_mbist0/_1346_ (net)
+                  0.10    0.00   13.45 v mprj/u_mbist0/_2851_/A2 (sky130_fd_sc_hd__o21ba_1)
+                  0.04    0.20   13.65 v mprj/u_mbist0/_2851_/X (sky130_fd_sc_hd__o21ba_1)
+     1    0.00                           mprj/u_mbist0/_0011_ (net)
+                  0.04    0.00   13.65 v mprj/u_mbist0/_4151_/D (sky130_fd_sc_hd__dfrtp_4)
+                                 13.65   data arrival time
+
+                         10.00   10.00   clock wbs_clk_i (rise edge)
+                          0.00   10.00   clock source latency
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.41   10.41 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00   10.41 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.19   10.60 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00   10.60 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.21   10.81 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00   10.81 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   10.97 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00   10.97 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11   11.44 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00   11.44 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.93 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00   11.93 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.16 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00   12.16 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.39 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00   12.40 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.59 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00   12.59 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.75 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00   12.75 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.92 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.92 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   13.04 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   13.04 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.16 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.16 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.27 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.27 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.45 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.45 ^ mprj/u_mbist0/clkbuf_leaf_9_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.22   13.67 ^ mprj/u_mbist0/clkbuf_leaf_9_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.12                           mprj/u_mbist0/clknet_leaf_9_wb_clk_i (net)
+                  0.14    0.00   13.68 ^ mprj/u_mbist0/_4151_/CLK (sky130_fd_sc_hd__dfrtp_4)
+                         -0.25   13.43   clock uncertainty
+                          0.17   13.60   clock reconvergence pessimism
+                         -0.09   13.50   library setup time
+                                 13.50   data required time
+-----------------------------------------------------------------------------
+                                 13.50   data required time
+                                -13.65   data arrival time
+-----------------------------------------------------------------------------
+                                 -0.15   slack (VIOLATED)
+
+
+Startpoint: mprj/u_sram4_2kb
+            (falling edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist1/_4304_
+          (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Path Group: wbs_clk_i
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          5.00    5.00   clock wbs_clk_i (fall edge)
+                          0.00    5.00   clock source latency
+                  0.42    0.00    5.00 v mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.07                           mprj/wbd_clk_int (net)
+                  0.42    0.00    5.00 v mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.08    0.34    5.34 v mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.08    0.00    5.34 v mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.19    5.53 v mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.10    0.03    5.56 v mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.19    5.74 v mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.08    0.02    5.76 v mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.03    0.11    5.87 v mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.03    0.00    5.87 v mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.06    0.16    6.03 v mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.06    0.00    6.03 v mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.13    6.16 v mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.06    0.00    6.16 v mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.11    6.27 v mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.04    0.00    6.27 v mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.10    6.37 v mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.04    0.00    6.37 v mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.29    6.65 v mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.06    0.00    6.65 v mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.29    6.95 v mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.05    0.00    6.95 v mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.31    7.26 v mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    7.26 v mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.31    7.56 v mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.06    0.00    7.56 v mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.21    7.77 v mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.04                           mprj/wbd_clk_mbist1_skew (net)
+                  0.11    0.00    7.78 v mprj/u_mbist1/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.21    7.99 v mprj/u_mbist1/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2103 (net)
+                  0.12    0.00    7.99 v mprj/u_mbist1/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.06    0.21    8.20 v mprj/u_mbist1/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2102 (net)
+                  0.06    0.00    8.20 v mprj/u_mbist1/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.16    8.36 v mprj/u_mbist1/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2101 (net)
+                  0.06    0.01    8.37 v mprj/u_mbist1/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.16    8.53 v mprj/u_mbist1/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist1/clknet_0_wb_clk2_i (net)
+                  0.03    0.00    8.53 v mprj/u_mbist1/clkbuf_1_0__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.13    8.66 v mprj/u_mbist1/clkbuf_1_0__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_mbist1/clknet_1_0__leaf_wb_clk2_i (net)
+                  0.03    0.00    8.66 v mprj/u_mbist1/wire14/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.25    8.91 v mprj/u_mbist1/wire14/X (sky130_fd_sc_hd__clkbuf_4)
+     8    0.09                           mprj/u_mbist1/net2107 (net)
+                  0.17    0.01    8.92 v mprj/u_mbist1/u_mbist.mem_no[0].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
+                  0.09    0.41    9.34 v mprj/u_mbist1/u_mbist.mem_no[0].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     2    0.05                           mprj/u_mbist1/u_mbist.mem_no[0].u_mem_sel.mem_clk (net)
+                  0.09    0.00    9.34 v mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[0].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.21    9.55 v mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[0].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.08                           mprj/u_mbist1/clknet_0_u_mbist.mem_no[0].u_mem_sel.mem_clk (net)
+                  0.07    0.00    9.55 v mprj/u_mbist1/clkbuf_3_4__f_u_mbist.mem_no[0].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    9.72 v mprj/u_mbist1/clkbuf_3_4__f_u_mbist.mem_no[0].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.02                           mprj/u_mbist1/clknet_3_4__leaf_u_mbist.mem_no[0].u_mem_sel.mem_clk (net)
+                  0.04    0.00    9.72 v mprj/u_mbist1/_4686_/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.13    9.84 v mprj/u_mbist1/_4686_/X (sky130_fd_sc_hd__buf_2)
+     1    0.01                           mprj/u_mbist1/net239 (net)
+                  0.03    0.00    9.85 v mprj/u_mbist1/output239/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.44    0.39   10.24 v mprj/u_mbist1/output239/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.08                           mprj/mem_clk_a[4] (net)
+                  0.58    0.02   10.26 v mprj/u_sram4_2kb/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8)
+                  0.03    0.70   10.95 ^ mprj/u_sram4_2kb/dout0[0] (sky130_sram_2kbyte_1rw1r_32x512_8)
+     2    0.05                           mprj/mem4_dout_a[0] (net)
+                  0.02    0.01   10.96 ^ mprj/u_mbist1/input5/A (sky130_fd_sc_hd__buf_12)
+                  0.08    0.12   11.08 ^ mprj/u_mbist1/input5/X (sky130_fd_sc_hd__buf_12)
+     2    0.06                           mprj/u_mbist1/net5 (net)
+                  0.08    0.01   11.10 ^ mprj/u_mbist1/wire2008/A (sky130_fd_sc_hd__buf_12)
+                  0.12    0.17   11.27 ^ mprj/u_mbist1/wire2008/X (sky130_fd_sc_hd__buf_12)
+     6    0.10                           mprj/u_mbist1/net2008 (net)
+                  0.13    0.03   11.29 ^ mprj/u_mbist1/_2923_/A1_N (sky130_fd_sc_hd__a2bb2o_4)
+                  0.03    0.23   11.52 v mprj/u_mbist1/_2923_/X (sky130_fd_sc_hd__a2bb2o_4)
+     1    0.00                           mprj/u_mbist1/_1417_ (net)
+                  0.03    0.00   11.52 v mprj/u_mbist1/_2926_/B (sky130_fd_sc_hd__or4_1)
+                  0.10    0.53   12.05 v mprj/u_mbist1/_2926_/X (sky130_fd_sc_hd__or4_1)
+     1    0.00                           mprj/u_mbist1/_1420_ (net)
+                  0.10    0.00   12.05 v mprj/u_mbist1/_2930_/A (sky130_fd_sc_hd__or4_1)
+                  0.08    0.55   12.60 v mprj/u_mbist1/_2930_/X (sky130_fd_sc_hd__or4_1)
+     1    0.00                           mprj/u_mbist1/_1424_ (net)
+                  0.08    0.00   12.60 v mprj/u_mbist1/_2935_/B (sky130_fd_sc_hd__or4_4)
+                  0.09    0.56   13.16 v mprj/u_mbist1/_2935_/X (sky130_fd_sc_hd__or4_4)
+     1    0.01                           mprj/u_mbist1/_1429_ (net)
+                  0.09    0.00   13.16 v mprj/u_mbist1/_2936_/D1 (sky130_fd_sc_hd__a2111o_2)
+                  0.15    0.50   13.65 v mprj/u_mbist1/_2936_/X (sky130_fd_sc_hd__a2111o_2)
+     2    0.04                           mprj/u_mbist1/_1430_ (net)
+                  0.15    0.00   13.66 v mprj/u_mbist1/_2937_/A2 (sky130_fd_sc_hd__o21ba_1)
+                  0.04    0.22   13.88 v mprj/u_mbist1/_2937_/X (sky130_fd_sc_hd__o21ba_1)
+     1    0.00                           mprj/u_mbist1/_0009_ (net)
+                  0.04    0.00   13.88 v mprj/u_mbist1/_4304_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 13.88   data arrival time
+
+                         10.00   10.00   clock wbs_clk_i (rise edge)
+                          0.00   10.00   clock source latency
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.29   10.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00   10.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.22   10.51 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03   10.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.20   10.74 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02   10.76 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11   10.87 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00   10.87 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.16   11.02 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00   11.03 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   11.17 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00   11.17 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.39 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.87 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00   11.87 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.10 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00   12.10 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.33 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00   12.34 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.53 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00   12.53 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.69 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00   12.70 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.86 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.86 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13   12.99 ^ mprj/u_mbist1/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_0_0_wb_clk_i (net)
+                  0.05    0.00   12.99 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13   13.12 ^ mprj/u_mbist1/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_0_1_wb_clk_i (net)
+                  0.05    0.00   13.12 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.23 ^ mprj/u_mbist1/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_0_2_wb_clk_i (net)
+                  0.03    0.00   13.23 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.17   13.41 ^ mprj/u_mbist1/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist1/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00   13.41 ^ mprj/u_mbist1/wire4/A (sky130_fd_sc_hd__buf_4)
+                  0.26    0.28   13.69 ^ mprj/u_mbist1/wire4/X (sky130_fd_sc_hd__buf_4)
+    10    0.09                           mprj/u_mbist1/net2097 (net)
+                  0.26    0.01   13.70 ^ mprj/u_mbist1/clkbuf_leaf_6_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.24   13.94 ^ mprj/u_mbist1/clkbuf_leaf_6_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.10                           mprj/u_mbist1/clknet_leaf_6_wb_clk_i (net)
+                  0.11    0.00   13.94 ^ mprj/u_mbist1/_4304_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   13.69   clock uncertainty
+                          0.16   13.86   clock reconvergence pessimism
+                         -0.10   13.76   library setup time
+                                 13.76   data required time
+-----------------------------------------------------------------------------
+                                 13.76   data required time
+                                -13.88   data arrival time
+-----------------------------------------------------------------------------
+                                 -0.11   slack (VIOLATED)
+
+
+Startpoint: mprj/u_sram5_2kb
+            (falling edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist1/_4232_
+          (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Path Group: wbs_clk_i
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          5.00    5.00   clock wbs_clk_i (fall edge)
+                          0.00    5.00   clock source latency
+                  0.42    0.00    5.00 v mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.07                           mprj/wbd_clk_int (net)
+                  0.42    0.00    5.00 v mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.08    0.34    5.34 v mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.08    0.00    5.34 v mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.19    5.53 v mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.10    0.03    5.56 v mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.19    5.74 v mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.08    0.02    5.76 v mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.03    0.11    5.87 v mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.03    0.00    5.87 v mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.06    0.16    6.03 v mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.06    0.00    6.03 v mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.13    6.16 v mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.06    0.00    6.16 v mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.11    6.27 v mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.04    0.00    6.27 v mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.10    6.37 v mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.04    0.00    6.37 v mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.29    6.65 v mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.06    0.00    6.65 v mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.29    6.95 v mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.05    0.00    6.95 v mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.31    7.26 v mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    7.26 v mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.31    7.56 v mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.06    0.00    7.56 v mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.21    7.77 v mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.04                           mprj/wbd_clk_mbist1_skew (net)
+                  0.11    0.00    7.78 v mprj/u_mbist1/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.21    7.99 v mprj/u_mbist1/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2103 (net)
+                  0.12    0.00    7.99 v mprj/u_mbist1/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.06    0.21    8.20 v mprj/u_mbist1/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2102 (net)
+                  0.06    0.00    8.20 v mprj/u_mbist1/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.16    8.36 v mprj/u_mbist1/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2101 (net)
+                  0.06    0.01    8.37 v mprj/u_mbist1/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.16    8.53 v mprj/u_mbist1/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist1/clknet_0_wb_clk2_i (net)
+                  0.03    0.00    8.53 v mprj/u_mbist1/clkbuf_1_1__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.18    8.70 v mprj/u_mbist1/clkbuf_1_1__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.07                           mprj/u_mbist1/clknet_1_1__leaf_wb_clk2_i (net)
+                  0.06    0.00    8.70 v mprj/u_mbist1/wire15/A (sky130_fd_sc_hd__buf_2)
+                  0.13    0.23    8.93 v mprj/u_mbist1/wire15/X (sky130_fd_sc_hd__buf_2)
+     4    0.06                           mprj/u_mbist1/net2108 (net)
+                  0.13    0.00    8.93 v mprj/u_mbist1/u_mbist.mem_no[1].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
+                  0.07    0.37    9.30 v mprj/u_mbist1/u_mbist.mem_no[1].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     2    0.02                           mprj/u_mbist1/u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
+                  0.07    0.00    9.30 v mprj/u_mbist1/wire12/A (sky130_fd_sc_hd__buf_2)
+                  0.14    0.24    9.54 v mprj/u_mbist1/wire12/X (sky130_fd_sc_hd__buf_2)
+     2    0.06                           mprj/u_mbist1/net2105 (net)
+                  0.14    0.00    9.54 v mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[1].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.23    9.77 v mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[1].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.07                           mprj/u_mbist1/clknet_0_u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
+                  0.06    0.00    9.77 v mprj/u_mbist1/clkbuf_3_5__f_u_mbist.mem_no[1].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.15    9.92 v mprj/u_mbist1/clkbuf_3_5__f_u_mbist.mem_no[1].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_mbist1/clknet_3_5__leaf_u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
+                  0.03    0.00    9.92 v mprj/u_mbist1/wire19/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.13    0.22   10.14 v mprj/u_mbist1/wire19/X (sky130_fd_sc_hd__clkbuf_4)
+    14    0.06                           mprj/u_mbist1/net2112 (net)
+                  0.13    0.01   10.15 v mprj/u_mbist1/_4687_/A (sky130_fd_sc_hd__buf_2)
+                  0.04    0.18   10.33 v mprj/u_mbist1/_4687_/X (sky130_fd_sc_hd__buf_2)
+     1    0.01                           mprj/u_mbist1/net240 (net)
+                  0.04    0.00   10.33 v mprj/u_mbist1/output240/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.28    0.29   10.62 v mprj/u_mbist1/output240/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.05                           mprj/mem_clk_a[5] (net)
+                  0.38    0.01   10.63 v mprj/u_sram5_2kb/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8)
+                  0.02    0.57   11.20 ^ mprj/u_sram5_2kb/dout0[20] (sky130_sram_2kbyte_1rw1r_32x512_8)
+     2    0.03                           mprj/mem5_dout_a[20] (net)
+                  0.01    0.00   11.20 ^ mprj/u_mbist1/input49/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.22    0.22   11.43 ^ mprj/u_mbist1/input49/X (sky130_fd_sc_hd__clkbuf_2)
+     6    0.04                           mprj/u_mbist1/net49 (net)
+                  0.22    0.00   11.43 ^ mprj/u_mbist1/_2872_/A1_N (sky130_fd_sc_hd__a2bb2o_1)
+                  0.05    0.32   11.75 v mprj/u_mbist1/_2872_/X (sky130_fd_sc_hd__a2bb2o_1)
+     1    0.00                           mprj/u_mbist1/_1367_ (net)
+                  0.05    0.00   11.75 v mprj/u_mbist1/_2873_/C1 (sky130_fd_sc_hd__a221o_1)
+                  0.05    0.27   12.02 v mprj/u_mbist1/_2873_/X (sky130_fd_sc_hd__a221o_1)
+     1    0.00                           mprj/u_mbist1/_1368_ (net)
+                  0.05    0.00   12.02 v mprj/u_mbist1/_2880_/A (sky130_fd_sc_hd__or4_1)
+                  0.16    0.64   12.66 v mprj/u_mbist1/_2880_/X (sky130_fd_sc_hd__or4_1)
+     1    0.02                           mprj/u_mbist1/_1375_ (net)
+                  0.16    0.00   12.66 v mprj/u_mbist1/_2881_/B (sky130_fd_sc_hd__or4b_2)
+                  0.17    0.80   13.46 v mprj/u_mbist1/_2881_/X (sky130_fd_sc_hd__or4b_2)
+     2    0.02                           mprj/u_mbist1/_1376_ (net)
+                  0.17    0.00   13.47 v mprj/u_mbist1/_2894_/A1 (sky130_fd_sc_hd__o21ba_1)
+                  0.10    0.30   13.77 v mprj/u_mbist1/_2894_/X (sky130_fd_sc_hd__o21ba_1)
+     1    0.02                           mprj/u_mbist1/_0010_ (net)
+                  0.10    0.00   13.77 v mprj/u_mbist1/_4232_/D (sky130_fd_sc_hd__dfrtp_2)
+                                 13.77   data arrival time
+
+                         10.00   10.00   clock wbs_clk_i (rise edge)
+                          0.00   10.00   clock source latency
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.29   10.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00   10.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.22   10.51 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03   10.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.20   10.74 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02   10.76 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11   10.87 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00   10.87 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.16   11.02 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00   11.03 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   11.17 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00   11.17 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.39 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.87 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00   11.87 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.10 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00   12.10 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.33 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00   12.34 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.53 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00   12.53 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.69 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00   12.70 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.86 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.86 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   12.98 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   12.98 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.10 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.10 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.21 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.21 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.39 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.39 ^ mprj/u_mbist1/wire7/A (sky130_fd_sc_hd__buf_8)
+                  0.20    0.22   13.61 ^ mprj/u_mbist1/wire7/X (sky130_fd_sc_hd__buf_8)
+    18    0.12                           mprj/u_mbist1/net2100 (net)
+                  0.20    0.01   13.62 ^ mprj/u_mbist1/clkbuf_leaf_19_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.25   13.87 ^ mprj/u_mbist1/clkbuf_leaf_19_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    30    0.13                           mprj/u_mbist1/clknet_leaf_19_wb_clk_i (net)
+                  0.14    0.00   13.87 ^ mprj/u_mbist1/_4232_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                         -0.25   13.62   clock uncertainty
+                          0.16   13.78   clock reconvergence pessimism
+                         -0.12   13.67   library setup time
+                                 13.67   data required time
+-----------------------------------------------------------------------------
+                                 13.67   data required time
+                                -13.77   data arrival time
+-----------------------------------------------------------------------------
+                                 -0.10   slack (VIOLATED)
+
+
+Startpoint: mprj/u_sram1_2kb
+            (falling edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist0/_4232_
+          (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Path Group: wbs_clk_i
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          5.00    5.00   clock wbs_clk_i (fall edge)
+                          0.00    5.00   clock source latency
+                  0.42    0.00    5.00 v mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.07                           mprj/wbd_clk_int (net)
+                  0.42    0.00    5.00 v mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.18    0.42    5.43 v mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.18    0.00    5.43 v mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.18    5.61 v mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.07    0.00    5.62 v mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.08    0.19    5.81 v mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.08    0.00    5.81 v mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.14    5.95 v mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.06    0.00    5.95 v mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.11    6.06 v mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.04    0.00    6.06 v mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.10    6.15 v mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.04    0.00    6.15 v mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.12    6.27 v mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.06    0.00    6.27 v mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.11    6.38 v mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.04    0.00    6.38 v mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.29    6.67 v mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.06    0.00    6.67 v mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.29    6.96 v mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.05    0.00    6.96 v mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.32    7.28 v mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00    7.28 v mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.31    7.59 v mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.06    0.00    7.59 v mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.21    7.80 v mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.04                           mprj/wbd_clk_mbist0_skew (net)
+                  0.11    0.00    7.80 v mprj/u_mbist0/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.21    8.01 v mprj/u_mbist0/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2103 (net)
+                  0.12    0.00    8.01 v mprj/u_mbist0/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.06    0.21    8.22 v mprj/u_mbist0/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2102 (net)
+                  0.06    0.00    8.23 v mprj/u_mbist0/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.16    8.39 v mprj/u_mbist0/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2101 (net)
+                  0.06    0.01    8.39 v mprj/u_mbist0/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.16    8.55 v mprj/u_mbist0/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist0/clknet_0_wb_clk2_i (net)
+                  0.03    0.00    8.55 v mprj/u_mbist0/clkbuf_1_1__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.18    8.73 v mprj/u_mbist0/clkbuf_1_1__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.07                           mprj/u_mbist0/clknet_1_1__leaf_wb_clk2_i (net)
+                  0.06    0.00    8.73 v mprj/u_mbist0/wire15/A (sky130_fd_sc_hd__buf_2)
+                  0.13    0.23    8.96 v mprj/u_mbist0/wire15/X (sky130_fd_sc_hd__buf_2)
+     4    0.06                           mprj/u_mbist0/net2108 (net)
+                  0.13    0.00    8.96 v mprj/u_mbist0/u_mbist.mem_no[1].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
+                  0.07    0.37    9.32 v mprj/u_mbist0/u_mbist.mem_no[1].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     2    0.02                           mprj/u_mbist0/u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
+                  0.07    0.00    9.33 v mprj/u_mbist0/wire12/A (sky130_fd_sc_hd__buf_2)
+                  0.14    0.24    9.56 v mprj/u_mbist0/wire12/X (sky130_fd_sc_hd__buf_2)
+     2    0.06                           mprj/u_mbist0/net2105 (net)
+                  0.14    0.00    9.57 v mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[1].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.23    9.79 v mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[1].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.07                           mprj/u_mbist0/clknet_0_u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
+                  0.06    0.00    9.79 v mprj/u_mbist0/clkbuf_3_5__f_u_mbist.mem_no[1].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.15    9.94 v mprj/u_mbist0/clkbuf_3_5__f_u_mbist.mem_no[1].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_mbist0/clknet_3_5__leaf_u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
+                  0.03    0.00    9.94 v mprj/u_mbist0/wire19/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.13    0.22   10.16 v mprj/u_mbist0/wire19/X (sky130_fd_sc_hd__clkbuf_4)
+    14    0.06                           mprj/u_mbist0/net2112 (net)
+                  0.13    0.01   10.17 v mprj/u_mbist0/_4687_/A (sky130_fd_sc_hd__buf_2)
+                  0.04    0.18   10.36 v mprj/u_mbist0/_4687_/X (sky130_fd_sc_hd__buf_2)
+     1    0.01                           mprj/u_mbist0/net240 (net)
+                  0.04    0.00   10.36 v mprj/u_mbist0/output240/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.23    0.25   10.61 v mprj/u_mbist0/output240/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.04                           mprj/mem_clk_a[1] (net)
+                  0.31    0.01   10.62 v mprj/u_sram1_2kb/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8)
+                  0.02    0.56   11.18 ^ mprj/u_sram1_2kb/dout0[20] (sky130_sram_2kbyte_1rw1r_32x512_8)
+     2    0.03                           mprj/mem1_dout_a[20] (net)
+                  0.01    0.00   11.18 ^ mprj/u_mbist0/input49/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.22    0.22   11.40 ^ mprj/u_mbist0/input49/X (sky130_fd_sc_hd__clkbuf_2)
+     6    0.04                           mprj/u_mbist0/net49 (net)
+                  0.22    0.00   11.41 ^ mprj/u_mbist0/_2872_/A1_N (sky130_fd_sc_hd__a2bb2o_1)
+                  0.05    0.32   11.72 v mprj/u_mbist0/_2872_/X (sky130_fd_sc_hd__a2bb2o_1)
+     1    0.00                           mprj/u_mbist0/_1367_ (net)
+                  0.05    0.00   11.72 v mprj/u_mbist0/_2873_/C1 (sky130_fd_sc_hd__a221o_1)
+                  0.05    0.27   11.99 v mprj/u_mbist0/_2873_/X (sky130_fd_sc_hd__a221o_1)
+     1    0.00                           mprj/u_mbist0/_1368_ (net)
+                  0.05    0.00   11.99 v mprj/u_mbist0/_2880_/A (sky130_fd_sc_hd__or4_1)
+                  0.16    0.64   12.64 v mprj/u_mbist0/_2880_/X (sky130_fd_sc_hd__or4_1)
+     1    0.02                           mprj/u_mbist0/_1375_ (net)
+                  0.16    0.00   12.64 v mprj/u_mbist0/_2881_/B (sky130_fd_sc_hd__or4b_2)
+                  0.17    0.80   13.44 v mprj/u_mbist0/_2881_/X (sky130_fd_sc_hd__or4b_2)
+     2    0.02                           mprj/u_mbist0/_1376_ (net)
+                  0.17    0.00   13.44 v mprj/u_mbist0/_2894_/A1 (sky130_fd_sc_hd__o21ba_1)
+                  0.10    0.30   13.74 v mprj/u_mbist0/_2894_/X (sky130_fd_sc_hd__o21ba_1)
+     1    0.02                           mprj/u_mbist0/_0010_ (net)
+                  0.10    0.00   13.74 v mprj/u_mbist0/_4232_/D (sky130_fd_sc_hd__dfrtp_2)
+                                 13.74   data arrival time
+
+                         10.00   10.00   clock wbs_clk_i (rise edge)
+                          0.00   10.00   clock source latency
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.41   10.41 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00   10.41 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.19   10.60 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00   10.60 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.21   10.81 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00   10.81 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   10.97 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00   10.97 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11   11.44 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00   11.44 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.93 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00   11.93 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.16 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00   12.16 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.39 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00   12.40 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.59 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00   12.59 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.75 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00   12.75 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.92 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.92 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   13.04 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   13.04 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.16 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.16 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.27 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.27 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.45 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.45 ^ mprj/u_mbist0/wire7/A (sky130_fd_sc_hd__buf_8)
+                  0.20    0.22   13.67 ^ mprj/u_mbist0/wire7/X (sky130_fd_sc_hd__buf_8)
+    18    0.12                           mprj/u_mbist0/net2100 (net)
+                  0.20    0.01   13.68 ^ mprj/u_mbist0/clkbuf_leaf_19_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.25   13.92 ^ mprj/u_mbist0/clkbuf_leaf_19_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    30    0.13                           mprj/u_mbist0/clknet_leaf_19_wb_clk_i (net)
+                  0.14    0.00   13.93 ^ mprj/u_mbist0/_4232_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                         -0.25   13.68   clock uncertainty
+                          0.17   13.85   clock reconvergence pessimism
+                         -0.12   13.73   library setup time
+                                 13.73   data required time
+-----------------------------------------------------------------------------
+                                 13.73   data required time
+                                -13.74   data arrival time
+-----------------------------------------------------------------------------
+                                 -0.02   slack (VIOLATED)
+
+
+Startpoint: mprj/u_sram0_2kb
+            (falling edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist0/_4304_
+          (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Path Group: wbs_clk_i
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          5.00    5.00   clock wbs_clk_i (fall edge)
+                          0.00    5.00   clock source latency
+                  0.42    0.00    5.00 v mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.07                           mprj/wbd_clk_int (net)
+                  0.42    0.00    5.00 v mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.18    0.42    5.43 v mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.18    0.00    5.43 v mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.18    5.61 v mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.07    0.00    5.62 v mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.08    0.19    5.81 v mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.08    0.00    5.81 v mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.14    5.95 v mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.06    0.00    5.95 v mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.11    6.06 v mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.04    0.00    6.06 v mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.10    6.15 v mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.04    0.00    6.15 v mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.12    6.27 v mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.06    0.00    6.27 v mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.11    6.38 v mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.04    0.00    6.38 v mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.29    6.67 v mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.06    0.00    6.67 v mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.29    6.96 v mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.05    0.00    6.96 v mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.32    7.28 v mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00    7.28 v mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.31    7.59 v mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.06    0.00    7.59 v mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.21    7.80 v mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.04                           mprj/wbd_clk_mbist0_skew (net)
+                  0.11    0.00    7.80 v mprj/u_mbist0/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.21    8.01 v mprj/u_mbist0/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2103 (net)
+                  0.12    0.00    8.01 v mprj/u_mbist0/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.06    0.21    8.22 v mprj/u_mbist0/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2102 (net)
+                  0.06    0.00    8.23 v mprj/u_mbist0/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.16    8.39 v mprj/u_mbist0/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2101 (net)
+                  0.06    0.01    8.39 v mprj/u_mbist0/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.16    8.55 v mprj/u_mbist0/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist0/clknet_0_wb_clk2_i (net)
+                  0.03    0.00    8.55 v mprj/u_mbist0/clkbuf_1_0__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.13    8.68 v mprj/u_mbist0/clkbuf_1_0__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_mbist0/clknet_1_0__leaf_wb_clk2_i (net)
+                  0.03    0.00    8.68 v mprj/u_mbist0/wire14/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.25    8.93 v mprj/u_mbist0/wire14/X (sky130_fd_sc_hd__clkbuf_4)
+     8    0.09                           mprj/u_mbist0/net2107 (net)
+                  0.17    0.01    8.95 v mprj/u_mbist0/u_mbist.mem_no[0].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
+                  0.09    0.41    9.36 v mprj/u_mbist0/u_mbist.mem_no[0].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     2    0.05                           mprj/u_mbist0/u_mbist.mem_no[0].u_mem_sel.mem_clk (net)
+                  0.09    0.00    9.36 v mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[0].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.07    0.21    9.57 v mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[0].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.08                           mprj/u_mbist0/clknet_0_u_mbist.mem_no[0].u_mem_sel.mem_clk (net)
+                  0.07    0.00    9.57 v mprj/u_mbist0/clkbuf_3_4__f_u_mbist.mem_no[0].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17    9.74 v mprj/u_mbist0/clkbuf_3_4__f_u_mbist.mem_no[0].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.02                           mprj/u_mbist0/clknet_3_4__leaf_u_mbist.mem_no[0].u_mem_sel.mem_clk (net)
+                  0.04    0.00    9.74 v mprj/u_mbist0/_4686_/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.13    9.87 v mprj/u_mbist0/_4686_/X (sky130_fd_sc_hd__buf_2)
+     1    0.01                           mprj/u_mbist0/net239 (net)
+                  0.03    0.00    9.87 v mprj/u_mbist0/output239/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.34    0.32   10.19 v mprj/u_mbist0/output239/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.06                           mprj/mem_clk_a[0] (net)
+                  0.46    0.01   10.21 v mprj/u_sram0_2kb/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8)
+                  0.03    0.69   10.89 ^ mprj/u_sram0_2kb/dout0[0] (sky130_sram_2kbyte_1rw1r_32x512_8)
+     2    0.05                           mprj/mem0_dout_a[0] (net)
+                  0.02    0.01   10.90 ^ mprj/u_mbist0/input5/A (sky130_fd_sc_hd__buf_12)
+                  0.08    0.12   11.02 ^ mprj/u_mbist0/input5/X (sky130_fd_sc_hd__buf_12)
+     2    0.06                           mprj/u_mbist0/net5 (net)
+                  0.08    0.01   11.04 ^ mprj/u_mbist0/wire2008/A (sky130_fd_sc_hd__buf_12)
+                  0.12    0.17   11.20 ^ mprj/u_mbist0/wire2008/X (sky130_fd_sc_hd__buf_12)
+     6    0.10                           mprj/u_mbist0/net2008 (net)
+                  0.13    0.03   11.23 ^ mprj/u_mbist0/_2923_/A1_N (sky130_fd_sc_hd__a2bb2o_4)
+                  0.03    0.23   11.46 v mprj/u_mbist0/_2923_/X (sky130_fd_sc_hd__a2bb2o_4)
+     1    0.00                           mprj/u_mbist0/_1417_ (net)
+                  0.03    0.00   11.46 v mprj/u_mbist0/_2926_/B (sky130_fd_sc_hd__or4_1)
+                  0.10    0.53   11.99 v mprj/u_mbist0/_2926_/X (sky130_fd_sc_hd__or4_1)
+     1    0.00                           mprj/u_mbist0/_1420_ (net)
+                  0.10    0.00   11.99 v mprj/u_mbist0/_2930_/A (sky130_fd_sc_hd__or4_1)
+                  0.08    0.55   12.54 v mprj/u_mbist0/_2930_/X (sky130_fd_sc_hd__or4_1)
+     1    0.00                           mprj/u_mbist0/_1424_ (net)
+                  0.08    0.00   12.54 v mprj/u_mbist0/_2935_/B (sky130_fd_sc_hd__or4_4)
+                  0.09    0.56   13.10 v mprj/u_mbist0/_2935_/X (sky130_fd_sc_hd__or4_4)
+     1    0.01                           mprj/u_mbist0/_1429_ (net)
+                  0.09    0.00   13.10 v mprj/u_mbist0/_2936_/D1 (sky130_fd_sc_hd__a2111o_2)
+                  0.15    0.50   13.59 v mprj/u_mbist0/_2936_/X (sky130_fd_sc_hd__a2111o_2)
+     2    0.04                           mprj/u_mbist0/_1430_ (net)
+                  0.15    0.00   13.59 v mprj/u_mbist0/_2937_/A2 (sky130_fd_sc_hd__o21ba_1)
+                  0.04    0.22   13.81 v mprj/u_mbist0/_2937_/X (sky130_fd_sc_hd__o21ba_1)
+     1    0.00                           mprj/u_mbist0/_0009_ (net)
+                  0.04    0.00   13.81 v mprj/u_mbist0/_4304_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 13.81   data arrival time
+
+                         10.00   10.00   clock wbs_clk_i (rise edge)
+                          0.00   10.00   clock source latency
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.41   10.41 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00   10.41 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.19   10.60 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00   10.60 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.21   10.81 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00   10.81 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   10.97 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00   10.97 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11   11.44 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00   11.44 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.93 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00   11.93 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.16 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00   12.16 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.39 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00   12.40 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.59 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00   12.59 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.75 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00   12.75 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.92 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.92 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13   13.05 ^ mprj/u_mbist0/clkbuf_1_0_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_0_0_wb_clk_i (net)
+                  0.05    0.00   13.05 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.05    0.13   13.18 ^ mprj/u_mbist0/clkbuf_1_0_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_0_1_wb_clk_i (net)
+                  0.05    0.00   13.18 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.29 ^ mprj/u_mbist0/clkbuf_1_0_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_0_2_wb_clk_i (net)
+                  0.03    0.00   13.29 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.12    0.17   13.46 ^ mprj/u_mbist0/clkbuf_1_0_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+    10    0.06                           mprj/u_mbist0/clknet_1_0_3_wb_clk_i (net)
+                  0.12    0.00   13.47 ^ mprj/u_mbist0/wire4/A (sky130_fd_sc_hd__buf_4)
+                  0.26    0.28   13.75 ^ mprj/u_mbist0/wire4/X (sky130_fd_sc_hd__buf_4)
+    10    0.09                           mprj/u_mbist0/net2097 (net)
+                  0.26    0.01   13.76 ^ mprj/u_mbist0/clkbuf_leaf_6_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.24   14.00 ^ mprj/u_mbist0/clkbuf_leaf_6_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.10                           mprj/u_mbist0/clknet_leaf_6_wb_clk_i (net)
+                  0.11    0.00   14.00 ^ mprj/u_mbist0/_4304_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   13.75   clock uncertainty
+                          0.17   13.92   clock reconvergence pessimism
+                         -0.10   13.82   library setup time
+                                 13.82   data required time
+-----------------------------------------------------------------------------
+                                 13.82   data required time
+                                -13.81   data arrival time
+-----------------------------------------------------------------------------
+                                  0.01   slack (MET)
+
+
+Startpoint: mprj/u_sram6_2kb
+            (falling edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist1/_4538_
+          (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Path Group: wbs_clk_i
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          5.00    5.00   clock wbs_clk_i (fall edge)
+                          0.00    5.00   clock source latency
+                  0.42    0.00    5.00 v mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.07                           mprj/wbd_clk_int (net)
+                  0.42    0.00    5.00 v mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.08    0.34    5.34 v mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.08    0.00    5.34 v mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.19    5.53 v mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.10    0.03    5.56 v mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.19    5.74 v mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.08    0.02    5.76 v mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.03    0.11    5.87 v mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.03    0.00    5.87 v mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.06    0.16    6.03 v mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.06    0.00    6.03 v mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.13    6.16 v mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.06    0.00    6.16 v mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.11    6.27 v mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.04    0.00    6.27 v mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.10    6.37 v mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.04    0.00    6.37 v mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.29    6.65 v mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.06    0.00    6.65 v mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.29    6.95 v mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.05    0.00    6.95 v mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.31    7.26 v mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    7.26 v mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.31    7.56 v mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.06    0.00    7.56 v mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.21    7.77 v mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.04                           mprj/wbd_clk_mbist1_skew (net)
+                  0.11    0.00    7.78 v mprj/u_mbist1/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.21    7.99 v mprj/u_mbist1/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2103 (net)
+                  0.12    0.00    7.99 v mprj/u_mbist1/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.06    0.21    8.20 v mprj/u_mbist1/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2102 (net)
+                  0.06    0.00    8.20 v mprj/u_mbist1/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.16    8.36 v mprj/u_mbist1/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2101 (net)
+                  0.06    0.01    8.37 v mprj/u_mbist1/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.16    8.53 v mprj/u_mbist1/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist1/clknet_0_wb_clk2_i (net)
+                  0.03    0.00    8.53 v mprj/u_mbist1/clkbuf_1_0__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.13    8.66 v mprj/u_mbist1/clkbuf_1_0__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_mbist1/clknet_1_0__leaf_wb_clk2_i (net)
+                  0.03    0.00    8.66 v mprj/u_mbist1/wire14/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.25    8.91 v mprj/u_mbist1/wire14/X (sky130_fd_sc_hd__clkbuf_4)
+     8    0.09                           mprj/u_mbist1/net2107 (net)
+                  0.17    0.01    8.92 v mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
+                  0.05    0.35    9.27 v mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.00                           mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.05    0.00    9.27 v mprj/u_mbist1/wire13/A (sky130_fd_sc_hd__buf_2)
+                  0.13    0.22    9.50 v mprj/u_mbist1/wire13/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mbist1/net2106 (net)
+                  0.13    0.00    9.50 v mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.08    0.24    9.74 v mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mbist1/clknet_0_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.08    0.01    9.74 v mprj/u_mbist1/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    9.92 v mprj/u_mbist1/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_mbist1/clknet_3_7__leaf_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.04    0.00    9.92 v mprj/u_mbist1/_4688_/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.13   10.05 v mprj/u_mbist1/_4688_/X (sky130_fd_sc_hd__buf_2)
+     1    0.01                           mprj/u_mbist1/net241 (net)
+                  0.03    0.00   10.05 v mprj/u_mbist1/output241/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.36    0.34   10.39 v mprj/u_mbist1/output241/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.06                           mprj/mem_clk_a[6] (net)
+                  0.48    0.01   10.40 v mprj/u_sram6_2kb/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8)
+                  0.02    0.64   11.05 v mprj/u_sram6_2kb/dout0[3] (sky130_sram_2kbyte_1rw1r_32x512_8)
+     2    0.04                           mprj/mem6_dout_a[3] (net)
+                  0.02    0.01   11.05 v mprj/u_mbist1/input94/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.14    0.19   11.24 v mprj/u_mbist1/input94/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.04                           mprj/u_mbist1/net94 (net)
+                  0.14    0.00   11.24 v mprj/u_mbist1/wire1978/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.26   11.50 v mprj/u_mbist1/wire1978/X (sky130_fd_sc_hd__buf_4)
+     6    0.10                           mprj/u_mbist1/net1978 (net)
+                  0.14    0.03   11.53 v mprj/u_mbist1/_3568_/A2 (sky130_fd_sc_hd__a221o_1)
+                  0.18    0.53   12.06 v mprj/u_mbist1/_3568_/X (sky130_fd_sc_hd__a221o_1)
+     2    0.03                           mprj/u_mbist1/_1662_ (net)
+                  0.18    0.00   12.06 v mprj/u_mbist1/_3569_/B1 (sky130_fd_sc_hd__a21o_1)
+                  0.03    0.21   12.27 v mprj/u_mbist1/_3569_/X (sky130_fd_sc_hd__a21o_1)
+     1    0.00                           mprj/u_mbist1/_1663_ (net)
+                  0.03    0.00   12.27 v mprj/u_mbist1/_3570_/B1 (sky130_fd_sc_hd__o21a_2)
+                  0.19    0.25   12.52 v mprj/u_mbist1/_3570_/X (sky130_fd_sc_hd__o21a_2)
+     4    0.07                           mprj/u_mbist1/_1664_ (net)
+                  0.19    0.01   12.53 v mprj/u_mbist1/_3793_/A (sky130_fd_sc_hd__or2_1)
+                  0.06    0.30   12.83 v mprj/u_mbist1/_3793_/X (sky130_fd_sc_hd__or2_1)
+     1    0.00                           mprj/u_mbist1/_1819_ (net)
+                  0.06    0.00   12.83 v mprj/u_mbist1/_3795_/B1 (sky130_fd_sc_hd__a22o_1)
+                  0.06    0.21   13.04 v mprj/u_mbist1/_3795_/X (sky130_fd_sc_hd__a22o_1)
+     1    0.01                           mprj/u_mbist1/_0488_ (net)
+                  0.06    0.00   13.04 v mprj/u_mbist1/_4538_/D (sky130_fd_sc_hd__dfrtp_2)
+                                 13.04   data arrival time
+
+                         10.00   10.00   clock wbs_clk_i (rise edge)
+                          0.00   10.00   clock source latency
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.29   10.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00   10.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.22   10.51 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03   10.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.20   10.74 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02   10.76 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11   10.87 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00   10.87 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.16   11.02 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00   11.03 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   11.17 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00   11.17 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.39 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.87 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00   11.87 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.10 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00   12.10 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.33 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00   12.34 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.53 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00   12.53 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.69 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00   12.70 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.86 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.86 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   12.98 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   12.98 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.10 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.10 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.21 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.21 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.39 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.39 ^ mprj/u_mbist1/wire7/A (sky130_fd_sc_hd__buf_8)
+                  0.20    0.22   13.61 ^ mprj/u_mbist1/wire7/X (sky130_fd_sc_hd__buf_8)
+    18    0.12                           mprj/u_mbist1/net2100 (net)
+                  0.20    0.01   13.63 ^ mprj/u_mbist1/wire6/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.27   13.90 ^ mprj/u_mbist1/wire6/X (sky130_fd_sc_hd__buf_4)
+     8    0.07                           mprj/u_mbist1/net2099 (net)
+                  0.21    0.00   13.90 ^ mprj/u_mbist1/clkbuf_leaf_14_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.23   14.13 ^ mprj/u_mbist1/clkbuf_leaf_14_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           mprj/u_mbist1/clknet_leaf_14_wb_clk_i (net)
+                  0.11    0.00   14.13 ^ mprj/u_mbist1/_4538_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                         -0.25   13.88   clock uncertainty
+                          0.16   14.04   clock reconvergence pessimism
+                         -0.11   13.94   library setup time
+                                 13.94   data required time
+-----------------------------------------------------------------------------
+                                 13.94   data required time
+                                -13.04   data arrival time
+-----------------------------------------------------------------------------
+                                  0.89   slack (MET)
+
+
+Startpoint: mprj/u_sram6_2kb
+            (falling edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist1/_4489_
+          (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Path Group: wbs_clk_i
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          5.00    5.00   clock wbs_clk_i (fall edge)
+                          0.00    5.00   clock source latency
+                  0.42    0.00    5.00 v mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.07                           mprj/wbd_clk_int (net)
+                  0.42    0.00    5.00 v mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.08    0.34    5.34 v mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.08    0.00    5.34 v mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.19    5.53 v mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.10    0.03    5.56 v mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.19    5.74 v mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.08    0.02    5.76 v mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.03    0.11    5.87 v mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.03    0.00    5.87 v mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.06    0.16    6.03 v mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.06    0.00    6.03 v mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.13    6.16 v mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.06    0.00    6.16 v mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.11    6.27 v mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.04    0.00    6.27 v mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.10    6.37 v mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.04    0.00    6.37 v mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.29    6.65 v mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.06    0.00    6.65 v mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.29    6.95 v mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.05    0.00    6.95 v mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.31    7.26 v mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    7.26 v mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.31    7.56 v mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.06    0.00    7.56 v mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.21    7.77 v mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.04                           mprj/wbd_clk_mbist1_skew (net)
+                  0.11    0.00    7.78 v mprj/u_mbist1/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.21    7.99 v mprj/u_mbist1/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2103 (net)
+                  0.12    0.00    7.99 v mprj/u_mbist1/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.06    0.21    8.20 v mprj/u_mbist1/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2102 (net)
+                  0.06    0.00    8.20 v mprj/u_mbist1/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.16    8.36 v mprj/u_mbist1/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2101 (net)
+                  0.06    0.01    8.37 v mprj/u_mbist1/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.16    8.53 v mprj/u_mbist1/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist1/clknet_0_wb_clk2_i (net)
+                  0.03    0.00    8.53 v mprj/u_mbist1/clkbuf_1_0__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.13    8.66 v mprj/u_mbist1/clkbuf_1_0__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_mbist1/clknet_1_0__leaf_wb_clk2_i (net)
+                  0.03    0.00    8.66 v mprj/u_mbist1/wire14/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.25    8.91 v mprj/u_mbist1/wire14/X (sky130_fd_sc_hd__clkbuf_4)
+     8    0.09                           mprj/u_mbist1/net2107 (net)
+                  0.17    0.01    8.92 v mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
+                  0.05    0.35    9.27 v mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.00                           mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.05    0.00    9.27 v mprj/u_mbist1/wire13/A (sky130_fd_sc_hd__buf_2)
+                  0.13    0.22    9.50 v mprj/u_mbist1/wire13/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mbist1/net2106 (net)
+                  0.13    0.00    9.50 v mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.08    0.24    9.74 v mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mbist1/clknet_0_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.08    0.01    9.74 v mprj/u_mbist1/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    9.92 v mprj/u_mbist1/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_mbist1/clknet_3_7__leaf_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.04    0.00    9.92 v mprj/u_mbist1/_4688_/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.13   10.05 v mprj/u_mbist1/_4688_/X (sky130_fd_sc_hd__buf_2)
+     1    0.01                           mprj/u_mbist1/net241 (net)
+                  0.03    0.00   10.05 v mprj/u_mbist1/output241/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.36    0.34   10.39 v mprj/u_mbist1/output241/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.06                           mprj/mem_clk_a[6] (net)
+                  0.48    0.01   10.40 v mprj/u_sram6_2kb/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8)
+                  0.01    0.53   10.93 v mprj/u_sram6_2kb/dout0[24] (sky130_sram_2kbyte_1rw1r_32x512_8)
+     2    0.02                           mprj/mem6_dout_a[24] (net)
+                  0.01    0.00   10.93 v mprj/u_mbist1/input85/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.18   11.11 v mprj/u_mbist1/input85/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net85 (net)
+                  0.13    0.00   11.12 v mprj/u_mbist1/wire1988/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.26   11.38 v mprj/u_mbist1/wire1988/X (sky130_fd_sc_hd__buf_4)
+     6    0.10                           mprj/u_mbist1/net1988 (net)
+                  0.14    0.03   11.41 v mprj/u_mbist1/_3652_/A2 (sky130_fd_sc_hd__a21o_1)
+                  0.12    0.30   11.71 v mprj/u_mbist1/_3652_/X (sky130_fd_sc_hd__a21o_1)
+     2    0.02                           mprj/u_mbist1/_1725_ (net)
+                  0.12    0.00   11.71 v mprj/u_mbist1/_3653_/C1 (sky130_fd_sc_hd__a221o_1)
+                  0.11    0.36   12.07 v mprj/u_mbist1/_3653_/X (sky130_fd_sc_hd__a221o_1)
+     1    0.01                           mprj/u_mbist1/_1726_ (net)
+                  0.11    0.00   12.07 v mprj/u_mbist1/_3654_/B1 (sky130_fd_sc_hd__o21a_1)
+                  0.23    0.30   12.37 v mprj/u_mbist1/_3654_/X (sky130_fd_sc_hd__o21a_1)
+     4    0.05                           mprj/u_mbist1/_1727_ (net)
+                  0.23    0.00   12.37 v mprj/u_mbist1/_3655_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.37   12.75 v mprj/u_mbist1/_3655_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/_0441_ (net)
+                  0.05    0.00   12.75 v mprj/u_mbist1/_4489_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 12.75   data arrival time
+
+                         10.00   10.00   clock wbs_clk_i (rise edge)
+                          0.00   10.00   clock source latency
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.29   10.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00   10.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.22   10.51 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03   10.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.20   10.74 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02   10.76 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11   10.87 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00   10.87 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.16   11.02 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00   11.03 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   11.17 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00   11.17 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.39 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.87 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00   11.87 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.10 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00   12.10 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.33 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00   12.34 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.53 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00   12.53 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.69 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00   12.70 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.86 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.86 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   12.98 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   12.98 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.10 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.10 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.21 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.21 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.39 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.39 ^ mprj/u_mbist1/wire7/A (sky130_fd_sc_hd__buf_8)
+                  0.20    0.22   13.61 ^ mprj/u_mbist1/wire7/X (sky130_fd_sc_hd__buf_8)
+    18    0.12                           mprj/u_mbist1/net2100 (net)
+                  0.20    0.01   13.63 ^ mprj/u_mbist1/clkbuf_leaf_10_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.22   13.85 ^ mprj/u_mbist1/clkbuf_leaf_10_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           mprj/u_mbist1/clknet_leaf_10_wb_clk_i (net)
+                  0.10    0.00   13.85 ^ mprj/u_mbist1/_4489_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   13.60   clock uncertainty
+                          0.16   13.76   clock reconvergence pessimism
+                         -0.10   13.66   library setup time
+                                 13.66   data required time
+-----------------------------------------------------------------------------
+                                 13.66   data required time
+                                -12.75   data arrival time
+-----------------------------------------------------------------------------
+                                  0.91   slack (MET)
+
+
+Startpoint: mprj/u_sram6_2kb
+            (falling edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist1/_4495_
+          (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Path Group: wbs_clk_i
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          5.00    5.00   clock wbs_clk_i (fall edge)
+                          0.00    5.00   clock source latency
+                  0.42    0.00    5.00 v mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.07                           mprj/wbd_clk_int (net)
+                  0.42    0.00    5.00 v mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.08    0.34    5.34 v mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.08    0.00    5.34 v mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.19    5.53 v mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.10    0.03    5.56 v mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.19    5.74 v mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.08    0.02    5.76 v mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.03    0.11    5.87 v mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.03    0.00    5.87 v mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.06    0.16    6.03 v mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.06    0.00    6.03 v mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.13    6.16 v mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.06    0.00    6.16 v mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.11    6.27 v mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.04    0.00    6.27 v mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.10    6.37 v mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.04    0.00    6.37 v mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.29    6.65 v mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.06    0.00    6.65 v mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.29    6.95 v mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.05    0.00    6.95 v mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.31    7.26 v mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    7.26 v mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.31    7.56 v mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.06    0.00    7.56 v mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.21    7.77 v mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.04                           mprj/wbd_clk_mbist1_skew (net)
+                  0.11    0.00    7.78 v mprj/u_mbist1/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.21    7.99 v mprj/u_mbist1/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2103 (net)
+                  0.12    0.00    7.99 v mprj/u_mbist1/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.06    0.21    8.20 v mprj/u_mbist1/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2102 (net)
+                  0.06    0.00    8.20 v mprj/u_mbist1/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.16    8.36 v mprj/u_mbist1/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2101 (net)
+                  0.06    0.01    8.37 v mprj/u_mbist1/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.16    8.53 v mprj/u_mbist1/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist1/clknet_0_wb_clk2_i (net)
+                  0.03    0.00    8.53 v mprj/u_mbist1/clkbuf_1_0__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.13    8.66 v mprj/u_mbist1/clkbuf_1_0__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_mbist1/clknet_1_0__leaf_wb_clk2_i (net)
+                  0.03    0.00    8.66 v mprj/u_mbist1/wire14/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.25    8.91 v mprj/u_mbist1/wire14/X (sky130_fd_sc_hd__clkbuf_4)
+     8    0.09                           mprj/u_mbist1/net2107 (net)
+                  0.17    0.01    8.92 v mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
+                  0.05    0.35    9.27 v mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.00                           mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.05    0.00    9.27 v mprj/u_mbist1/wire13/A (sky130_fd_sc_hd__buf_2)
+                  0.13    0.22    9.50 v mprj/u_mbist1/wire13/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mbist1/net2106 (net)
+                  0.13    0.00    9.50 v mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.08    0.24    9.74 v mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mbist1/clknet_0_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.08    0.01    9.74 v mprj/u_mbist1/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    9.92 v mprj/u_mbist1/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_mbist1/clknet_3_7__leaf_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.04    0.00    9.92 v mprj/u_mbist1/_4688_/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.13   10.05 v mprj/u_mbist1/_4688_/X (sky130_fd_sc_hd__buf_2)
+     1    0.01                           mprj/u_mbist1/net241 (net)
+                  0.03    0.00   10.05 v mprj/u_mbist1/output241/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.36    0.34   10.39 v mprj/u_mbist1/output241/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.06                           mprj/mem_clk_a[6] (net)
+                  0.48    0.01   10.40 v mprj/u_sram6_2kb/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8)
+                  0.02    0.56   10.96 v mprj/u_sram6_2kb/dout0[30] (sky130_sram_2kbyte_1rw1r_32x512_8)
+     2    0.03                           mprj/mem6_dout_a[30] (net)
+                  0.01    0.00   10.96 v mprj/u_mbist1/input92/A (sky130_fd_sc_hd__buf_6)
+                  0.04    0.12   11.09 v mprj/u_mbist1/input92/X (sky130_fd_sc_hd__buf_6)
+     2    0.03                           mprj/u_mbist1/net92 (net)
+                  0.04    0.00   11.09 v mprj/u_mbist1/wire1980/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.19   11.28 v mprj/u_mbist1/wire1980/X (sky130_fd_sc_hd__buf_6)
+     6    0.12                           mprj/u_mbist1/net1980 (net)
+                  0.11    0.01   11.29 v mprj/u_mbist1/_3676_/A2 (sky130_fd_sc_hd__a221o_1)
+                  0.11    0.45   11.74 v mprj/u_mbist1/_3676_/X (sky130_fd_sc_hd__a221o_1)
+     1    0.01                           mprj/u_mbist1/_1743_ (net)
+                  0.11    0.00   11.74 v mprj/u_mbist1/_3677_/B1 (sky130_fd_sc_hd__a21o_1)
+                  0.12    0.27   12.01 v mprj/u_mbist1/_3677_/X (sky130_fd_sc_hd__a21o_1)
+     1    0.02                           mprj/u_mbist1/_1744_ (net)
+                  0.12    0.00   12.01 v mprj/u_mbist1/_3678_/B1 (sky130_fd_sc_hd__o21a_1)
+                  0.09    0.19   12.20 v mprj/u_mbist1/_3678_/X (sky130_fd_sc_hd__o21a_1)
+     2    0.02                           mprj/u_mbist1/_1745_ (net)
+                  0.09    0.00   12.20 v mprj/u_mbist1/_3679_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.32   12.52 v mprj/u_mbist1/_3679_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/_0447_ (net)
+                  0.06    0.00   12.52 v mprj/u_mbist1/_4495_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 12.52   data arrival time
+
+                         10.00   10.00   clock wbs_clk_i (rise edge)
+                          0.00   10.00   clock source latency
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.29   10.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00   10.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.22   10.51 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03   10.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.20   10.74 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02   10.76 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11   10.87 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00   10.87 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.16   11.02 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00   11.03 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   11.17 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00   11.17 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.39 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.87 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00   11.87 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.10 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00   12.10 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.33 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00   12.34 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.53 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00   12.53 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.69 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00   12.70 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.86 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.86 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   12.98 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   12.98 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.10 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.10 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.21 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.21 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.39 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.40 ^ mprj/u_mbist1/clkbuf_leaf_7_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.22   13.62 ^ mprj/u_mbist1/clkbuf_leaf_7_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.12                           mprj/u_mbist1/clknet_leaf_7_wb_clk_i (net)
+                  0.14    0.01   13.62 ^ mprj/u_mbist1/_4495_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   13.37   clock uncertainty
+                          0.16   13.54   clock reconvergence pessimism
+                         -0.10   13.44   library setup time
+                                 13.44   data required time
+-----------------------------------------------------------------------------
+                                 13.44   data required time
+                                -12.52   data arrival time
+-----------------------------------------------------------------------------
+                                  0.92   slack (MET)
+
+
+Startpoint: mprj/u_sram7_2kb
+            (falling edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist1/_4070_
+          (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Path Group: wbs_clk_i
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          5.00    5.00   clock wbs_clk_i (fall edge)
+                          0.00    5.00   clock source latency
+                  0.42    0.00    5.00 v mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.07                           mprj/wbd_clk_int (net)
+                  0.42    0.00    5.00 v mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.08    0.34    5.34 v mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.08    0.00    5.34 v mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.19    5.53 v mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.10    0.03    5.56 v mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.19    5.74 v mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.08    0.02    5.76 v mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.03    0.11    5.87 v mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.03    0.00    5.87 v mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.06    0.16    6.03 v mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.06    0.00    6.03 v mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.13    6.16 v mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.06    0.00    6.16 v mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.11    6.27 v mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.04    0.00    6.27 v mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.10    6.37 v mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.04    0.00    6.37 v mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.29    6.65 v mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.06    0.00    6.65 v mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.29    6.95 v mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.05    0.00    6.95 v mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.31    7.26 v mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    7.26 v mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.31    7.56 v mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.06    0.00    7.56 v mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.21    7.77 v mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.04                           mprj/wbd_clk_mbist1_skew (net)
+                  0.11    0.00    7.78 v mprj/u_mbist1/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.21    7.99 v mprj/u_mbist1/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2103 (net)
+                  0.12    0.00    7.99 v mprj/u_mbist1/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.06    0.21    8.20 v mprj/u_mbist1/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2102 (net)
+                  0.06    0.00    8.20 v mprj/u_mbist1/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.16    8.36 v mprj/u_mbist1/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2101 (net)
+                  0.06    0.01    8.37 v mprj/u_mbist1/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.16    8.53 v mprj/u_mbist1/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist1/clknet_0_wb_clk2_i (net)
+                  0.03    0.00    8.53 v mprj/u_mbist1/clkbuf_1_1__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.18    8.70 v mprj/u_mbist1/clkbuf_1_1__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.07                           mprj/u_mbist1/clknet_1_1__leaf_wb_clk2_i (net)
+                  0.06    0.00    8.70 v mprj/u_mbist1/u_mbist.mem_no[3].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
+                  0.06    0.32    9.02 v mprj/u_mbist1/u_mbist.mem_no[3].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_mbist1/u_mbist.mem_no[3].u_mem_sel.mem_clk (net)
+                  0.06    0.00    9.02 v mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[3].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    9.21 v mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[3].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.07                           mprj/u_mbist1/clknet_0_u_mbist.mem_no[3].u_mem_sel.mem_clk (net)
+                  0.06    0.00    9.22 v mprj/u_mbist1/clkbuf_3_7__f_u_mbist.mem_no[3].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.18    9.40 v mprj/u_mbist1/clkbuf_3_7__f_u_mbist.mem_no[3].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.05                           mprj/u_mbist1/clknet_3_7__leaf_u_mbist.mem_no[3].u_mem_sel.mem_clk (net)
+                  0.05    0.00    9.40 v mprj/u_mbist1/_4689_/A (sky130_fd_sc_hd__buf_2)
+                  0.05    0.16    9.56 v mprj/u_mbist1/_4689_/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/u_mbist1/net242 (net)
+                  0.05    0.00    9.56 v mprj/u_mbist1/wire11/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.19    9.75 v mprj/u_mbist1/wire11/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2104 (net)
+                  0.13    0.00    9.76 v mprj/u_mbist1/output242/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.25    0.30   10.06 v mprj/u_mbist1/output242/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.04                           mprj/mem_clk_a[7] (net)
+                  0.33    0.01   10.06 v mprj/u_sram7_2kb/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8)
+                  0.03    0.68   10.74 ^ mprj/u_sram7_2kb/dout0[29] (sky130_sram_2kbyte_1rw1r_32x512_8)
+     2    0.05                           mprj/mem7_dout_a[29] (net)
+                  0.02    0.01   10.75 ^ mprj/u_mbist1/input122/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.34    0.30   11.05 ^ mprj/u_mbist1/input122/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     6    0.03                           mprj/u_mbist1/net122 (net)
+                  0.34    0.00   11.05 ^ mprj/u_mbist1/_2791_/A1_N (sky130_fd_sc_hd__o2bb2a_1)
+                  0.05    0.27   11.32 v mprj/u_mbist1/_2791_/X (sky130_fd_sc_hd__o2bb2a_1)
+     1    0.00                           mprj/u_mbist1/_1288_ (net)
+                  0.05    0.00   11.32 v mprj/u_mbist1/_2792_/C1 (sky130_fd_sc_hd__o221a_1)
+                  0.05    0.12   11.45 v mprj/u_mbist1/_2792_/X (sky130_fd_sc_hd__o221a_1)
+     1    0.00                           mprj/u_mbist1/_1289_ (net)
+                  0.05    0.00   11.45 v mprj/u_mbist1/_2795_/B (sky130_fd_sc_hd__and3_1)
+                  0.05    0.18   11.62 v mprj/u_mbist1/_2795_/X (sky130_fd_sc_hd__and3_1)
+     1    0.01                           mprj/u_mbist1/_1292_ (net)
+                  0.05    0.00   11.62 v mprj/u_mbist1/_2798_/A (sky130_fd_sc_hd__and3_1)
+                  0.07    0.18   11.80 v mprj/u_mbist1/_2798_/X (sky130_fd_sc_hd__and3_1)
+     1    0.01                           mprj/u_mbist1/_1295_ (net)
+                  0.07    0.00   11.80 v mprj/u_mbist1/_2807_/C (sky130_fd_sc_hd__and4_1)
+                  0.11    0.28   12.08 v mprj/u_mbist1/_2807_/X (sky130_fd_sc_hd__and4_1)
+     1    0.02                           mprj/u_mbist1/_1304_ (net)
+                  0.11    0.00   12.09 v mprj/u_mbist1/_2808_/A3 (sky130_fd_sc_hd__a31oi_4)
+                  0.35    0.38   12.47 ^ mprj/u_mbist1/_2808_/Y (sky130_fd_sc_hd__a31oi_4)
+     2    0.04                           mprj/u_mbist1/_0012_ (net)
+                  0.35    0.01   12.47 ^ mprj/u_mbist1/_4070_/D (sky130_fd_sc_hd__dfrtp_2)
+                                 12.47   data arrival time
+
+                         10.00   10.00   clock wbs_clk_i (rise edge)
+                          0.00   10.00   clock source latency
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.29   10.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00   10.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.22   10.51 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03   10.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.20   10.74 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02   10.76 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11   10.87 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00   10.87 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.16   11.02 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00   11.03 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   11.17 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00   11.17 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.39 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.87 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00   11.87 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.10 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00   12.10 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.33 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00   12.34 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.53 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00   12.53 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.69 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00   12.70 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.86 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.86 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   12.98 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   12.98 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.10 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.10 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.21 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.21 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.39 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.39 ^ mprj/u_mbist1/clkbuf_leaf_9_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.22   13.61 ^ mprj/u_mbist1/clkbuf_leaf_9_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.12                           mprj/u_mbist1/clknet_leaf_9_wb_clk_i (net)
+                  0.14    0.01   13.62 ^ mprj/u_mbist1/_4070_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                         -0.25   13.37   clock uncertainty
+                          0.16   13.54   clock reconvergence pessimism
+                         -0.12   13.42   library setup time
+                                 13.42   data required time
+-----------------------------------------------------------------------------
+                                 13.42   data required time
+                                -12.47   data arrival time
+-----------------------------------------------------------------------------
+                                  0.94   slack (MET)
+
+
+Startpoint: mprj/u_sram2_2kb
+            (falling edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist0/_4538_
+          (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Path Group: wbs_clk_i
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          5.00    5.00   clock wbs_clk_i (fall edge)
+                          0.00    5.00   clock source latency
+                  0.42    0.00    5.00 v mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.07                           mprj/wbd_clk_int (net)
+                  0.42    0.00    5.00 v mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.18    0.42    5.43 v mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.18    0.00    5.43 v mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.18    5.61 v mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.07    0.00    5.62 v mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.08    0.19    5.81 v mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.08    0.00    5.81 v mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.14    5.95 v mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.06    0.00    5.95 v mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.11    6.06 v mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.04    0.00    6.06 v mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.10    6.15 v mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.04    0.00    6.15 v mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.12    6.27 v mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.06    0.00    6.27 v mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.11    6.38 v mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.04    0.00    6.38 v mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.29    6.67 v mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.06    0.00    6.67 v mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.29    6.96 v mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.05    0.00    6.96 v mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.32    7.28 v mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00    7.28 v mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.31    7.59 v mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.06    0.00    7.59 v mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.21    7.80 v mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.04                           mprj/wbd_clk_mbist0_skew (net)
+                  0.11    0.00    7.80 v mprj/u_mbist0/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.21    8.01 v mprj/u_mbist0/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2103 (net)
+                  0.12    0.00    8.01 v mprj/u_mbist0/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.06    0.21    8.22 v mprj/u_mbist0/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2102 (net)
+                  0.06    0.00    8.23 v mprj/u_mbist0/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.16    8.39 v mprj/u_mbist0/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2101 (net)
+                  0.06    0.01    8.39 v mprj/u_mbist0/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.16    8.55 v mprj/u_mbist0/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist0/clknet_0_wb_clk2_i (net)
+                  0.03    0.00    8.55 v mprj/u_mbist0/clkbuf_1_0__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.13    8.68 v mprj/u_mbist0/clkbuf_1_0__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_mbist0/clknet_1_0__leaf_wb_clk2_i (net)
+                  0.03    0.00    8.68 v mprj/u_mbist0/wire14/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.25    8.93 v mprj/u_mbist0/wire14/X (sky130_fd_sc_hd__clkbuf_4)
+     8    0.09                           mprj/u_mbist0/net2107 (net)
+                  0.17    0.01    8.95 v mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
+                  0.05    0.35    9.30 v mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.00                           mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.05    0.00    9.30 v mprj/u_mbist0/wire13/A (sky130_fd_sc_hd__buf_2)
+                  0.13    0.22    9.52 v mprj/u_mbist0/wire13/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mbist0/net2106 (net)
+                  0.13    0.00    9.52 v mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.08    0.24    9.76 v mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mbist0/clknet_0_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.08    0.01    9.77 v mprj/u_mbist0/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    9.95 v mprj/u_mbist0/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_mbist0/clknet_3_7__leaf_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.04    0.00    9.95 v mprj/u_mbist0/_4688_/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.13   10.08 v mprj/u_mbist0/_4688_/X (sky130_fd_sc_hd__buf_2)
+     1    0.01                           mprj/u_mbist0/net241 (net)
+                  0.03    0.00   10.08 v mprj/u_mbist0/output241/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.36    0.34   10.41 v mprj/u_mbist0/output241/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.06                           mprj/mem_clk_a[2] (net)
+                  0.48    0.01   10.43 v mprj/u_sram2_2kb/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8)
+                  0.02    0.63   11.06 v mprj/u_sram2_2kb/dout0[3] (sky130_sram_2kbyte_1rw1r_32x512_8)
+     2    0.04                           mprj/mem2_dout_a[3] (net)
+                  0.02    0.01   11.06 v mprj/u_mbist0/input94/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.14    0.19   11.25 v mprj/u_mbist0/input94/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.04                           mprj/u_mbist0/net94 (net)
+                  0.14    0.00   11.25 v mprj/u_mbist0/wire1978/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.26   11.51 v mprj/u_mbist0/wire1978/X (sky130_fd_sc_hd__buf_4)
+     6    0.10                           mprj/u_mbist0/net1978 (net)
+                  0.14    0.03   11.54 v mprj/u_mbist0/_3568_/A2 (sky130_fd_sc_hd__a221o_1)
+                  0.18    0.53   12.07 v mprj/u_mbist0/_3568_/X (sky130_fd_sc_hd__a221o_1)
+     2    0.03                           mprj/u_mbist0/_1662_ (net)
+                  0.18    0.00   12.08 v mprj/u_mbist0/_3569_/B1 (sky130_fd_sc_hd__a21o_1)
+                  0.03    0.21   12.29 v mprj/u_mbist0/_3569_/X (sky130_fd_sc_hd__a21o_1)
+     1    0.00                           mprj/u_mbist0/_1663_ (net)
+                  0.03    0.00   12.29 v mprj/u_mbist0/_3570_/B1 (sky130_fd_sc_hd__o21a_2)
+                  0.19    0.25   12.53 v mprj/u_mbist0/_3570_/X (sky130_fd_sc_hd__o21a_2)
+     4    0.07                           mprj/u_mbist0/_1664_ (net)
+                  0.19    0.01   12.55 v mprj/u_mbist0/_3793_/A (sky130_fd_sc_hd__or2_1)
+                  0.06    0.30   12.84 v mprj/u_mbist0/_3793_/X (sky130_fd_sc_hd__or2_1)
+     1    0.00                           mprj/u_mbist0/_1819_ (net)
+                  0.06    0.00   12.84 v mprj/u_mbist0/_3795_/B1 (sky130_fd_sc_hd__a22o_1)
+                  0.06    0.21   13.05 v mprj/u_mbist0/_3795_/X (sky130_fd_sc_hd__a22o_1)
+     1    0.01                           mprj/u_mbist0/_0488_ (net)
+                  0.06    0.00   13.05 v mprj/u_mbist0/_4538_/D (sky130_fd_sc_hd__dfrtp_2)
+                                 13.05   data arrival time
+
+                         10.00   10.00   clock wbs_clk_i (rise edge)
+                          0.00   10.00   clock source latency
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.41   10.41 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00   10.41 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.19   10.60 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00   10.60 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.21   10.81 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00   10.81 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   10.97 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00   10.97 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11   11.44 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00   11.44 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.93 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00   11.93 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.16 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00   12.16 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.39 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00   12.40 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.59 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00   12.59 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.75 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00   12.75 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.92 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.92 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   13.04 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   13.04 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.16 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.16 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.27 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.27 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.45 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.45 ^ mprj/u_mbist0/wire7/A (sky130_fd_sc_hd__buf_8)
+                  0.20    0.22   13.67 ^ mprj/u_mbist0/wire7/X (sky130_fd_sc_hd__buf_8)
+    18    0.12                           mprj/u_mbist0/net2100 (net)
+                  0.20    0.01   13.69 ^ mprj/u_mbist0/wire6/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.27   13.95 ^ mprj/u_mbist0/wire6/X (sky130_fd_sc_hd__buf_4)
+     8    0.07                           mprj/u_mbist0/net2099 (net)
+                  0.21    0.00   13.96 ^ mprj/u_mbist0/clkbuf_leaf_14_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.23   14.18 ^ mprj/u_mbist0/clkbuf_leaf_14_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           mprj/u_mbist0/clknet_leaf_14_wb_clk_i (net)
+                  0.11    0.00   14.19 ^ mprj/u_mbist0/_4538_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                         -0.25   13.94   clock uncertainty
+                          0.17   14.11   clock reconvergence pessimism
+                         -0.11   14.00   library setup time
+                                 14.00   data required time
+-----------------------------------------------------------------------------
+                                 14.00   data required time
+                                -13.05   data arrival time
+-----------------------------------------------------------------------------
+                                  0.94   slack (MET)
+
+
+Startpoint: mprj/u_sram2_2kb
+            (falling edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist0/_4495_
+          (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Path Group: wbs_clk_i
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          5.00    5.00   clock wbs_clk_i (fall edge)
+                          0.00    5.00   clock source latency
+                  0.42    0.00    5.00 v mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.07                           mprj/wbd_clk_int (net)
+                  0.42    0.00    5.00 v mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.18    0.42    5.43 v mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.18    0.00    5.43 v mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.18    5.61 v mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.07    0.00    5.62 v mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.08    0.19    5.81 v mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.08    0.00    5.81 v mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.14    5.95 v mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.06    0.00    5.95 v mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.11    6.06 v mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.04    0.00    6.06 v mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.10    6.15 v mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.04    0.00    6.15 v mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.12    6.27 v mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.06    0.00    6.27 v mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.11    6.38 v mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.04    0.00    6.38 v mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.29    6.67 v mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.06    0.00    6.67 v mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.29    6.96 v mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.05    0.00    6.96 v mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.32    7.28 v mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00    7.28 v mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.31    7.59 v mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.06    0.00    7.59 v mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.21    7.80 v mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.04                           mprj/wbd_clk_mbist0_skew (net)
+                  0.11    0.00    7.80 v mprj/u_mbist0/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.21    8.01 v mprj/u_mbist0/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2103 (net)
+                  0.12    0.00    8.01 v mprj/u_mbist0/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.06    0.21    8.22 v mprj/u_mbist0/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2102 (net)
+                  0.06    0.00    8.23 v mprj/u_mbist0/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.16    8.39 v mprj/u_mbist0/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2101 (net)
+                  0.06    0.01    8.39 v mprj/u_mbist0/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.16    8.55 v mprj/u_mbist0/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist0/clknet_0_wb_clk2_i (net)
+                  0.03    0.00    8.55 v mprj/u_mbist0/clkbuf_1_0__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.13    8.68 v mprj/u_mbist0/clkbuf_1_0__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_mbist0/clknet_1_0__leaf_wb_clk2_i (net)
+                  0.03    0.00    8.68 v mprj/u_mbist0/wire14/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.25    8.93 v mprj/u_mbist0/wire14/X (sky130_fd_sc_hd__clkbuf_4)
+     8    0.09                           mprj/u_mbist0/net2107 (net)
+                  0.17    0.01    8.95 v mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
+                  0.05    0.35    9.30 v mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.00                           mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.05    0.00    9.30 v mprj/u_mbist0/wire13/A (sky130_fd_sc_hd__buf_2)
+                  0.13    0.22    9.52 v mprj/u_mbist0/wire13/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mbist0/net2106 (net)
+                  0.13    0.00    9.52 v mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.08    0.24    9.76 v mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mbist0/clknet_0_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.08    0.01    9.77 v mprj/u_mbist0/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    9.95 v mprj/u_mbist0/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_mbist0/clknet_3_7__leaf_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.04    0.00    9.95 v mprj/u_mbist0/_4688_/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.13   10.08 v mprj/u_mbist0/_4688_/X (sky130_fd_sc_hd__buf_2)
+     1    0.01                           mprj/u_mbist0/net241 (net)
+                  0.03    0.00   10.08 v mprj/u_mbist0/output241/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.36    0.34   10.41 v mprj/u_mbist0/output241/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.06                           mprj/mem_clk_a[2] (net)
+                  0.48    0.01   10.43 v mprj/u_sram2_2kb/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8)
+                  0.02    0.57   10.99 v mprj/u_sram2_2kb/dout0[30] (sky130_sram_2kbyte_1rw1r_32x512_8)
+     2    0.03                           mprj/mem2_dout_a[30] (net)
+                  0.01    0.00   11.00 v mprj/u_mbist0/input92/A (sky130_fd_sc_hd__buf_6)
+                  0.04    0.12   11.12 v mprj/u_mbist0/input92/X (sky130_fd_sc_hd__buf_6)
+     2    0.03                           mprj/u_mbist0/net92 (net)
+                  0.04    0.00   11.12 v mprj/u_mbist0/wire1980/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.19   11.32 v mprj/u_mbist0/wire1980/X (sky130_fd_sc_hd__buf_6)
+     6    0.12                           mprj/u_mbist0/net1980 (net)
+                  0.11    0.01   11.32 v mprj/u_mbist0/_3676_/A2 (sky130_fd_sc_hd__a221o_1)
+                  0.11    0.45   11.77 v mprj/u_mbist0/_3676_/X (sky130_fd_sc_hd__a221o_1)
+     1    0.01                           mprj/u_mbist0/_1743_ (net)
+                  0.11    0.00   11.77 v mprj/u_mbist0/_3677_/B1 (sky130_fd_sc_hd__a21o_1)
+                  0.12    0.27   12.04 v mprj/u_mbist0/_3677_/X (sky130_fd_sc_hd__a21o_1)
+     1    0.02                           mprj/u_mbist0/_1744_ (net)
+                  0.12    0.00   12.04 v mprj/u_mbist0/_3678_/B1 (sky130_fd_sc_hd__o21a_1)
+                  0.09    0.19   12.24 v mprj/u_mbist0/_3678_/X (sky130_fd_sc_hd__o21a_1)
+     2    0.02                           mprj/u_mbist0/_1745_ (net)
+                  0.09    0.00   12.24 v mprj/u_mbist0/_3679_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.32   12.55 v mprj/u_mbist0/_3679_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/_0447_ (net)
+                  0.06    0.00   12.55 v mprj/u_mbist0/_4495_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 12.55   data arrival time
+
+                         10.00   10.00   clock wbs_clk_i (rise edge)
+                          0.00   10.00   clock source latency
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.41   10.41 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00   10.41 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.19   10.60 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00   10.60 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.21   10.81 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00   10.81 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   10.97 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00   10.97 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11   11.44 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00   11.44 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.93 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00   11.93 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.16 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00   12.16 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.39 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00   12.40 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.59 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00   12.59 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.75 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00   12.75 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.92 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.92 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   13.04 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   13.04 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.16 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.16 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.27 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.27 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.45 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.45 ^ mprj/u_mbist0/clkbuf_leaf_7_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.22   13.68 ^ mprj/u_mbist0/clkbuf_leaf_7_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.12                           mprj/u_mbist0/clknet_leaf_7_wb_clk_i (net)
+                  0.14    0.01   13.68 ^ mprj/u_mbist0/_4495_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   13.43   clock uncertainty
+                          0.17   13.60   clock reconvergence pessimism
+                         -0.10   13.50   library setup time
+                                 13.50   data required time
+-----------------------------------------------------------------------------
+                                 13.50   data required time
+                                -12.55   data arrival time
 -----------------------------------------------------------------------------
                                   0.95   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_5495_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_wb_host/_5477_
+Startpoint: mprj/u_sram2_2kb
+            (falling edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist0/_4489_
           (rising edge-triggered flip-flop clocked by wbs_clk_i)
 Path Group: wbs_clk_i
 Path Type: max
 
 Fanout     Cap    Slew   Delay    Time   Description
 -----------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.49    0.49 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01    0.50 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.16    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.13    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.15    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.13    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.76 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00    1.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.29    2.45 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00    2.45 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.26    2.71 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00    2.71 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.22    2.93 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00    2.93 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.18    3.11 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    20    0.06                           mprj/u_wb_host/clknet_3_5__leaf_wbs_clk_i (net)
-                  0.08    0.00    3.11 ^ mprj/u_wb_host/_5495_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  1.59    1.54    4.66 ^ mprj/u_wb_host/_5495_/Q (sky130_fd_sc_hd__dfrtp_4)
-   150    0.58                           mprj/u_wb_host/u_async_wb.u_cmd_if.rd_ptr[1] (net)
-                  1.59    0.01    4.67 ^ mprj/u_wb_host/_2907_/A (sky130_fd_sc_hd__xnor2_1)
-                  0.23    0.24    4.90 v mprj/u_wb_host/_2907_/Y (sky130_fd_sc_hd__xnor2_1)
-     2    0.01                           mprj/u_wb_host/_1260_ (net)
-                  0.23    0.00    4.90 v mprj/u_wb_host/_2909_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.37    5.28 v mprj/u_wb_host/_2909_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_1262_ (net)
-                  0.05    0.00    5.28 v mprj/u_wb_host/_2910_/D (sky130_fd_sc_hd__or4_4)
-                  0.59    0.87    6.14 v mprj/u_wb_host/_2910_/X (sky130_fd_sc_hd__or4_4)
-   142    0.42                           mprj/u_wb_host/_1263_ (net)
-                  0.64    0.13    6.27 v mprj/u_wb_host/_2951_/A2 (sky130_fd_sc_hd__a31o_1)
-                  0.16    0.56    6.83 v mprj/u_wb_host/_2951_/X (sky130_fd_sc_hd__a31o_1)
-     2    0.03                           mprj/u_wb_host/net262 (net)
-                  0.16    0.00    6.83 v mprj/u_wb_host/output262/A (sky130_fd_sc_hd__buf_2)
-                  0.11    0.26    7.09 v mprj/u_wb_host/output262/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_int_adr_i[12] (net)
-                  0.11    0.00    7.10 v mprj/u_intercon/input7/A (sky130_fd_sc_hd__buf_12)
-                  0.04    0.18    7.27 v mprj/u_intercon/input7/X (sky130_fd_sc_hd__buf_12)
-     4    0.05                           mprj/u_intercon/net7 (net)
-                  0.04    0.00    7.28 v mprj/u_intercon/_1782_/A (sky130_fd_sc_hd__or4_4)
-                  0.11    0.59    7.87 v mprj/u_intercon/_1782_/X (sky130_fd_sc_hd__or4_4)
-     3    0.02                           mprj/u_intercon/_0982_ (net)
-                  0.11    0.00    7.87 v mprj/u_intercon/_1784_/A3 (sky130_fd_sc_hd__o31a_1)
-                  0.09    0.31    8.18 v mprj/u_intercon/_1784_/X (sky130_fd_sc_hd__o31a_1)
-     4    0.01                           mprj/u_intercon/_0984_ (net)
-                  0.09    0.00    8.18 v mprj/u_intercon/_2009_/A_N (sky130_fd_sc_hd__and2b_4)
-                  0.29    0.45    8.63 ^ mprj/u_intercon/_2009_/X (sky130_fd_sc_hd__and2b_4)
-     6    0.09                           mprj/u_intercon/_1131_ (net)
-                  0.29    0.01    8.65 ^ mprj/u_intercon/fanout772/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.23    0.37    9.01 ^ mprj/u_intercon/fanout772/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.08                           mprj/u_intercon/net772 (net)
-                  0.23    0.00    9.02 ^ mprj/u_intercon/_2010_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.06    0.17    9.19 ^ mprj/u_intercon/_2010_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_intercon/_1132_ (net)
-                  0.06    0.00    9.19 ^ mprj/u_intercon/_2011_/A0 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    9.33 ^ mprj/u_intercon/_2011_/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_intercon/_1133_ (net)
-                  0.04    0.00    9.33 ^ mprj/u_intercon/_2012_/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.28    0.33    9.66 ^ mprj/u_intercon/_2012_/X (sky130_fd_sc_hd__mux2_4)
-     2    0.10                           mprj/u_intercon/net322 (net)
-                  0.28    0.02    9.68 ^ mprj/u_intercon/output322/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19    9.87 ^ mprj/u_intercon/output322/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mprj/wbd_int_ack_o (net)
-                  0.07    0.00    9.87 ^ mprj/u_wb_host/input101/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.16   10.03 ^ mprj/u_wb_host/input101/X (sky130_fd_sc_hd__buf_12)
-    12    0.08                           mprj/u_wb_host/net101 (net)
-                  0.10    0.01   10.04 ^ mprj/u_wb_host/_2728_/A (sky130_fd_sc_hd__inv_8)
-                  0.04    0.06   10.10 v mprj/u_wb_host/_2728_/Y (sky130_fd_sc_hd__inv_8)
-     8    0.04                           mprj/u_wb_host/_1144_ (net)
-                  0.04    0.00   10.10 v mprj/u_wb_host/_3131_/C1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.26   10.36 v mprj/u_wb_host/_3131_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_wb_host/_1399_ (net)
-                  0.05    0.00   10.36 v mprj/u_wb_host/_3132_/A_N (sky130_fd_sc_hd__and4bb_4)
-                  0.17    0.40   10.76 ^ mprj/u_wb_host/_3132_/X (sky130_fd_sc_hd__and4bb_4)
-     5    0.04                           mprj/u_wb_host/_1400_ (net)
-                  0.17    0.00   10.76 ^ mprj/u_wb_host/_3133_/A (sky130_fd_sc_hd__clkinv_2)
-                  0.09    0.13   10.89 v mprj/u_wb_host/_3133_/Y (sky130_fd_sc_hd__clkinv_2)
-     2    0.02                           mprj/u_wb_host/_1401_ (net)
-                  0.09    0.00   10.89 v mprj/u_wb_host/_3135_/B (sky130_fd_sc_hd__nor2_8)
-                  0.97    0.74   11.63 ^ mprj/u_wb_host/_3135_/Y (sky130_fd_sc_hd__nor2_8)
-    72    0.28                           mprj/u_wb_host/_1403_ (net)
-                  0.97    0.00   11.64 ^ mprj/u_wb_host/_3137_/A (sky130_fd_sc_hd__nor2_1)
-                  0.13    0.11   11.74 v mprj/u_wb_host/_3137_/Y (sky130_fd_sc_hd__nor2_1)
-     1    0.00                           mprj/u_wb_host/_0030_ (net)
-                  0.13    0.00   11.74 v mprj/u_wb_host/_5477_/D (sky130_fd_sc_hd__dfrtp_1)
-                                 11.74   data arrival time
+                          5.00    5.00   clock wbs_clk_i (fall edge)
+                          0.00    5.00   clock source latency
+                  0.42    0.00    5.00 v mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.07                           mprj/wbd_clk_int (net)
+                  0.42    0.00    5.00 v mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.18    0.42    5.43 v mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.18    0.00    5.43 v mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.18    5.61 v mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.07    0.00    5.62 v mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.08    0.19    5.81 v mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.08    0.00    5.81 v mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.14    5.95 v mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.06    0.00    5.95 v mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.11    6.06 v mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.04    0.00    6.06 v mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.10    6.15 v mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.04    0.00    6.15 v mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.12    6.27 v mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.06    0.00    6.27 v mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.11    6.38 v mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.04    0.00    6.38 v mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.29    6.67 v mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.06    0.00    6.67 v mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.29    6.96 v mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.05    0.00    6.96 v mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.32    7.28 v mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00    7.28 v mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.31    7.59 v mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.06    0.00    7.59 v mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.21    7.80 v mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.04                           mprj/wbd_clk_mbist0_skew (net)
+                  0.11    0.00    7.80 v mprj/u_mbist0/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.21    8.01 v mprj/u_mbist0/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2103 (net)
+                  0.12    0.00    8.01 v mprj/u_mbist0/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.06    0.21    8.22 v mprj/u_mbist0/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2102 (net)
+                  0.06    0.00    8.23 v mprj/u_mbist0/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.16    8.39 v mprj/u_mbist0/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2101 (net)
+                  0.06    0.01    8.39 v mprj/u_mbist0/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.16    8.55 v mprj/u_mbist0/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist0/clknet_0_wb_clk2_i (net)
+                  0.03    0.00    8.55 v mprj/u_mbist0/clkbuf_1_0__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.13    8.68 v mprj/u_mbist0/clkbuf_1_0__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_mbist0/clknet_1_0__leaf_wb_clk2_i (net)
+                  0.03    0.00    8.68 v mprj/u_mbist0/wire14/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.25    8.93 v mprj/u_mbist0/wire14/X (sky130_fd_sc_hd__clkbuf_4)
+     8    0.09                           mprj/u_mbist0/net2107 (net)
+                  0.17    0.01    8.95 v mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
+                  0.05    0.35    9.30 v mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.00                           mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.05    0.00    9.30 v mprj/u_mbist0/wire13/A (sky130_fd_sc_hd__buf_2)
+                  0.13    0.22    9.52 v mprj/u_mbist0/wire13/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mbist0/net2106 (net)
+                  0.13    0.00    9.52 v mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.08    0.24    9.76 v mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mbist0/clknet_0_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.08    0.01    9.77 v mprj/u_mbist0/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    9.95 v mprj/u_mbist0/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_mbist0/clknet_3_7__leaf_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.04    0.00    9.95 v mprj/u_mbist0/_4688_/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.13   10.08 v mprj/u_mbist0/_4688_/X (sky130_fd_sc_hd__buf_2)
+     1    0.01                           mprj/u_mbist0/net241 (net)
+                  0.03    0.00   10.08 v mprj/u_mbist0/output241/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.36    0.34   10.41 v mprj/u_mbist0/output241/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.06                           mprj/mem_clk_a[2] (net)
+                  0.48    0.01   10.43 v mprj/u_sram2_2kb/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8)
+                  0.01    0.53   10.96 v mprj/u_sram2_2kb/dout0[24] (sky130_sram_2kbyte_1rw1r_32x512_8)
+     2    0.02                           mprj/mem2_dout_a[24] (net)
+                  0.01    0.00   10.96 v mprj/u_mbist0/input85/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.18   11.14 v mprj/u_mbist0/input85/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net85 (net)
+                  0.13    0.00   11.14 v mprj/u_mbist0/wire1988/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.26   11.40 v mprj/u_mbist0/wire1988/X (sky130_fd_sc_hd__buf_4)
+     6    0.10                           mprj/u_mbist0/net1988 (net)
+                  0.14    0.03   11.43 v mprj/u_mbist0/_3652_/A2 (sky130_fd_sc_hd__a21o_1)
+                  0.12    0.30   11.73 v mprj/u_mbist0/_3652_/X (sky130_fd_sc_hd__a21o_1)
+     2    0.02                           mprj/u_mbist0/_1725_ (net)
+                  0.12    0.00   11.73 v mprj/u_mbist0/_3653_/C1 (sky130_fd_sc_hd__a221o_1)
+                  0.11    0.36   12.09 v mprj/u_mbist0/_3653_/X (sky130_fd_sc_hd__a221o_1)
+     1    0.01                           mprj/u_mbist0/_1726_ (net)
+                  0.11    0.00   12.09 v mprj/u_mbist0/_3654_/B1 (sky130_fd_sc_hd__o21a_1)
+                  0.23    0.30   12.40 v mprj/u_mbist0/_3654_/X (sky130_fd_sc_hd__o21a_1)
+     4    0.05                           mprj/u_mbist0/_1727_ (net)
+                  0.23    0.00   12.40 v mprj/u_mbist0/_3655_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.37   12.77 v mprj/u_mbist0/_3655_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/_0441_ (net)
+                  0.05    0.00   12.77 v mprj/u_mbist0/_4489_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 12.77   data arrival time
 
                          10.00   10.00   clock wbs_clk_i (rise edge)
                           0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.45   10.46 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01   10.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.15   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.14   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.12   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.63 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00   11.63 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.27   12.27 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00   12.27 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.24   12.51 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00   12.51 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.20   12.72 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00   12.72 ^ mprj/u_wb_host/clkbuf_3_7__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.18   12.90 ^ mprj/u_wb_host/clkbuf_3_7__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    30    0.09                           mprj/u_wb_host/clknet_3_7__leaf_wbs_clk_i (net)
-                  0.10    0.01   12.91 ^ mprj/u_wb_host/_5477_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                         -0.25   12.66   clock uncertainty
-                          0.21   12.87   clock reconvergence pessimism
-                         -0.14   12.73   library setup time
-                                 12.73   data required time
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.41   10.41 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00   10.41 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.19   10.60 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00   10.60 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.21   10.81 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00   10.81 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   10.97 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00   10.97 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11   11.44 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00   11.44 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.93 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00   11.93 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.16 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00   12.16 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.39 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00   12.40 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.59 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00   12.59 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.75 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00   12.75 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.92 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.92 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   13.04 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   13.04 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.16 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.16 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.27 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.27 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.45 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.45 ^ mprj/u_mbist0/wire7/A (sky130_fd_sc_hd__buf_8)
+                  0.20    0.22   13.67 ^ mprj/u_mbist0/wire7/X (sky130_fd_sc_hd__buf_8)
+    18    0.12                           mprj/u_mbist0/net2100 (net)
+                  0.20    0.01   13.69 ^ mprj/u_mbist0/clkbuf_leaf_10_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.22   13.91 ^ mprj/u_mbist0/clkbuf_leaf_10_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           mprj/u_mbist0/clknet_leaf_10_wb_clk_i (net)
+                  0.10    0.00   13.91 ^ mprj/u_mbist0/_4489_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   13.66   clock uncertainty
+                          0.17   13.83   clock reconvergence pessimism
+                         -0.10   13.72   library setup time
+                                 13.72   data required time
 -----------------------------------------------------------------------------
-                                 12.73   data required time
-                                -11.74   data arrival time
+                                 13.72   data required time
+                                -12.77   data arrival time
+-----------------------------------------------------------------------------
+                                  0.95   slack (MET)
+
+
+Startpoint: mprj/u_sram6_2kb
+            (falling edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist1/_4487_
+          (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Path Group: wbs_clk_i
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          5.00    5.00   clock wbs_clk_i (fall edge)
+                          0.00    5.00   clock source latency
+                  0.42    0.00    5.00 v mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.07                           mprj/wbd_clk_int (net)
+                  0.42    0.00    5.00 v mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.08    0.34    5.34 v mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.08    0.00    5.34 v mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.19    5.53 v mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.10    0.03    5.56 v mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.19    5.74 v mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.08    0.02    5.76 v mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.03    0.11    5.87 v mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.03    0.00    5.87 v mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.06    0.16    6.03 v mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.06    0.00    6.03 v mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.13    6.16 v mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.06    0.00    6.16 v mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.11    6.27 v mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.04    0.00    6.27 v mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.10    6.37 v mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.04    0.00    6.37 v mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.29    6.65 v mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.06    0.00    6.65 v mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.29    6.95 v mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.05    0.00    6.95 v mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.31    7.26 v mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    7.26 v mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.31    7.56 v mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.06    0.00    7.56 v mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.21    7.77 v mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.04                           mprj/wbd_clk_mbist1_skew (net)
+                  0.11    0.00    7.78 v mprj/u_mbist1/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.21    7.99 v mprj/u_mbist1/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2103 (net)
+                  0.12    0.00    7.99 v mprj/u_mbist1/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.06    0.21    8.20 v mprj/u_mbist1/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2102 (net)
+                  0.06    0.00    8.20 v mprj/u_mbist1/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.16    8.36 v mprj/u_mbist1/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2101 (net)
+                  0.06    0.01    8.37 v mprj/u_mbist1/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.16    8.53 v mprj/u_mbist1/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist1/clknet_0_wb_clk2_i (net)
+                  0.03    0.00    8.53 v mprj/u_mbist1/clkbuf_1_0__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.13    8.66 v mprj/u_mbist1/clkbuf_1_0__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_mbist1/clknet_1_0__leaf_wb_clk2_i (net)
+                  0.03    0.00    8.66 v mprj/u_mbist1/wire14/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.25    8.91 v mprj/u_mbist1/wire14/X (sky130_fd_sc_hd__clkbuf_4)
+     8    0.09                           mprj/u_mbist1/net2107 (net)
+                  0.17    0.01    8.92 v mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
+                  0.05    0.35    9.27 v mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.00                           mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.05    0.00    9.27 v mprj/u_mbist1/wire13/A (sky130_fd_sc_hd__buf_2)
+                  0.13    0.22    9.50 v mprj/u_mbist1/wire13/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mbist1/net2106 (net)
+                  0.13    0.00    9.50 v mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.08    0.24    9.74 v mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mbist1/clknet_0_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.08    0.01    9.74 v mprj/u_mbist1/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    9.92 v mprj/u_mbist1/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_mbist1/clknet_3_7__leaf_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.04    0.00    9.92 v mprj/u_mbist1/_4688_/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.13   10.05 v mprj/u_mbist1/_4688_/X (sky130_fd_sc_hd__buf_2)
+     1    0.01                           mprj/u_mbist1/net241 (net)
+                  0.03    0.00   10.05 v mprj/u_mbist1/output241/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.36    0.34   10.39 v mprj/u_mbist1/output241/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.06                           mprj/mem_clk_a[6] (net)
+                  0.48    0.01   10.40 v mprj/u_sram6_2kb/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8)
+                  0.01    0.52   10.92 v mprj/u_sram6_2kb/dout0[22] (sky130_sram_2kbyte_1rw1r_32x512_8)
+     2    0.02                           mprj/mem6_dout_a[22] (net)
+                  0.01    0.00   10.93 v mprj/u_mbist1/input83/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.10    0.15   11.07 v mprj/u_mbist1/input83/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_mbist1/net83 (net)
+                  0.10    0.00   11.08 v mprj/u_mbist1/wire1990/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.23   11.31 v mprj/u_mbist1/wire1990/X (sky130_fd_sc_hd__buf_4)
+     4    0.08                           mprj/u_mbist1/net1990 (net)
+                  0.12    0.03   11.33 v mprj/u_mbist1/_3644_/A2 (sky130_fd_sc_hd__a21o_1)
+                  0.12    0.30   11.63 v mprj/u_mbist1/_3644_/X (sky130_fd_sc_hd__a21o_1)
+     2    0.02                           mprj/u_mbist1/_1719_ (net)
+                  0.12    0.00   11.63 v mprj/u_mbist1/_3645_/C1 (sky130_fd_sc_hd__a221o_1)
+                  0.08    0.32   11.95 v mprj/u_mbist1/_3645_/X (sky130_fd_sc_hd__a221o_1)
+     1    0.01                           mprj/u_mbist1/_1720_ (net)
+                  0.08    0.00   11.95 v mprj/u_mbist1/_3646_/B1 (sky130_fd_sc_hd__o21a_1)
+                  0.09    0.17   12.12 v mprj/u_mbist1/_3646_/X (sky130_fd_sc_hd__o21a_1)
+     2    0.02                           mprj/u_mbist1/_1721_ (net)
+                  0.09    0.00   12.12 v mprj/u_mbist1/_3647_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.32   12.44 v mprj/u_mbist1/_3647_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/_0439_ (net)
+                  0.06    0.00   12.44 v mprj/u_mbist1/_4487_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 12.44   data arrival time
+
+                         10.00   10.00   clock wbs_clk_i (rise edge)
+                          0.00   10.00   clock source latency
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.29   10.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00   10.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.22   10.51 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03   10.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.20   10.74 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02   10.76 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11   10.87 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00   10.87 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.16   11.02 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00   11.03 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   11.17 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00   11.17 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.39 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.87 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00   11.87 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.10 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00   12.10 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.33 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00   12.34 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.53 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00   12.53 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.69 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00   12.70 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.86 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.86 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   12.98 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   12.98 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.10 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.10 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.21 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.21 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.39 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.39 ^ mprj/u_mbist1/clkbuf_leaf_9_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.22   13.61 ^ mprj/u_mbist1/clkbuf_leaf_9_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.12                           mprj/u_mbist1/clknet_leaf_9_wb_clk_i (net)
+                  0.14    0.01   13.62 ^ mprj/u_mbist1/_4487_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   13.37   clock uncertainty
+                          0.16   13.54   clock reconvergence pessimism
+                         -0.10   13.44   library setup time
+                                 13.44   data required time
+-----------------------------------------------------------------------------
+                                 13.44   data required time
+                                -12.44   data arrival time
 -----------------------------------------------------------------------------
                                   0.99   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_5495_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_wb_host/_5575_
+Startpoint: mprj/u_sram6_2kb
+            (falling edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist1/_4482_
           (rising edge-triggered flip-flop clocked by wbs_clk_i)
 Path Group: wbs_clk_i
 Path Type: max
 
 Fanout     Cap    Slew   Delay    Time   Description
 -----------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.49    0.49 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01    0.50 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.16    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.13    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.15    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.13    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.76 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00    1.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.29    2.45 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00    2.45 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.26    2.71 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00    2.71 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.22    2.93 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00    2.93 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.18    3.11 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    20    0.06                           mprj/u_wb_host/clknet_3_5__leaf_wbs_clk_i (net)
-                  0.08    0.00    3.11 ^ mprj/u_wb_host/_5495_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  1.59    1.54    4.66 ^ mprj/u_wb_host/_5495_/Q (sky130_fd_sc_hd__dfrtp_4)
-   150    0.58                           mprj/u_wb_host/u_async_wb.u_cmd_if.rd_ptr[1] (net)
-                  1.59    0.01    4.67 ^ mprj/u_wb_host/_2907_/A (sky130_fd_sc_hd__xnor2_1)
-                  0.23    0.24    4.90 v mprj/u_wb_host/_2907_/Y (sky130_fd_sc_hd__xnor2_1)
-     2    0.01                           mprj/u_wb_host/_1260_ (net)
-                  0.23    0.00    4.90 v mprj/u_wb_host/_2909_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.37    5.28 v mprj/u_wb_host/_2909_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_1262_ (net)
-                  0.05    0.00    5.28 v mprj/u_wb_host/_2910_/D (sky130_fd_sc_hd__or4_4)
-                  0.59    0.87    6.14 v mprj/u_wb_host/_2910_/X (sky130_fd_sc_hd__or4_4)
-   142    0.42                           mprj/u_wb_host/_1263_ (net)
-                  0.64    0.13    6.27 v mprj/u_wb_host/_2951_/A2 (sky130_fd_sc_hd__a31o_1)
-                  0.16    0.56    6.83 v mprj/u_wb_host/_2951_/X (sky130_fd_sc_hd__a31o_1)
-     2    0.03                           mprj/u_wb_host/net262 (net)
-                  0.16    0.00    6.83 v mprj/u_wb_host/output262/A (sky130_fd_sc_hd__buf_2)
-                  0.11    0.26    7.09 v mprj/u_wb_host/output262/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_int_adr_i[12] (net)
-                  0.11    0.00    7.10 v mprj/u_intercon/input7/A (sky130_fd_sc_hd__buf_12)
-                  0.04    0.18    7.27 v mprj/u_intercon/input7/X (sky130_fd_sc_hd__buf_12)
-     4    0.05                           mprj/u_intercon/net7 (net)
-                  0.04    0.00    7.28 v mprj/u_intercon/_1782_/A (sky130_fd_sc_hd__or4_4)
-                  0.11    0.59    7.87 v mprj/u_intercon/_1782_/X (sky130_fd_sc_hd__or4_4)
-     3    0.02                           mprj/u_intercon/_0982_ (net)
-                  0.11    0.00    7.87 v mprj/u_intercon/_1784_/A3 (sky130_fd_sc_hd__o31a_1)
-                  0.09    0.31    8.18 v mprj/u_intercon/_1784_/X (sky130_fd_sc_hd__o31a_1)
-     4    0.01                           mprj/u_intercon/_0984_ (net)
-                  0.09    0.00    8.18 v mprj/u_intercon/_2009_/A_N (sky130_fd_sc_hd__and2b_4)
-                  0.29    0.45    8.63 ^ mprj/u_intercon/_2009_/X (sky130_fd_sc_hd__and2b_4)
-     6    0.09                           mprj/u_intercon/_1131_ (net)
-                  0.29    0.01    8.65 ^ mprj/u_intercon/fanout772/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.23    0.37    9.01 ^ mprj/u_intercon/fanout772/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.08                           mprj/u_intercon/net772 (net)
-                  0.23    0.00    9.02 ^ mprj/u_intercon/_2010_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.06    0.17    9.19 ^ mprj/u_intercon/_2010_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_intercon/_1132_ (net)
-                  0.06    0.00    9.19 ^ mprj/u_intercon/_2011_/A0 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    9.33 ^ mprj/u_intercon/_2011_/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_intercon/_1133_ (net)
-                  0.04    0.00    9.33 ^ mprj/u_intercon/_2012_/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.28    0.33    9.66 ^ mprj/u_intercon/_2012_/X (sky130_fd_sc_hd__mux2_4)
-     2    0.10                           mprj/u_intercon/net322 (net)
-                  0.28    0.02    9.68 ^ mprj/u_intercon/output322/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19    9.87 ^ mprj/u_intercon/output322/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mprj/wbd_int_ack_o (net)
-                  0.07    0.00    9.87 ^ mprj/u_wb_host/input101/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.16   10.03 ^ mprj/u_wb_host/input101/X (sky130_fd_sc_hd__buf_12)
-    12    0.08                           mprj/u_wb_host/net101 (net)
-                  0.10    0.01   10.04 ^ mprj/u_wb_host/_2728_/A (sky130_fd_sc_hd__inv_8)
-                  0.04    0.06   10.10 v mprj/u_wb_host/_2728_/Y (sky130_fd_sc_hd__inv_8)
-     8    0.04                           mprj/u_wb_host/_1144_ (net)
-                  0.04    0.00   10.10 v mprj/u_wb_host/_3131_/C1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.26   10.36 v mprj/u_wb_host/_3131_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_wb_host/_1399_ (net)
-                  0.05    0.00   10.36 v mprj/u_wb_host/_3132_/A_N (sky130_fd_sc_hd__and4bb_4)
-                  0.17    0.40   10.76 ^ mprj/u_wb_host/_3132_/X (sky130_fd_sc_hd__and4bb_4)
-     5    0.04                           mprj/u_wb_host/_1400_ (net)
-                  0.17    0.00   10.76 ^ mprj/u_wb_host/_3134_/B (sky130_fd_sc_hd__nand2_8)
-                  0.34    0.27   11.03 v mprj/u_wb_host/_3134_/Y (sky130_fd_sc_hd__nand2_8)
-    68    0.26                           mprj/u_wb_host/_1402_ (net)
-                  0.35    0.03   11.07 v mprj/u_wb_host/_3330_/S (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.44   11.50 v mprj/u_wb_host/_3330_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0107_ (net)
-                  0.06    0.00   11.50 v mprj/u_wb_host/_5575_/D (sky130_fd_sc_hd__dfxtp_1)
-                                 11.50   data arrival time
+                          5.00    5.00   clock wbs_clk_i (fall edge)
+                          0.00    5.00   clock source latency
+                  0.42    0.00    5.00 v mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.07                           mprj/wbd_clk_int (net)
+                  0.42    0.00    5.00 v mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.08    0.34    5.34 v mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.08    0.00    5.34 v mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.19    5.53 v mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.10    0.03    5.56 v mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.19    5.74 v mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.08    0.02    5.76 v mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.03    0.11    5.87 v mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.03    0.00    5.87 v mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.06    0.16    6.03 v mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.06    0.00    6.03 v mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.13    6.16 v mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.06    0.00    6.16 v mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.11    6.27 v mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.04    0.00    6.27 v mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.10    6.37 v mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.04    0.00    6.37 v mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.29    6.65 v mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.06    0.00    6.65 v mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.29    6.95 v mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.05    0.00    6.95 v mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.31    7.26 v mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    7.26 v mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.31    7.56 v mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.06    0.00    7.56 v mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.21    7.77 v mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.04                           mprj/wbd_clk_mbist1_skew (net)
+                  0.11    0.00    7.78 v mprj/u_mbist1/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.21    7.99 v mprj/u_mbist1/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2103 (net)
+                  0.12    0.00    7.99 v mprj/u_mbist1/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.06    0.21    8.20 v mprj/u_mbist1/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2102 (net)
+                  0.06    0.00    8.20 v mprj/u_mbist1/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.16    8.36 v mprj/u_mbist1/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2101 (net)
+                  0.06    0.01    8.37 v mprj/u_mbist1/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.16    8.53 v mprj/u_mbist1/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist1/clknet_0_wb_clk2_i (net)
+                  0.03    0.00    8.53 v mprj/u_mbist1/clkbuf_1_0__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.13    8.66 v mprj/u_mbist1/clkbuf_1_0__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_mbist1/clknet_1_0__leaf_wb_clk2_i (net)
+                  0.03    0.00    8.66 v mprj/u_mbist1/wire14/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.25    8.91 v mprj/u_mbist1/wire14/X (sky130_fd_sc_hd__clkbuf_4)
+     8    0.09                           mprj/u_mbist1/net2107 (net)
+                  0.17    0.01    8.92 v mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
+                  0.05    0.35    9.27 v mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.00                           mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.05    0.00    9.27 v mprj/u_mbist1/wire13/A (sky130_fd_sc_hd__buf_2)
+                  0.13    0.22    9.50 v mprj/u_mbist1/wire13/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mbist1/net2106 (net)
+                  0.13    0.00    9.50 v mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.08    0.24    9.74 v mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mbist1/clknet_0_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.08    0.01    9.74 v mprj/u_mbist1/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    9.92 v mprj/u_mbist1/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_mbist1/clknet_3_7__leaf_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.04    0.00    9.92 v mprj/u_mbist1/_4688_/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.13   10.05 v mprj/u_mbist1/_4688_/X (sky130_fd_sc_hd__buf_2)
+     1    0.01                           mprj/u_mbist1/net241 (net)
+                  0.03    0.00   10.05 v mprj/u_mbist1/output241/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.36    0.34   10.39 v mprj/u_mbist1/output241/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.06                           mprj/mem_clk_a[6] (net)
+                  0.48    0.01   10.40 v mprj/u_sram6_2kb/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8)
+                  0.01    0.49   10.89 v mprj/u_sram6_2kb/dout0[17] (sky130_sram_2kbyte_1rw1r_32x512_8)
+     2    0.02                           mprj/mem6_dout_a[17] (net)
+                  0.01    0.00   10.89 v mprj/u_mbist1/input77/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17   11.07 v mprj/u_mbist1/input77/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net77 (net)
+                  0.13    0.00   11.07 v mprj/u_mbist1/wire1997/A (sky130_fd_sc_hd__buf_4)
+                  0.15    0.26   11.33 v mprj/u_mbist1/wire1997/X (sky130_fd_sc_hd__buf_4)
+     6    0.11                           mprj/u_mbist1/net1997 (net)
+                  0.16    0.04   11.37 v mprj/u_mbist1/_3624_/A2 (sky130_fd_sc_hd__a21o_1)
+                  0.11    0.30   11.67 v mprj/u_mbist1/_3624_/X (sky130_fd_sc_hd__a21o_1)
+     2    0.02                           mprj/u_mbist1/_1704_ (net)
+                  0.11    0.00   11.67 v mprj/u_mbist1/_3625_/C1 (sky130_fd_sc_hd__a221o_1)
+                  0.08    0.32   12.00 v mprj/u_mbist1/_3625_/X (sky130_fd_sc_hd__a221o_1)
+     1    0.01                           mprj/u_mbist1/_1705_ (net)
+                  0.08    0.00   12.00 v mprj/u_mbist1/_3626_/B1 (sky130_fd_sc_hd__o21a_1)
+                  0.06    0.14   12.14 v mprj/u_mbist1/_3626_/X (sky130_fd_sc_hd__o21a_1)
+     2    0.01                           mprj/u_mbist1/_1706_ (net)
+                  0.06    0.00   12.14 v mprj/u_mbist1/_3627_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.30   12.44 v mprj/u_mbist1/_3627_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/_0434_ (net)
+                  0.06    0.00   12.44 v mprj/u_mbist1/_4482_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 12.44   data arrival time
 
                          10.00   10.00   clock wbs_clk_i (rise edge)
                           0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.45   10.46 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01   10.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.15   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.14   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.12   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.63 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00   11.63 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.27   12.27 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00   12.27 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.24   12.51 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00   12.51 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.20   12.72 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00   12.72 ^ mprj/u_wb_host/clkbuf_3_4__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14   12.86 ^ mprj/u_wb_host/clkbuf_3_4__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     7    0.02                           mprj/u_wb_host/clknet_3_4__leaf_wbs_clk_i (net)
-                  0.05    0.00   12.86 ^ mprj/u_wb_host/_5575_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                         -0.25   12.61   clock uncertainty
-                          0.21   12.82   clock reconvergence pessimism
-                         -0.12   12.71   library setup time
-                                 12.71   data required time
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.29   10.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00   10.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.22   10.51 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03   10.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.20   10.74 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02   10.76 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11   10.87 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00   10.87 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.16   11.02 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00   11.03 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   11.17 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00   11.17 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.39 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.87 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00   11.87 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.10 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00   12.10 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.33 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00   12.34 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.53 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00   12.53 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.69 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00   12.70 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.86 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.86 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   12.98 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   12.98 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.10 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.10 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.21 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.21 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.39 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.39 ^ mprj/u_mbist1/clkbuf_leaf_9_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.22   13.61 ^ mprj/u_mbist1/clkbuf_leaf_9_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.12                           mprj/u_mbist1/clknet_leaf_9_wb_clk_i (net)
+                  0.14    0.00   13.62 ^ mprj/u_mbist1/_4482_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   13.37   clock uncertainty
+                          0.16   13.53   clock reconvergence pessimism
+                         -0.10   13.44   library setup time
+                                 13.44   data required time
 -----------------------------------------------------------------------------
-                                 12.71   data required time
-                                -11.50   data arrival time
+                                 13.44   data required time
+                                -12.44   data arrival time
+-----------------------------------------------------------------------------
+                                  0.99   slack (MET)
+
+
+Startpoint: mprj/u_sram3_2kb
+            (falling edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist0/_4070_
+          (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Path Group: wbs_clk_i
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          5.00    5.00   clock wbs_clk_i (fall edge)
+                          0.00    5.00   clock source latency
+                  0.42    0.00    5.00 v mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.07                           mprj/wbd_clk_int (net)
+                  0.42    0.00    5.00 v mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.18    0.42    5.43 v mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.18    0.00    5.43 v mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.18    5.61 v mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.07    0.00    5.62 v mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.08    0.19    5.81 v mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.08    0.00    5.81 v mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.14    5.95 v mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.06    0.00    5.95 v mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.11    6.06 v mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.04    0.00    6.06 v mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.10    6.15 v mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.04    0.00    6.15 v mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.12    6.27 v mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.06    0.00    6.27 v mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.11    6.38 v mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.04    0.00    6.38 v mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.29    6.67 v mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.06    0.00    6.67 v mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.29    6.96 v mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.05    0.00    6.96 v mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.32    7.28 v mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00    7.28 v mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.31    7.59 v mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.06    0.00    7.59 v mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.21    7.80 v mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.04                           mprj/wbd_clk_mbist0_skew (net)
+                  0.11    0.00    7.80 v mprj/u_mbist0/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.21    8.01 v mprj/u_mbist0/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2103 (net)
+                  0.12    0.00    8.01 v mprj/u_mbist0/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.06    0.21    8.22 v mprj/u_mbist0/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2102 (net)
+                  0.06    0.00    8.23 v mprj/u_mbist0/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.16    8.39 v mprj/u_mbist0/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2101 (net)
+                  0.06    0.01    8.39 v mprj/u_mbist0/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.16    8.55 v mprj/u_mbist0/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist0/clknet_0_wb_clk2_i (net)
+                  0.03    0.00    8.55 v mprj/u_mbist0/clkbuf_1_1__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.18    8.73 v mprj/u_mbist0/clkbuf_1_1__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.07                           mprj/u_mbist0/clknet_1_1__leaf_wb_clk2_i (net)
+                  0.06    0.00    8.73 v mprj/u_mbist0/u_mbist.mem_no[3].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
+                  0.06    0.32    9.05 v mprj/u_mbist0/u_mbist.mem_no[3].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.01                           mprj/u_mbist0/u_mbist.mem_no[3].u_mem_sel.mem_clk (net)
+                  0.06    0.00    9.05 v mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[3].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.19    9.24 v mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[3].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.07                           mprj/u_mbist0/clknet_0_u_mbist.mem_no[3].u_mem_sel.mem_clk (net)
+                  0.06    0.00    9.24 v mprj/u_mbist0/clkbuf_3_7__f_u_mbist.mem_no[3].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.18    9.42 v mprj/u_mbist0/clkbuf_3_7__f_u_mbist.mem_no[3].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.05                           mprj/u_mbist0/clknet_3_7__leaf_u_mbist.mem_no[3].u_mem_sel.mem_clk (net)
+                  0.05    0.00    9.42 v mprj/u_mbist0/_4689_/A (sky130_fd_sc_hd__buf_2)
+                  0.05    0.16    9.58 v mprj/u_mbist0/_4689_/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/u_mbist0/net242 (net)
+                  0.05    0.00    9.58 v mprj/u_mbist0/wire11/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.19    9.78 v mprj/u_mbist0/wire11/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2104 (net)
+                  0.13    0.00    9.78 v mprj/u_mbist0/output242/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.23    0.29   10.07 v mprj/u_mbist0/output242/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.04                           mprj/mem_clk_a[3] (net)
+                  0.31    0.01   10.08 v mprj/u_sram3_2kb/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8)
+                  0.02    0.61   10.69 v mprj/u_sram3_2kb/dout0[23] (sky130_sram_2kbyte_1rw1r_32x512_8)
+     2    0.04                           mprj/mem3_dout_a[23] (net)
+                  0.02    0.01   10.70 v mprj/u_mbist0/input116/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.15   10.84 v mprj/u_mbist0/input116/X (sky130_fd_sc_hd__clkbuf_1)
+     3    0.02                           mprj/u_mbist0/net116 (net)
+                  0.11    0.00   10.85 v mprj/u_mbist0/_2802_/A (sky130_fd_sc_hd__or2_1)
+                  0.06    0.26   11.11 v mprj/u_mbist0/_2802_/X (sky130_fd_sc_hd__or2_1)
+     1    0.00                           mprj/u_mbist0/_1299_ (net)
+                  0.06    0.00   11.11 v mprj/u_mbist0/_2804_/B2 (sky130_fd_sc_hd__a221o_1)
+                  0.08    0.37   11.48 v mprj/u_mbist0/_2804_/X (sky130_fd_sc_hd__a221o_1)
+     1    0.01                           mprj/u_mbist0/_1301_ (net)
+                  0.08    0.00   11.48 v mprj/u_mbist0/_2805_/C1 (sky130_fd_sc_hd__a221o_1)
+                  0.08    0.31   11.79 v mprj/u_mbist0/_2805_/X (sky130_fd_sc_hd__a221o_1)
+     1    0.01                           mprj/u_mbist0/_1302_ (net)
+                  0.08    0.00   11.79 v mprj/u_mbist0/_2806_/B (sky130_fd_sc_hd__nor2_1)
+                  0.08    0.10   11.89 ^ mprj/u_mbist0/_2806_/Y (sky130_fd_sc_hd__nor2_1)
+     1    0.00                           mprj/u_mbist0/_1303_ (net)
+                  0.08    0.00   11.89 ^ mprj/u_mbist0/_2807_/D (sky130_fd_sc_hd__and4_1)
+                  0.22    0.34   12.23 ^ mprj/u_mbist0/_2807_/X (sky130_fd_sc_hd__and4_1)
+     1    0.02                           mprj/u_mbist0/_1304_ (net)
+                  0.22    0.00   12.23 ^ mprj/u_mbist0/_2808_/A3 (sky130_fd_sc_hd__a31oi_4)
+                  0.18    0.20   12.44 v mprj/u_mbist0/_2808_/Y (sky130_fd_sc_hd__a31oi_4)
+     2    0.04                           mprj/u_mbist0/_0012_ (net)
+                  0.18    0.01   12.44 v mprj/u_mbist0/_4070_/D (sky130_fd_sc_hd__dfrtp_2)
+                                 12.44   data arrival time
+
+                         10.00   10.00   clock wbs_clk_i (rise edge)
+                          0.00   10.00   clock source latency
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.41   10.41 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00   10.41 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.19   10.60 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00   10.60 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.21   10.81 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00   10.81 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   10.97 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00   10.97 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11   11.44 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00   11.44 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.93 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00   11.93 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.16 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00   12.16 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.39 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00   12.40 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.59 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00   12.59 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.75 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00   12.75 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.92 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.92 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   13.04 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   13.04 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.16 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.16 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.27 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.27 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.45 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.45 ^ mprj/u_mbist0/clkbuf_leaf_9_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.22   13.67 ^ mprj/u_mbist0/clkbuf_leaf_9_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.12                           mprj/u_mbist0/clknet_leaf_9_wb_clk_i (net)
+                  0.14    0.01   13.68 ^ mprj/u_mbist0/_4070_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                         -0.25   13.43   clock uncertainty
+                          0.17   13.60   clock reconvergence pessimism
+                         -0.15   13.45   library setup time
+                                 13.45   data required time
+-----------------------------------------------------------------------------
+                                 13.45   data required time
+                                -12.44   data arrival time
+-----------------------------------------------------------------------------
+                                  1.01   slack (MET)
+
+
+Startpoint: mprj/u_sram6_2kb
+            (falling edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist1/_4492_
+          (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Path Group: wbs_clk_i
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          5.00    5.00   clock wbs_clk_i (fall edge)
+                          0.00    5.00   clock source latency
+                  0.42    0.00    5.00 v mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.07                           mprj/wbd_clk_int (net)
+                  0.42    0.00    5.00 v mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.08    0.34    5.34 v mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.08    0.00    5.34 v mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.19    5.53 v mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.10    0.03    5.56 v mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.19    5.74 v mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.08    0.02    5.76 v mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.03    0.11    5.87 v mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.03    0.00    5.87 v mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.06    0.16    6.03 v mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.06    0.00    6.03 v mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.13    6.16 v mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.06    0.00    6.16 v mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.11    6.27 v mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.04    0.00    6.27 v mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.10    6.37 v mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.04    0.00    6.37 v mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.29    6.65 v mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.06    0.00    6.65 v mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.29    6.95 v mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.05    0.00    6.95 v mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.31    7.26 v mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    7.26 v mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.31    7.56 v mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.06    0.00    7.56 v mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.21    7.77 v mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.04                           mprj/wbd_clk_mbist1_skew (net)
+                  0.11    0.00    7.78 v mprj/u_mbist1/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.21    7.99 v mprj/u_mbist1/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2103 (net)
+                  0.12    0.00    7.99 v mprj/u_mbist1/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.06    0.21    8.20 v mprj/u_mbist1/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2102 (net)
+                  0.06    0.00    8.20 v mprj/u_mbist1/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.16    8.36 v mprj/u_mbist1/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2101 (net)
+                  0.06    0.01    8.37 v mprj/u_mbist1/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.16    8.53 v mprj/u_mbist1/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist1/clknet_0_wb_clk2_i (net)
+                  0.03    0.00    8.53 v mprj/u_mbist1/clkbuf_1_0__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.13    8.66 v mprj/u_mbist1/clkbuf_1_0__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_mbist1/clknet_1_0__leaf_wb_clk2_i (net)
+                  0.03    0.00    8.66 v mprj/u_mbist1/wire14/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.25    8.91 v mprj/u_mbist1/wire14/X (sky130_fd_sc_hd__clkbuf_4)
+     8    0.09                           mprj/u_mbist1/net2107 (net)
+                  0.17    0.01    8.92 v mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
+                  0.05    0.35    9.27 v mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.00                           mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.05    0.00    9.27 v mprj/u_mbist1/wire13/A (sky130_fd_sc_hd__buf_2)
+                  0.13    0.22    9.50 v mprj/u_mbist1/wire13/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mbist1/net2106 (net)
+                  0.13    0.00    9.50 v mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.08    0.24    9.74 v mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mbist1/clknet_0_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.08    0.01    9.74 v mprj/u_mbist1/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    9.92 v mprj/u_mbist1/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_mbist1/clknet_3_7__leaf_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.04    0.00    9.92 v mprj/u_mbist1/_4688_/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.13   10.05 v mprj/u_mbist1/_4688_/X (sky130_fd_sc_hd__buf_2)
+     1    0.01                           mprj/u_mbist1/net241 (net)
+                  0.03    0.00   10.05 v mprj/u_mbist1/output241/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.36    0.34   10.39 v mprj/u_mbist1/output241/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.06                           mprj/mem_clk_a[6] (net)
+                  0.48    0.01   10.40 v mprj/u_sram6_2kb/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8)
+                  0.02    0.57   10.98 v mprj/u_sram6_2kb/dout0[27] (sky130_sram_2kbyte_1rw1r_32x512_8)
+     2    0.03                           mprj/mem6_dout_a[27] (net)
+                  0.01    0.00   10.98 v mprj/u_mbist1/input88/A (sky130_fd_sc_hd__buf_6)
+                  0.03    0.11   11.09 v mprj/u_mbist1/input88/X (sky130_fd_sc_hd__buf_6)
+     1    0.02                           mprj/u_mbist1/net88 (net)
+                  0.03    0.00   11.09 v mprj/u_mbist1/wire1985/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.17   11.27 v mprj/u_mbist1/wire1985/X (sky130_fd_sc_hd__buf_6)
+     6    0.15                           mprj/u_mbist1/net1985 (net)
+                  0.17    0.06   11.33 v mprj/u_mbist1/_3664_/A2 (sky130_fd_sc_hd__a221o_1)
+                  0.11    0.47   11.79 v mprj/u_mbist1/_3664_/X (sky130_fd_sc_hd__a221o_1)
+     1    0.01                           mprj/u_mbist1/_1734_ (net)
+                  0.11    0.00   11.79 v mprj/u_mbist1/_3665_/B1 (sky130_fd_sc_hd__a21o_1)
+                  0.05    0.19   11.99 v mprj/u_mbist1/_3665_/X (sky130_fd_sc_hd__a21o_1)
+     1    0.01                           mprj/u_mbist1/_1735_ (net)
+                  0.05    0.00   11.99 v mprj/u_mbist1/_3666_/B1 (sky130_fd_sc_hd__o21a_1)
+                  0.06    0.13   12.12 v mprj/u_mbist1/_3666_/X (sky130_fd_sc_hd__o21a_1)
+     2    0.01                           mprj/u_mbist1/_1736_ (net)
+                  0.06    0.00   12.12 v mprj/u_mbist1/_3667_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.30   12.42 v mprj/u_mbist1/_3667_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/_0444_ (net)
+                  0.05    0.00   12.42 v mprj/u_mbist1/_4492_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 12.42   data arrival time
+
+                         10.00   10.00   clock wbs_clk_i (rise edge)
+                          0.00   10.00   clock source latency
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.29   10.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00   10.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.22   10.51 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03   10.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.20   10.74 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02   10.76 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11   10.87 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00   10.87 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.16   11.02 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00   11.03 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   11.17 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00   11.17 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.39 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.87 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00   11.87 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.10 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00   12.10 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.33 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00   12.34 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.53 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00   12.53 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.69 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00   12.70 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.86 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.86 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   12.98 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   12.98 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.10 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.10 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.21 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.21 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.39 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.39 ^ mprj/u_mbist1/clkbuf_leaf_9_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.22   13.61 ^ mprj/u_mbist1/clkbuf_leaf_9_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.12                           mprj/u_mbist1/clknet_leaf_9_wb_clk_i (net)
+                  0.14    0.01   13.62 ^ mprj/u_mbist1/_4492_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   13.37   clock uncertainty
+                          0.16   13.54   clock reconvergence pessimism
+                         -0.10   13.44   library setup time
+                                 13.44   data required time
+-----------------------------------------------------------------------------
+                                 13.44   data required time
+                                -12.42   data arrival time
+-----------------------------------------------------------------------------
+                                  1.02   slack (MET)
+
+
+Startpoint: mprj/u_sram2_2kb
+            (falling edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist0/_4482_
+          (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Path Group: wbs_clk_i
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          5.00    5.00   clock wbs_clk_i (fall edge)
+                          0.00    5.00   clock source latency
+                  0.42    0.00    5.00 v mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.07                           mprj/wbd_clk_int (net)
+                  0.42    0.00    5.00 v mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.18    0.42    5.43 v mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.18    0.00    5.43 v mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.18    5.61 v mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.07    0.00    5.62 v mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.08    0.19    5.81 v mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.08    0.00    5.81 v mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.14    5.95 v mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.06    0.00    5.95 v mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.11    6.06 v mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.04    0.00    6.06 v mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.10    6.15 v mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.04    0.00    6.15 v mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.12    6.27 v mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.06    0.00    6.27 v mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.11    6.38 v mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.04    0.00    6.38 v mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.29    6.67 v mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.06    0.00    6.67 v mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.29    6.96 v mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.05    0.00    6.96 v mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.32    7.28 v mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00    7.28 v mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.31    7.59 v mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.06    0.00    7.59 v mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.21    7.80 v mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.04                           mprj/wbd_clk_mbist0_skew (net)
+                  0.11    0.00    7.80 v mprj/u_mbist0/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.21    8.01 v mprj/u_mbist0/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2103 (net)
+                  0.12    0.00    8.01 v mprj/u_mbist0/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.06    0.21    8.22 v mprj/u_mbist0/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2102 (net)
+                  0.06    0.00    8.23 v mprj/u_mbist0/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.16    8.39 v mprj/u_mbist0/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2101 (net)
+                  0.06    0.01    8.39 v mprj/u_mbist0/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.16    8.55 v mprj/u_mbist0/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist0/clknet_0_wb_clk2_i (net)
+                  0.03    0.00    8.55 v mprj/u_mbist0/clkbuf_1_0__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.13    8.68 v mprj/u_mbist0/clkbuf_1_0__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_mbist0/clknet_1_0__leaf_wb_clk2_i (net)
+                  0.03    0.00    8.68 v mprj/u_mbist0/wire14/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.25    8.93 v mprj/u_mbist0/wire14/X (sky130_fd_sc_hd__clkbuf_4)
+     8    0.09                           mprj/u_mbist0/net2107 (net)
+                  0.17    0.01    8.95 v mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
+                  0.05    0.35    9.30 v mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.00                           mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.05    0.00    9.30 v mprj/u_mbist0/wire13/A (sky130_fd_sc_hd__buf_2)
+                  0.13    0.22    9.52 v mprj/u_mbist0/wire13/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mbist0/net2106 (net)
+                  0.13    0.00    9.52 v mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.08    0.24    9.76 v mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mbist0/clknet_0_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.08    0.01    9.77 v mprj/u_mbist0/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    9.95 v mprj/u_mbist0/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_mbist0/clknet_3_7__leaf_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.04    0.00    9.95 v mprj/u_mbist0/_4688_/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.13   10.08 v mprj/u_mbist0/_4688_/X (sky130_fd_sc_hd__buf_2)
+     1    0.01                           mprj/u_mbist0/net241 (net)
+                  0.03    0.00   10.08 v mprj/u_mbist0/output241/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.36    0.34   10.41 v mprj/u_mbist0/output241/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.06                           mprj/mem_clk_a[2] (net)
+                  0.48    0.01   10.43 v mprj/u_sram2_2kb/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8)
+                  0.01    0.49   10.92 v mprj/u_sram2_2kb/dout0[17] (sky130_sram_2kbyte_1rw1r_32x512_8)
+     2    0.02                           mprj/mem2_dout_a[17] (net)
+                  0.01    0.00   10.92 v mprj/u_mbist0/input77/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.17   11.09 v mprj/u_mbist0/input77/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net77 (net)
+                  0.13    0.00   11.10 v mprj/u_mbist0/wire1997/A (sky130_fd_sc_hd__buf_4)
+                  0.15    0.26   11.36 v mprj/u_mbist0/wire1997/X (sky130_fd_sc_hd__buf_4)
+     6    0.11                           mprj/u_mbist0/net1997 (net)
+                  0.16    0.04   11.40 v mprj/u_mbist0/_3624_/A2 (sky130_fd_sc_hd__a21o_1)
+                  0.11    0.30   11.70 v mprj/u_mbist0/_3624_/X (sky130_fd_sc_hd__a21o_1)
+     2    0.02                           mprj/u_mbist0/_1704_ (net)
+                  0.11    0.00   11.70 v mprj/u_mbist0/_3625_/C1 (sky130_fd_sc_hd__a221o_1)
+                  0.08    0.32   12.02 v mprj/u_mbist0/_3625_/X (sky130_fd_sc_hd__a221o_1)
+     1    0.01                           mprj/u_mbist0/_1705_ (net)
+                  0.08    0.00   12.02 v mprj/u_mbist0/_3626_/B1 (sky130_fd_sc_hd__o21a_1)
+                  0.06    0.14   12.16 v mprj/u_mbist0/_3626_/X (sky130_fd_sc_hd__o21a_1)
+     2    0.01                           mprj/u_mbist0/_1706_ (net)
+                  0.06    0.00   12.16 v mprj/u_mbist0/_3627_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.30   12.47 v mprj/u_mbist0/_3627_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/_0434_ (net)
+                  0.06    0.00   12.47 v mprj/u_mbist0/_4482_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 12.47   data arrival time
+
+                         10.00   10.00   clock wbs_clk_i (rise edge)
+                          0.00   10.00   clock source latency
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.41   10.41 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00   10.41 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.19   10.60 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00   10.60 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.21   10.81 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00   10.81 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   10.97 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00   10.97 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11   11.44 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00   11.44 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.93 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00   11.93 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.16 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00   12.16 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.39 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00   12.40 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.59 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00   12.59 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.75 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00   12.75 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.92 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.92 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   13.04 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   13.04 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.16 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.16 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.27 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.27 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.45 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.45 ^ mprj/u_mbist0/clkbuf_leaf_9_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.22   13.67 ^ mprj/u_mbist0/clkbuf_leaf_9_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.12                           mprj/u_mbist0/clknet_leaf_9_wb_clk_i (net)
+                  0.14    0.00   13.68 ^ mprj/u_mbist0/_4482_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   13.43   clock uncertainty
+                          0.17   13.60   clock reconvergence pessimism
+                         -0.10   13.50   library setup time
+                                 13.50   data required time
+-----------------------------------------------------------------------------
+                                 13.50   data required time
+                                -12.47   data arrival time
+-----------------------------------------------------------------------------
+                                  1.03   slack (MET)
+
+
+Startpoint: mprj/u_sram2_2kb
+            (falling edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist0/_4487_
+          (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Path Group: wbs_clk_i
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          5.00    5.00   clock wbs_clk_i (fall edge)
+                          0.00    5.00   clock source latency
+                  0.42    0.00    5.00 v mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.07                           mprj/wbd_clk_int (net)
+                  0.42    0.00    5.00 v mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.18    0.42    5.43 v mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.18    0.00    5.43 v mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.18    5.61 v mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.07    0.00    5.62 v mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.08    0.19    5.81 v mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.08    0.00    5.81 v mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.14    5.95 v mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.06    0.00    5.95 v mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.11    6.06 v mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.04    0.00    6.06 v mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.10    6.15 v mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.04    0.00    6.15 v mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.12    6.27 v mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.06    0.00    6.27 v mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.11    6.38 v mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.04    0.00    6.38 v mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.29    6.67 v mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.06    0.00    6.67 v mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.29    6.96 v mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.05    0.00    6.96 v mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.32    7.28 v mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00    7.28 v mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.31    7.59 v mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.06    0.00    7.59 v mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.21    7.80 v mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.04                           mprj/wbd_clk_mbist0_skew (net)
+                  0.11    0.00    7.80 v mprj/u_mbist0/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.21    8.01 v mprj/u_mbist0/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2103 (net)
+                  0.12    0.00    8.01 v mprj/u_mbist0/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.06    0.21    8.22 v mprj/u_mbist0/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2102 (net)
+                  0.06    0.00    8.23 v mprj/u_mbist0/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.16    8.39 v mprj/u_mbist0/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2101 (net)
+                  0.06    0.01    8.39 v mprj/u_mbist0/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.16    8.55 v mprj/u_mbist0/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist0/clknet_0_wb_clk2_i (net)
+                  0.03    0.00    8.55 v mprj/u_mbist0/clkbuf_1_0__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.13    8.68 v mprj/u_mbist0/clkbuf_1_0__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_mbist0/clknet_1_0__leaf_wb_clk2_i (net)
+                  0.03    0.00    8.68 v mprj/u_mbist0/wire14/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.25    8.93 v mprj/u_mbist0/wire14/X (sky130_fd_sc_hd__clkbuf_4)
+     8    0.09                           mprj/u_mbist0/net2107 (net)
+                  0.17    0.01    8.95 v mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
+                  0.05    0.35    9.30 v mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.00                           mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.05    0.00    9.30 v mprj/u_mbist0/wire13/A (sky130_fd_sc_hd__buf_2)
+                  0.13    0.22    9.52 v mprj/u_mbist0/wire13/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mbist0/net2106 (net)
+                  0.13    0.00    9.52 v mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.08    0.24    9.76 v mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mbist0/clknet_0_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.08    0.01    9.77 v mprj/u_mbist0/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    9.95 v mprj/u_mbist0/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_mbist0/clknet_3_7__leaf_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.04    0.00    9.95 v mprj/u_mbist0/_4688_/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.13   10.08 v mprj/u_mbist0/_4688_/X (sky130_fd_sc_hd__buf_2)
+     1    0.01                           mprj/u_mbist0/net241 (net)
+                  0.03    0.00   10.08 v mprj/u_mbist0/output241/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.36    0.34   10.41 v mprj/u_mbist0/output241/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.06                           mprj/mem_clk_a[2] (net)
+                  0.48    0.01   10.43 v mprj/u_sram2_2kb/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8)
+                  0.01    0.51   10.94 v mprj/u_sram2_2kb/dout0[22] (sky130_sram_2kbyte_1rw1r_32x512_8)
+     2    0.02                           mprj/mem2_dout_a[22] (net)
+                  0.01    0.00   10.94 v mprj/u_mbist0/input83/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.10    0.15   11.09 v mprj/u_mbist0/input83/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.02                           mprj/u_mbist0/net83 (net)
+                  0.10    0.00   11.10 v mprj/u_mbist0/wire1990/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.23   11.33 v mprj/u_mbist0/wire1990/X (sky130_fd_sc_hd__buf_4)
+     4    0.08                           mprj/u_mbist0/net1990 (net)
+                  0.12    0.03   11.35 v mprj/u_mbist0/_3644_/A2 (sky130_fd_sc_hd__a21o_1)
+                  0.12    0.30   11.65 v mprj/u_mbist0/_3644_/X (sky130_fd_sc_hd__a21o_1)
+     2    0.02                           mprj/u_mbist0/_1719_ (net)
+                  0.12    0.00   11.65 v mprj/u_mbist0/_3645_/C1 (sky130_fd_sc_hd__a221o_1)
+                  0.08    0.32   11.97 v mprj/u_mbist0/_3645_/X (sky130_fd_sc_hd__a221o_1)
+     1    0.01                           mprj/u_mbist0/_1720_ (net)
+                  0.08    0.00   11.97 v mprj/u_mbist0/_3646_/B1 (sky130_fd_sc_hd__o21a_1)
+                  0.09    0.17   12.14 v mprj/u_mbist0/_3646_/X (sky130_fd_sc_hd__o21a_1)
+     2    0.02                           mprj/u_mbist0/_1721_ (net)
+                  0.09    0.00   12.14 v mprj/u_mbist0/_3647_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.32   12.46 v mprj/u_mbist0/_3647_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/_0439_ (net)
+                  0.06    0.00   12.46 v mprj/u_mbist0/_4487_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 12.46   data arrival time
+
+                         10.00   10.00   clock wbs_clk_i (rise edge)
+                          0.00   10.00   clock source latency
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.41   10.41 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00   10.41 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.19   10.60 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00   10.60 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.21   10.81 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00   10.81 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   10.97 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00   10.97 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11   11.44 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00   11.44 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.93 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00   11.93 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.16 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00   12.16 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.39 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00   12.40 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.59 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00   12.59 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.75 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00   12.75 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.92 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.92 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   13.04 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   13.04 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.16 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.16 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.27 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.27 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.45 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.45 ^ mprj/u_mbist0/clkbuf_leaf_9_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.22   13.67 ^ mprj/u_mbist0/clkbuf_leaf_9_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.12                           mprj/u_mbist0/clknet_leaf_9_wb_clk_i (net)
+                  0.14    0.01   13.68 ^ mprj/u_mbist0/_4487_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   13.43   clock uncertainty
+                          0.17   13.60   clock reconvergence pessimism
+                         -0.10   13.50   library setup time
+                                 13.50   data required time
+-----------------------------------------------------------------------------
+                                 13.50   data required time
+                                -12.46   data arrival time
+-----------------------------------------------------------------------------
+                                  1.04   slack (MET)
+
+
+Startpoint: mprj/u_sram6_2kb
+            (falling edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist1/_4488_
+          (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Path Group: wbs_clk_i
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          5.00    5.00   clock wbs_clk_i (fall edge)
+                          0.00    5.00   clock source latency
+                  0.42    0.00    5.00 v mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.07                           mprj/wbd_clk_int (net)
+                  0.42    0.00    5.00 v mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.08    0.34    5.34 v mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.08    0.00    5.34 v mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.19    5.53 v mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.10    0.03    5.56 v mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.19    5.74 v mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.08    0.02    5.76 v mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.03    0.11    5.87 v mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.03    0.00    5.87 v mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.06    0.16    6.03 v mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.06    0.00    6.03 v mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.13    6.16 v mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.06    0.00    6.16 v mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.11    6.27 v mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.04    0.00    6.27 v mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.10    6.37 v mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.04    0.00    6.37 v mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.29    6.65 v mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.06    0.00    6.65 v mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.29    6.95 v mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.05    0.00    6.95 v mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.31    7.26 v mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    7.26 v mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.31    7.56 v mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.06    0.00    7.56 v mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.21    7.77 v mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.04                           mprj/wbd_clk_mbist1_skew (net)
+                  0.11    0.00    7.78 v mprj/u_mbist1/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.21    7.99 v mprj/u_mbist1/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2103 (net)
+                  0.12    0.00    7.99 v mprj/u_mbist1/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.06    0.21    8.20 v mprj/u_mbist1/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2102 (net)
+                  0.06    0.00    8.20 v mprj/u_mbist1/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.16    8.36 v mprj/u_mbist1/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2101 (net)
+                  0.06    0.01    8.37 v mprj/u_mbist1/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.16    8.53 v mprj/u_mbist1/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist1/clknet_0_wb_clk2_i (net)
+                  0.03    0.00    8.53 v mprj/u_mbist1/clkbuf_1_0__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.13    8.66 v mprj/u_mbist1/clkbuf_1_0__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_mbist1/clknet_1_0__leaf_wb_clk2_i (net)
+                  0.03    0.00    8.66 v mprj/u_mbist1/wire14/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.25    8.91 v mprj/u_mbist1/wire14/X (sky130_fd_sc_hd__clkbuf_4)
+     8    0.09                           mprj/u_mbist1/net2107 (net)
+                  0.17    0.01    8.92 v mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
+                  0.05    0.35    9.27 v mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.00                           mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.05    0.00    9.27 v mprj/u_mbist1/wire13/A (sky130_fd_sc_hd__buf_2)
+                  0.13    0.22    9.50 v mprj/u_mbist1/wire13/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mbist1/net2106 (net)
+                  0.13    0.00    9.50 v mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.08    0.24    9.74 v mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mbist1/clknet_0_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.08    0.01    9.74 v mprj/u_mbist1/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    9.92 v mprj/u_mbist1/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_mbist1/clknet_3_7__leaf_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.04    0.00    9.92 v mprj/u_mbist1/_4688_/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.13   10.05 v mprj/u_mbist1/_4688_/X (sky130_fd_sc_hd__buf_2)
+     1    0.01                           mprj/u_mbist1/net241 (net)
+                  0.03    0.00   10.05 v mprj/u_mbist1/output241/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.36    0.34   10.39 v mprj/u_mbist1/output241/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.06                           mprj/mem_clk_a[6] (net)
+                  0.48    0.01   10.40 v mprj/u_sram6_2kb/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8)
+                  0.02    0.54   10.95 v mprj/u_sram6_2kb/dout0[23] (sky130_sram_2kbyte_1rw1r_32x512_8)
+     2    0.03                           mprj/mem6_dout_a[23] (net)
+                  0.01    0.00   10.95 v mprj/u_mbist1/input84/A (sky130_fd_sc_hd__buf_6)
+                  0.03    0.11   11.06 v mprj/u_mbist1/input84/X (sky130_fd_sc_hd__buf_6)
+     1    0.01                           mprj/u_mbist1/net84 (net)
+                  0.03    0.00   11.06 v mprj/u_mbist1/wire1989/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.17   11.22 v mprj/u_mbist1/wire1989/X (sky130_fd_sc_hd__buf_6)
+     4    0.14                           mprj/u_mbist1/net1989 (net)
+                  0.16    0.05   11.28 v mprj/u_mbist1/_3648_/A2 (sky130_fd_sc_hd__a221o_1)
+                  0.14    0.50   11.78 v mprj/u_mbist1/_3648_/X (sky130_fd_sc_hd__a221o_1)
+     2    0.02                           mprj/u_mbist1/_1722_ (net)
+                  0.14    0.00   11.78 v mprj/u_mbist1/_3649_/B1 (sky130_fd_sc_hd__a21o_1)
+                  0.05    0.21   11.99 v mprj/u_mbist1/_3649_/X (sky130_fd_sc_hd__a21o_1)
+     1    0.01                           mprj/u_mbist1/_1723_ (net)
+                  0.05    0.00   11.99 v mprj/u_mbist1/_3650_/B1 (sky130_fd_sc_hd__o21a_1)
+                  0.24    0.28   12.26 v mprj/u_mbist1/_3650_/X (sky130_fd_sc_hd__o21a_1)
+     4    0.05                           mprj/u_mbist1/_1724_ (net)
+                  0.25    0.01   12.27 v mprj/u_mbist1/_3651_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.38   12.65 v mprj/u_mbist1/_3651_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/_0440_ (net)
+                  0.05    0.00   12.65 v mprj/u_mbist1/_4488_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 12.65   data arrival time
+
+                         10.00   10.00   clock wbs_clk_i (rise edge)
+                          0.00   10.00   clock source latency
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.29   10.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00   10.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.22   10.51 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03   10.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.20   10.74 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02   10.76 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11   10.87 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00   10.87 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.16   11.02 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00   11.03 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   11.17 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00   11.17 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.39 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.87 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00   11.87 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.10 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00   12.10 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.33 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00   12.34 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.53 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00   12.53 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.69 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00   12.70 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.86 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.86 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   12.98 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   12.98 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.10 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.10 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.21 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.21 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.39 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.39 ^ mprj/u_mbist1/wire7/A (sky130_fd_sc_hd__buf_8)
+                  0.20    0.22   13.61 ^ mprj/u_mbist1/wire7/X (sky130_fd_sc_hd__buf_8)
+    18    0.12                           mprj/u_mbist1/net2100 (net)
+                  0.20    0.01   13.62 ^ mprj/u_mbist1/clkbuf_leaf_19_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.25   13.87 ^ mprj/u_mbist1/clkbuf_leaf_19_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    30    0.13                           mprj/u_mbist1/clknet_leaf_19_wb_clk_i (net)
+                  0.14    0.01   13.87 ^ mprj/u_mbist1/_4488_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   13.62   clock uncertainty
+                          0.16   13.79   clock reconvergence pessimism
+                         -0.10   13.69   library setup time
+                                 13.69   data required time
+-----------------------------------------------------------------------------
+                                 13.69   data required time
+                                -12.65   data arrival time
+-----------------------------------------------------------------------------
+                                  1.04   slack (MET)
+
+
+Startpoint: mprj/u_sram6_2kb
+            (falling edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist1/_4468_
+          (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Path Group: wbs_clk_i
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          5.00    5.00   clock wbs_clk_i (fall edge)
+                          0.00    5.00   clock source latency
+                  0.42    0.00    5.00 v mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.07                           mprj/wbd_clk_int (net)
+                  0.42    0.00    5.00 v mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.08    0.34    5.34 v mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.08    0.00    5.34 v mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.19    5.53 v mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.10    0.03    5.56 v mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.19    5.74 v mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.08    0.02    5.76 v mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.03    0.11    5.87 v mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.03    0.00    5.87 v mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.06    0.16    6.03 v mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.06    0.00    6.03 v mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.13    6.16 v mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.06    0.00    6.16 v mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.11    6.27 v mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.04    0.00    6.27 v mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.10    6.37 v mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.04    0.00    6.37 v mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.29    6.65 v mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.06    0.00    6.65 v mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.29    6.95 v mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.05    0.00    6.95 v mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.31    7.26 v mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    7.26 v mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.31    7.56 v mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.06    0.00    7.56 v mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.21    7.77 v mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.04                           mprj/wbd_clk_mbist1_skew (net)
+                  0.11    0.00    7.78 v mprj/u_mbist1/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.21    7.99 v mprj/u_mbist1/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2103 (net)
+                  0.12    0.00    7.99 v mprj/u_mbist1/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.06    0.21    8.20 v mprj/u_mbist1/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2102 (net)
+                  0.06    0.00    8.20 v mprj/u_mbist1/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.16    8.36 v mprj/u_mbist1/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2101 (net)
+                  0.06    0.01    8.37 v mprj/u_mbist1/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.16    8.53 v mprj/u_mbist1/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist1/clknet_0_wb_clk2_i (net)
+                  0.03    0.00    8.53 v mprj/u_mbist1/clkbuf_1_0__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.13    8.66 v mprj/u_mbist1/clkbuf_1_0__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_mbist1/clknet_1_0__leaf_wb_clk2_i (net)
+                  0.03    0.00    8.66 v mprj/u_mbist1/wire14/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.25    8.91 v mprj/u_mbist1/wire14/X (sky130_fd_sc_hd__clkbuf_4)
+     8    0.09                           mprj/u_mbist1/net2107 (net)
+                  0.17    0.01    8.92 v mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
+                  0.05    0.35    9.27 v mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.00                           mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.05    0.00    9.27 v mprj/u_mbist1/wire13/A (sky130_fd_sc_hd__buf_2)
+                  0.13    0.22    9.50 v mprj/u_mbist1/wire13/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mbist1/net2106 (net)
+                  0.13    0.00    9.50 v mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.08    0.24    9.74 v mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mbist1/clknet_0_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.08    0.01    9.74 v mprj/u_mbist1/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    9.92 v mprj/u_mbist1/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_mbist1/clknet_3_7__leaf_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.04    0.00    9.92 v mprj/u_mbist1/_4688_/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.13   10.05 v mprj/u_mbist1/_4688_/X (sky130_fd_sc_hd__buf_2)
+     1    0.01                           mprj/u_mbist1/net241 (net)
+                  0.03    0.00   10.05 v mprj/u_mbist1/output241/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.36    0.34   10.39 v mprj/u_mbist1/output241/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.06                           mprj/mem_clk_a[6] (net)
+                  0.48    0.01   10.40 v mprj/u_sram6_2kb/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8)
+                  0.02    0.64   11.05 v mprj/u_sram6_2kb/dout0[3] (sky130_sram_2kbyte_1rw1r_32x512_8)
+     2    0.04                           mprj/mem6_dout_a[3] (net)
+                  0.02    0.01   11.05 v mprj/u_mbist1/input94/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.14    0.19   11.24 v mprj/u_mbist1/input94/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.04                           mprj/u_mbist1/net94 (net)
+                  0.14    0.00   11.24 v mprj/u_mbist1/wire1978/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.26   11.50 v mprj/u_mbist1/wire1978/X (sky130_fd_sc_hd__buf_4)
+     6    0.10                           mprj/u_mbist1/net1978 (net)
+                  0.14    0.03   11.53 v mprj/u_mbist1/_3568_/A2 (sky130_fd_sc_hd__a221o_1)
+                  0.18    0.53   12.06 v mprj/u_mbist1/_3568_/X (sky130_fd_sc_hd__a221o_1)
+     2    0.03                           mprj/u_mbist1/_1662_ (net)
+                  0.18    0.00   12.06 v mprj/u_mbist1/_3569_/B1 (sky130_fd_sc_hd__a21o_1)
+                  0.03    0.21   12.27 v mprj/u_mbist1/_3569_/X (sky130_fd_sc_hd__a21o_1)
+     1    0.00                           mprj/u_mbist1/_1663_ (net)
+                  0.03    0.00   12.27 v mprj/u_mbist1/_3570_/B1 (sky130_fd_sc_hd__o21a_2)
+                  0.19    0.25   12.52 v mprj/u_mbist1/_3570_/X (sky130_fd_sc_hd__o21a_2)
+     4    0.07                           mprj/u_mbist1/_1664_ (net)
+                  0.19    0.01   12.53 v mprj/u_mbist1/_3571_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.36   12.89 v mprj/u_mbist1/_3571_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/_0420_ (net)
+                  0.06    0.00   12.89 v mprj/u_mbist1/_4468_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 12.89   data arrival time
+
+                         10.00   10.00   clock wbs_clk_i (rise edge)
+                          0.00   10.00   clock source latency
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.29   10.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00   10.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.22   10.51 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03   10.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.20   10.74 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02   10.76 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11   10.87 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00   10.87 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.16   11.02 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00   11.03 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   11.17 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00   11.17 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.39 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.87 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00   11.87 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.10 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00   12.10 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.33 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00   12.34 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.53 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00   12.53 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.69 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00   12.70 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.86 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.86 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   12.98 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   12.98 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.10 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.10 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.21 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.21 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.39 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.39 ^ mprj/u_mbist1/wire7/A (sky130_fd_sc_hd__buf_8)
+                  0.20    0.22   13.61 ^ mprj/u_mbist1/wire7/X (sky130_fd_sc_hd__buf_8)
+    18    0.12                           mprj/u_mbist1/net2100 (net)
+                  0.20    0.01   13.63 ^ mprj/u_mbist1/wire6/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.27   13.90 ^ mprj/u_mbist1/wire6/X (sky130_fd_sc_hd__buf_4)
+     8    0.07                           mprj/u_mbist1/net2099 (net)
+                  0.21    0.00   13.90 ^ mprj/u_mbist1/clkbuf_leaf_14_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.23   14.13 ^ mprj/u_mbist1/clkbuf_leaf_14_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           mprj/u_mbist1/clknet_leaf_14_wb_clk_i (net)
+                  0.11    0.00   14.13 ^ mprj/u_mbist1/_4468_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   13.88   clock uncertainty
+                          0.16   14.04   clock reconvergence pessimism
+                         -0.10   13.94   library setup time
+                                 13.94   data required time
+-----------------------------------------------------------------------------
+                                 13.94   data required time
+                                -12.89   data arrival time
+-----------------------------------------------------------------------------
+                                  1.05   slack (MET)
+
+
+Startpoint: mprj/u_sram6_2kb
+            (falling edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist1/_4485_
+          (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Path Group: wbs_clk_i
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          5.00    5.00   clock wbs_clk_i (fall edge)
+                          0.00    5.00   clock source latency
+                  0.42    0.00    5.00 v mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.07                           mprj/wbd_clk_int (net)
+                  0.42    0.00    5.00 v mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.08    0.34    5.34 v mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.08    0.00    5.34 v mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.19    5.53 v mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.10    0.03    5.56 v mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.19    5.74 v mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.08    0.02    5.76 v mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.03    0.11    5.87 v mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.03    0.00    5.87 v mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.06    0.16    6.03 v mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.06    0.00    6.03 v mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.13    6.16 v mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.06    0.00    6.16 v mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.11    6.27 v mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.04    0.00    6.27 v mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.10    6.37 v mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.04    0.00    6.37 v mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.29    6.65 v mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.06    0.00    6.65 v mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.29    6.95 v mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.05    0.00    6.95 v mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.31    7.26 v mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    7.26 v mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.31    7.56 v mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.06    0.00    7.56 v mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.21    7.77 v mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.04                           mprj/wbd_clk_mbist1_skew (net)
+                  0.11    0.00    7.78 v mprj/u_mbist1/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.21    7.99 v mprj/u_mbist1/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2103 (net)
+                  0.12    0.00    7.99 v mprj/u_mbist1/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.06    0.21    8.20 v mprj/u_mbist1/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2102 (net)
+                  0.06    0.00    8.20 v mprj/u_mbist1/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.16    8.36 v mprj/u_mbist1/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2101 (net)
+                  0.06    0.01    8.37 v mprj/u_mbist1/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.16    8.53 v mprj/u_mbist1/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist1/clknet_0_wb_clk2_i (net)
+                  0.03    0.00    8.53 v mprj/u_mbist1/clkbuf_1_0__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.13    8.66 v mprj/u_mbist1/clkbuf_1_0__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_mbist1/clknet_1_0__leaf_wb_clk2_i (net)
+                  0.03    0.00    8.66 v mprj/u_mbist1/wire14/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.25    8.91 v mprj/u_mbist1/wire14/X (sky130_fd_sc_hd__clkbuf_4)
+     8    0.09                           mprj/u_mbist1/net2107 (net)
+                  0.17    0.01    8.92 v mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
+                  0.05    0.35    9.27 v mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.00                           mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.05    0.00    9.27 v mprj/u_mbist1/wire13/A (sky130_fd_sc_hd__buf_2)
+                  0.13    0.22    9.50 v mprj/u_mbist1/wire13/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mbist1/net2106 (net)
+                  0.13    0.00    9.50 v mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.08    0.24    9.74 v mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mbist1/clknet_0_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.08    0.01    9.74 v mprj/u_mbist1/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    9.92 v mprj/u_mbist1/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_mbist1/clknet_3_7__leaf_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.04    0.00    9.92 v mprj/u_mbist1/_4688_/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.13   10.05 v mprj/u_mbist1/_4688_/X (sky130_fd_sc_hd__buf_2)
+     1    0.01                           mprj/u_mbist1/net241 (net)
+                  0.03    0.00   10.05 v mprj/u_mbist1/output241/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.36    0.34   10.39 v mprj/u_mbist1/output241/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.06                           mprj/mem_clk_a[6] (net)
+                  0.48    0.01   10.40 v mprj/u_sram6_2kb/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8)
+                  0.01    0.51   10.91 v mprj/u_sram6_2kb/dout0[20] (sky130_sram_2kbyte_1rw1r_32x512_8)
+     2    0.02                           mprj/mem6_dout_a[20] (net)
+                  0.01    0.00   10.91 v mprj/u_mbist1/input81/A (sky130_fd_sc_hd__buf_6)
+                  0.04    0.12   11.03 v mprj/u_mbist1/input81/X (sky130_fd_sc_hd__buf_6)
+     2    0.03                           mprj/u_mbist1/net81 (net)
+                  0.04    0.00   11.04 v mprj/u_mbist1/wire1992/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.17   11.21 v mprj/u_mbist1/wire1992/X (sky130_fd_sc_hd__buf_6)
+     6    0.12                           mprj/u_mbist1/net1992 (net)
+                  0.14    0.04   11.25 v mprj/u_mbist1/_3636_/A2 (sky130_fd_sc_hd__a221o_1)
+                  0.12    0.46   11.71 v mprj/u_mbist1/_3636_/X (sky130_fd_sc_hd__a221o_1)
+     1    0.02                           mprj/u_mbist1/_1713_ (net)
+                  0.12    0.00   11.71 v mprj/u_mbist1/_3637_/B1 (sky130_fd_sc_hd__a21o_1)
+                  0.05    0.20   11.91 v mprj/u_mbist1/_3637_/X (sky130_fd_sc_hd__a21o_1)
+     1    0.01                           mprj/u_mbist1/_1714_ (net)
+                  0.05    0.00   11.91 v mprj/u_mbist1/_3638_/B1 (sky130_fd_sc_hd__o21a_1)
+                  0.07    0.14   12.05 v mprj/u_mbist1/_3638_/X (sky130_fd_sc_hd__o21a_1)
+     2    0.01                           mprj/u_mbist1/_1715_ (net)
+                  0.07    0.00   12.05 v mprj/u_mbist1/_3639_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.33   12.38 v mprj/u_mbist1/_3639_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/_0437_ (net)
+                  0.07    0.00   12.38 v mprj/u_mbist1/_4485_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 12.38   data arrival time
+
+                         10.00   10.00   clock wbs_clk_i (rise edge)
+                          0.00   10.00   clock source latency
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.29   10.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00   10.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.22   10.51 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03   10.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.20   10.74 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02   10.76 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11   10.87 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00   10.87 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.16   11.02 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00   11.03 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   11.17 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00   11.17 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.39 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.87 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00   11.87 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.10 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00   12.10 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.33 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00   12.34 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.53 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00   12.53 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.69 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00   12.70 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.86 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.86 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   12.98 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   12.98 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.10 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.10 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.21 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.21 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.39 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.40 ^ mprj/u_mbist1/clkbuf_leaf_7_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.22   13.62 ^ mprj/u_mbist1/clkbuf_leaf_7_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.12                           mprj/u_mbist1/clknet_leaf_7_wb_clk_i (net)
+                  0.14    0.01   13.62 ^ mprj/u_mbist1/_4485_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   13.37   clock uncertainty
+                          0.16   13.54   clock reconvergence pessimism
+                         -0.10   13.43   library setup time
+                                 13.43   data required time
+-----------------------------------------------------------------------------
+                                 13.43   data required time
+                                -12.38   data arrival time
+-----------------------------------------------------------------------------
+                                  1.05   slack (MET)
+
+
+Startpoint: mprj/u_sram2_2kb
+            (falling edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist0/_4492_
+          (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Path Group: wbs_clk_i
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          5.00    5.00   clock wbs_clk_i (fall edge)
+                          0.00    5.00   clock source latency
+                  0.42    0.00    5.00 v mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.07                           mprj/wbd_clk_int (net)
+                  0.42    0.00    5.00 v mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.18    0.42    5.43 v mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.18    0.00    5.43 v mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.18    5.61 v mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.07    0.00    5.62 v mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.08    0.19    5.81 v mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.08    0.00    5.81 v mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.14    5.95 v mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.06    0.00    5.95 v mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.11    6.06 v mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.04    0.00    6.06 v mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.10    6.15 v mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.04    0.00    6.15 v mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.12    6.27 v mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.06    0.00    6.27 v mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.11    6.38 v mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.04    0.00    6.38 v mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.29    6.67 v mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.06    0.00    6.67 v mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.29    6.96 v mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.05    0.00    6.96 v mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.32    7.28 v mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00    7.28 v mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.31    7.59 v mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.06    0.00    7.59 v mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.21    7.80 v mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.04                           mprj/wbd_clk_mbist0_skew (net)
+                  0.11    0.00    7.80 v mprj/u_mbist0/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.21    8.01 v mprj/u_mbist0/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2103 (net)
+                  0.12    0.00    8.01 v mprj/u_mbist0/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.06    0.21    8.22 v mprj/u_mbist0/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2102 (net)
+                  0.06    0.00    8.23 v mprj/u_mbist0/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.16    8.39 v mprj/u_mbist0/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2101 (net)
+                  0.06    0.01    8.39 v mprj/u_mbist0/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.16    8.55 v mprj/u_mbist0/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist0/clknet_0_wb_clk2_i (net)
+                  0.03    0.00    8.55 v mprj/u_mbist0/clkbuf_1_0__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.13    8.68 v mprj/u_mbist0/clkbuf_1_0__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_mbist0/clknet_1_0__leaf_wb_clk2_i (net)
+                  0.03    0.00    8.68 v mprj/u_mbist0/wire14/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.25    8.93 v mprj/u_mbist0/wire14/X (sky130_fd_sc_hd__clkbuf_4)
+     8    0.09                           mprj/u_mbist0/net2107 (net)
+                  0.17    0.01    8.95 v mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
+                  0.05    0.35    9.30 v mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.00                           mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.05    0.00    9.30 v mprj/u_mbist0/wire13/A (sky130_fd_sc_hd__buf_2)
+                  0.13    0.22    9.52 v mprj/u_mbist0/wire13/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mbist0/net2106 (net)
+                  0.13    0.00    9.52 v mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.08    0.24    9.76 v mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mbist0/clknet_0_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.08    0.01    9.77 v mprj/u_mbist0/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    9.95 v mprj/u_mbist0/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_mbist0/clknet_3_7__leaf_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.04    0.00    9.95 v mprj/u_mbist0/_4688_/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.13   10.08 v mprj/u_mbist0/_4688_/X (sky130_fd_sc_hd__buf_2)
+     1    0.01                           mprj/u_mbist0/net241 (net)
+                  0.03    0.00   10.08 v mprj/u_mbist0/output241/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.36    0.34   10.41 v mprj/u_mbist0/output241/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.06                           mprj/mem_clk_a[2] (net)
+                  0.48    0.01   10.43 v mprj/u_sram2_2kb/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8)
+                  0.02    0.57   11.00 v mprj/u_sram2_2kb/dout0[27] (sky130_sram_2kbyte_1rw1r_32x512_8)
+     2    0.03                           mprj/mem2_dout_a[27] (net)
+                  0.01    0.00   11.00 v mprj/u_mbist0/input88/A (sky130_fd_sc_hd__buf_6)
+                  0.03    0.11   11.12 v mprj/u_mbist0/input88/X (sky130_fd_sc_hd__buf_6)
+     1    0.02                           mprj/u_mbist0/net88 (net)
+                  0.03    0.00   11.12 v mprj/u_mbist0/wire1985/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.17   11.29 v mprj/u_mbist0/wire1985/X (sky130_fd_sc_hd__buf_6)
+     6    0.15                           mprj/u_mbist0/net1985 (net)
+                  0.17    0.06   11.35 v mprj/u_mbist0/_3664_/A2 (sky130_fd_sc_hd__a221o_1)
+                  0.11    0.47   11.82 v mprj/u_mbist0/_3664_/X (sky130_fd_sc_hd__a221o_1)
+     1    0.01                           mprj/u_mbist0/_1734_ (net)
+                  0.11    0.00   11.82 v mprj/u_mbist0/_3665_/B1 (sky130_fd_sc_hd__a21o_1)
+                  0.05    0.19   12.01 v mprj/u_mbist0/_3665_/X (sky130_fd_sc_hd__a21o_1)
+     1    0.01                           mprj/u_mbist0/_1735_ (net)
+                  0.05    0.00   12.01 v mprj/u_mbist0/_3666_/B1 (sky130_fd_sc_hd__o21a_1)
+                  0.06    0.13   12.14 v mprj/u_mbist0/_3666_/X (sky130_fd_sc_hd__o21a_1)
+     2    0.01                           mprj/u_mbist0/_1736_ (net)
+                  0.06    0.00   12.14 v mprj/u_mbist0/_3667_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.30   12.44 v mprj/u_mbist0/_3667_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/_0444_ (net)
+                  0.05    0.00   12.44 v mprj/u_mbist0/_4492_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 12.44   data arrival time
+
+                         10.00   10.00   clock wbs_clk_i (rise edge)
+                          0.00   10.00   clock source latency
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.41   10.41 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00   10.41 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.19   10.60 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00   10.60 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.21   10.81 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00   10.81 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   10.97 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00   10.97 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11   11.44 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00   11.44 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.93 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00   11.93 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.16 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00   12.16 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.39 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00   12.40 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.59 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00   12.59 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.75 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00   12.75 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.92 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.92 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   13.04 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   13.04 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.16 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.16 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.27 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.27 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.45 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.45 ^ mprj/u_mbist0/clkbuf_leaf_9_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.22   13.67 ^ mprj/u_mbist0/clkbuf_leaf_9_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.12                           mprj/u_mbist0/clknet_leaf_9_wb_clk_i (net)
+                  0.14    0.01   13.68 ^ mprj/u_mbist0/_4492_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   13.43   clock uncertainty
+                          0.17   13.60   clock reconvergence pessimism
+                         -0.10   13.50   library setup time
+                                 13.50   data required time
+-----------------------------------------------------------------------------
+                                 13.50   data required time
+                                -12.44   data arrival time
+-----------------------------------------------------------------------------
+                                  1.06   slack (MET)
+
+
+Startpoint: mprj/u_sram2_2kb
+            (falling edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist0/_4485_
+          (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Path Group: wbs_clk_i
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          5.00    5.00   clock wbs_clk_i (fall edge)
+                          0.00    5.00   clock source latency
+                  0.42    0.00    5.00 v mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.07                           mprj/wbd_clk_int (net)
+                  0.42    0.00    5.00 v mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.18    0.42    5.43 v mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.18    0.00    5.43 v mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.18    5.61 v mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.07    0.00    5.62 v mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.08    0.19    5.81 v mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.08    0.00    5.81 v mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.14    5.95 v mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.06    0.00    5.95 v mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.11    6.06 v mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.04    0.00    6.06 v mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.10    6.15 v mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.04    0.00    6.15 v mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.12    6.27 v mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.06    0.00    6.27 v mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.11    6.38 v mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.04    0.00    6.38 v mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.29    6.67 v mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.06    0.00    6.67 v mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.29    6.96 v mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.05    0.00    6.96 v mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.32    7.28 v mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00    7.28 v mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.31    7.59 v mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.06    0.00    7.59 v mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.21    7.80 v mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.04                           mprj/wbd_clk_mbist0_skew (net)
+                  0.11    0.00    7.80 v mprj/u_mbist0/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.21    8.01 v mprj/u_mbist0/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2103 (net)
+                  0.12    0.00    8.01 v mprj/u_mbist0/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.06    0.21    8.22 v mprj/u_mbist0/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2102 (net)
+                  0.06    0.00    8.23 v mprj/u_mbist0/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.16    8.39 v mprj/u_mbist0/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2101 (net)
+                  0.06    0.01    8.39 v mprj/u_mbist0/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.16    8.55 v mprj/u_mbist0/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist0/clknet_0_wb_clk2_i (net)
+                  0.03    0.00    8.55 v mprj/u_mbist0/clkbuf_1_0__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.13    8.68 v mprj/u_mbist0/clkbuf_1_0__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_mbist0/clknet_1_0__leaf_wb_clk2_i (net)
+                  0.03    0.00    8.68 v mprj/u_mbist0/wire14/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.25    8.93 v mprj/u_mbist0/wire14/X (sky130_fd_sc_hd__clkbuf_4)
+     8    0.09                           mprj/u_mbist0/net2107 (net)
+                  0.17    0.01    8.95 v mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
+                  0.05    0.35    9.30 v mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.00                           mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.05    0.00    9.30 v mprj/u_mbist0/wire13/A (sky130_fd_sc_hd__buf_2)
+                  0.13    0.22    9.52 v mprj/u_mbist0/wire13/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mbist0/net2106 (net)
+                  0.13    0.00    9.52 v mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.08    0.24    9.76 v mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mbist0/clknet_0_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.08    0.01    9.77 v mprj/u_mbist0/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    9.95 v mprj/u_mbist0/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_mbist0/clknet_3_7__leaf_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.04    0.00    9.95 v mprj/u_mbist0/_4688_/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.13   10.08 v mprj/u_mbist0/_4688_/X (sky130_fd_sc_hd__buf_2)
+     1    0.01                           mprj/u_mbist0/net241 (net)
+                  0.03    0.00   10.08 v mprj/u_mbist0/output241/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.36    0.34   10.41 v mprj/u_mbist0/output241/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.06                           mprj/mem_clk_a[2] (net)
+                  0.48    0.01   10.43 v mprj/u_sram2_2kb/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8)
+                  0.01    0.52   10.95 v mprj/u_sram2_2kb/dout0[20] (sky130_sram_2kbyte_1rw1r_32x512_8)
+     2    0.02                           mprj/mem2_dout_a[20] (net)
+                  0.01    0.00   10.95 v mprj/u_mbist0/input81/A (sky130_fd_sc_hd__buf_6)
+                  0.04    0.12   11.07 v mprj/u_mbist0/input81/X (sky130_fd_sc_hd__buf_6)
+     2    0.03                           mprj/u_mbist0/net81 (net)
+                  0.04    0.00   11.07 v mprj/u_mbist0/wire1992/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.17   11.24 v mprj/u_mbist0/wire1992/X (sky130_fd_sc_hd__buf_6)
+     6    0.12                           mprj/u_mbist0/net1992 (net)
+                  0.14    0.04   11.28 v mprj/u_mbist0/_3636_/A2 (sky130_fd_sc_hd__a221o_1)
+                  0.12    0.46   11.75 v mprj/u_mbist0/_3636_/X (sky130_fd_sc_hd__a221o_1)
+     1    0.02                           mprj/u_mbist0/_1713_ (net)
+                  0.12    0.00   11.75 v mprj/u_mbist0/_3637_/B1 (sky130_fd_sc_hd__a21o_1)
+                  0.05    0.20   11.95 v mprj/u_mbist0/_3637_/X (sky130_fd_sc_hd__a21o_1)
+     1    0.01                           mprj/u_mbist0/_1714_ (net)
+                  0.05    0.00   11.95 v mprj/u_mbist0/_3638_/B1 (sky130_fd_sc_hd__o21a_1)
+                  0.07    0.14   12.09 v mprj/u_mbist0/_3638_/X (sky130_fd_sc_hd__o21a_1)
+     2    0.01                           mprj/u_mbist0/_1715_ (net)
+                  0.07    0.00   12.09 v mprj/u_mbist0/_3639_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.33   12.42 v mprj/u_mbist0/_3639_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/_0437_ (net)
+                  0.07    0.00   12.42 v mprj/u_mbist0/_4485_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 12.42   data arrival time
+
+                         10.00   10.00   clock wbs_clk_i (rise edge)
+                          0.00   10.00   clock source latency
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.41   10.41 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00   10.41 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.19   10.60 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00   10.60 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.21   10.81 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00   10.81 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   10.97 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00   10.97 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11   11.44 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00   11.44 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.93 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00   11.93 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.16 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00   12.16 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.39 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00   12.40 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.59 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00   12.59 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.75 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00   12.75 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.92 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.92 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   13.04 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   13.04 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.16 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.16 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.27 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.27 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.45 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.45 ^ mprj/u_mbist0/clkbuf_leaf_7_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.22   13.68 ^ mprj/u_mbist0/clkbuf_leaf_7_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.12                           mprj/u_mbist0/clknet_leaf_7_wb_clk_i (net)
+                  0.14    0.01   13.68 ^ mprj/u_mbist0/_4485_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   13.43   clock uncertainty
+                          0.17   13.60   clock reconvergence pessimism
+                         -0.10   13.50   library setup time
+                                 13.50   data required time
+-----------------------------------------------------------------------------
+                                 13.50   data required time
+                                -12.42   data arrival time
+-----------------------------------------------------------------------------
+                                  1.08   slack (MET)
+
+
+Startpoint: mprj/u_sram2_2kb
+            (falling edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist0/_4468_
+          (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Path Group: wbs_clk_i
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          5.00    5.00   clock wbs_clk_i (fall edge)
+                          0.00    5.00   clock source latency
+                  0.42    0.00    5.00 v mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.07                           mprj/wbd_clk_int (net)
+                  0.42    0.00    5.00 v mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.18    0.42    5.43 v mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.18    0.00    5.43 v mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.18    5.61 v mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.07    0.00    5.62 v mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.08    0.19    5.81 v mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.08    0.00    5.81 v mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.14    5.95 v mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.06    0.00    5.95 v mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.11    6.06 v mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.04    0.00    6.06 v mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.10    6.15 v mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.04    0.00    6.15 v mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.12    6.27 v mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.06    0.00    6.27 v mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.11    6.38 v mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.04    0.00    6.38 v mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.29    6.67 v mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.06    0.00    6.67 v mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.29    6.96 v mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.05    0.00    6.96 v mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.32    7.28 v mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00    7.28 v mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.31    7.59 v mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.06    0.00    7.59 v mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.21    7.80 v mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.04                           mprj/wbd_clk_mbist0_skew (net)
+                  0.11    0.00    7.80 v mprj/u_mbist0/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.21    8.01 v mprj/u_mbist0/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2103 (net)
+                  0.12    0.00    8.01 v mprj/u_mbist0/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.06    0.21    8.22 v mprj/u_mbist0/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2102 (net)
+                  0.06    0.00    8.23 v mprj/u_mbist0/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.16    8.39 v mprj/u_mbist0/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2101 (net)
+                  0.06    0.01    8.39 v mprj/u_mbist0/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.16    8.55 v mprj/u_mbist0/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist0/clknet_0_wb_clk2_i (net)
+                  0.03    0.00    8.55 v mprj/u_mbist0/clkbuf_1_0__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.13    8.68 v mprj/u_mbist0/clkbuf_1_0__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_mbist0/clknet_1_0__leaf_wb_clk2_i (net)
+                  0.03    0.00    8.68 v mprj/u_mbist0/wire14/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.25    8.93 v mprj/u_mbist0/wire14/X (sky130_fd_sc_hd__clkbuf_4)
+     8    0.09                           mprj/u_mbist0/net2107 (net)
+                  0.17    0.01    8.95 v mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
+                  0.05    0.35    9.30 v mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.00                           mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.05    0.00    9.30 v mprj/u_mbist0/wire13/A (sky130_fd_sc_hd__buf_2)
+                  0.13    0.22    9.52 v mprj/u_mbist0/wire13/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mbist0/net2106 (net)
+                  0.13    0.00    9.52 v mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.08    0.24    9.76 v mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mbist0/clknet_0_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.08    0.01    9.77 v mprj/u_mbist0/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    9.95 v mprj/u_mbist0/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_mbist0/clknet_3_7__leaf_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.04    0.00    9.95 v mprj/u_mbist0/_4688_/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.13   10.08 v mprj/u_mbist0/_4688_/X (sky130_fd_sc_hd__buf_2)
+     1    0.01                           mprj/u_mbist0/net241 (net)
+                  0.03    0.00   10.08 v mprj/u_mbist0/output241/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.36    0.34   10.41 v mprj/u_mbist0/output241/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.06                           mprj/mem_clk_a[2] (net)
+                  0.48    0.01   10.43 v mprj/u_sram2_2kb/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8)
+                  0.02    0.63   11.06 v mprj/u_sram2_2kb/dout0[3] (sky130_sram_2kbyte_1rw1r_32x512_8)
+     2    0.04                           mprj/mem2_dout_a[3] (net)
+                  0.02    0.01   11.06 v mprj/u_mbist0/input94/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.14    0.19   11.25 v mprj/u_mbist0/input94/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.04                           mprj/u_mbist0/net94 (net)
+                  0.14    0.00   11.25 v mprj/u_mbist0/wire1978/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.26   11.51 v mprj/u_mbist0/wire1978/X (sky130_fd_sc_hd__buf_4)
+     6    0.10                           mprj/u_mbist0/net1978 (net)
+                  0.14    0.03   11.54 v mprj/u_mbist0/_3568_/A2 (sky130_fd_sc_hd__a221o_1)
+                  0.18    0.53   12.07 v mprj/u_mbist0/_3568_/X (sky130_fd_sc_hd__a221o_1)
+     2    0.03                           mprj/u_mbist0/_1662_ (net)
+                  0.18    0.00   12.08 v mprj/u_mbist0/_3569_/B1 (sky130_fd_sc_hd__a21o_1)
+                  0.03    0.21   12.29 v mprj/u_mbist0/_3569_/X (sky130_fd_sc_hd__a21o_1)
+     1    0.00                           mprj/u_mbist0/_1663_ (net)
+                  0.03    0.00   12.29 v mprj/u_mbist0/_3570_/B1 (sky130_fd_sc_hd__o21a_2)
+                  0.19    0.25   12.53 v mprj/u_mbist0/_3570_/X (sky130_fd_sc_hd__o21a_2)
+     4    0.07                           mprj/u_mbist0/_1664_ (net)
+                  0.19    0.01   12.55 v mprj/u_mbist0/_3571_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.36   12.90 v mprj/u_mbist0/_3571_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/_0420_ (net)
+                  0.06    0.00   12.90 v mprj/u_mbist0/_4468_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 12.90   data arrival time
+
+                         10.00   10.00   clock wbs_clk_i (rise edge)
+                          0.00   10.00   clock source latency
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.41   10.41 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00   10.41 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.19   10.60 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00   10.60 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.21   10.81 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00   10.81 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   10.97 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00   10.97 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11   11.44 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00   11.44 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.93 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00   11.93 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.16 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00   12.16 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.39 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00   12.40 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.59 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00   12.59 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.75 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00   12.75 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.92 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.92 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   13.04 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   13.04 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.16 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.16 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.27 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.27 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.45 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.45 ^ mprj/u_mbist0/wire7/A (sky130_fd_sc_hd__buf_8)
+                  0.20    0.22   13.67 ^ mprj/u_mbist0/wire7/X (sky130_fd_sc_hd__buf_8)
+    18    0.12                           mprj/u_mbist0/net2100 (net)
+                  0.20    0.01   13.69 ^ mprj/u_mbist0/wire6/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.27   13.95 ^ mprj/u_mbist0/wire6/X (sky130_fd_sc_hd__buf_4)
+     8    0.07                           mprj/u_mbist0/net2099 (net)
+                  0.21    0.00   13.96 ^ mprj/u_mbist0/clkbuf_leaf_14_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.23   14.18 ^ mprj/u_mbist0/clkbuf_leaf_14_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           mprj/u_mbist0/clknet_leaf_14_wb_clk_i (net)
+                  0.11    0.00   14.19 ^ mprj/u_mbist0/_4468_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   13.94   clock uncertainty
+                          0.17   14.11   clock reconvergence pessimism
+                         -0.10   14.00   library setup time
+                                 14.00   data required time
+-----------------------------------------------------------------------------
+                                 14.00   data required time
+                                -12.90   data arrival time
+-----------------------------------------------------------------------------
+                                  1.10   slack (MET)
+
+
+Startpoint: mprj/u_sram2_2kb
+            (falling edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist0/_4488_
+          (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Path Group: wbs_clk_i
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          5.00    5.00   clock wbs_clk_i (fall edge)
+                          0.00    5.00   clock source latency
+                  0.42    0.00    5.00 v mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.07                           mprj/wbd_clk_int (net)
+                  0.42    0.00    5.00 v mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.18    0.42    5.43 v mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.18    0.00    5.43 v mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.18    5.61 v mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.07    0.00    5.62 v mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.08    0.19    5.81 v mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.08    0.00    5.81 v mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.14    5.95 v mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.06    0.00    5.95 v mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.11    6.06 v mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.04    0.00    6.06 v mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.10    6.15 v mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.04    0.00    6.15 v mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.12    6.27 v mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.06    0.00    6.27 v mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.11    6.38 v mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.04    0.00    6.38 v mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.29    6.67 v mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.06    0.00    6.67 v mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.29    6.96 v mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.05    0.00    6.96 v mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.32    7.28 v mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00    7.28 v mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.31    7.59 v mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.06    0.00    7.59 v mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.21    7.80 v mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.04                           mprj/wbd_clk_mbist0_skew (net)
+                  0.11    0.00    7.80 v mprj/u_mbist0/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.21    8.01 v mprj/u_mbist0/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2103 (net)
+                  0.12    0.00    8.01 v mprj/u_mbist0/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.06    0.21    8.22 v mprj/u_mbist0/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2102 (net)
+                  0.06    0.00    8.23 v mprj/u_mbist0/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.16    8.39 v mprj/u_mbist0/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2101 (net)
+                  0.06    0.01    8.39 v mprj/u_mbist0/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.16    8.55 v mprj/u_mbist0/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist0/clknet_0_wb_clk2_i (net)
+                  0.03    0.00    8.55 v mprj/u_mbist0/clkbuf_1_0__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.13    8.68 v mprj/u_mbist0/clkbuf_1_0__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_mbist0/clknet_1_0__leaf_wb_clk2_i (net)
+                  0.03    0.00    8.68 v mprj/u_mbist0/wire14/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.25    8.93 v mprj/u_mbist0/wire14/X (sky130_fd_sc_hd__clkbuf_4)
+     8    0.09                           mprj/u_mbist0/net2107 (net)
+                  0.17    0.01    8.95 v mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
+                  0.05    0.35    9.30 v mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.00                           mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.05    0.00    9.30 v mprj/u_mbist0/wire13/A (sky130_fd_sc_hd__buf_2)
+                  0.13    0.22    9.52 v mprj/u_mbist0/wire13/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mbist0/net2106 (net)
+                  0.13    0.00    9.52 v mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.08    0.24    9.76 v mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mbist0/clknet_0_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.08    0.01    9.77 v mprj/u_mbist0/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    9.95 v mprj/u_mbist0/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_mbist0/clknet_3_7__leaf_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.04    0.00    9.95 v mprj/u_mbist0/_4688_/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.13   10.08 v mprj/u_mbist0/_4688_/X (sky130_fd_sc_hd__buf_2)
+     1    0.01                           mprj/u_mbist0/net241 (net)
+                  0.03    0.00   10.08 v mprj/u_mbist0/output241/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.36    0.34   10.41 v mprj/u_mbist0/output241/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.06                           mprj/mem_clk_a[2] (net)
+                  0.48    0.01   10.43 v mprj/u_sram2_2kb/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8)
+                  0.01    0.53   10.96 v mprj/u_sram2_2kb/dout0[23] (sky130_sram_2kbyte_1rw1r_32x512_8)
+     2    0.02                           mprj/mem2_dout_a[23] (net)
+                  0.01    0.00   10.96 v mprj/u_mbist0/input84/A (sky130_fd_sc_hd__buf_6)
+                  0.03    0.11   11.06 v mprj/u_mbist0/input84/X (sky130_fd_sc_hd__buf_6)
+     1    0.01                           mprj/u_mbist0/net84 (net)
+                  0.03    0.00   11.06 v mprj/u_mbist0/wire1989/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.17   11.23 v mprj/u_mbist0/wire1989/X (sky130_fd_sc_hd__buf_6)
+     4    0.14                           mprj/u_mbist0/net1989 (net)
+                  0.16    0.05   11.28 v mprj/u_mbist0/_3648_/A2 (sky130_fd_sc_hd__a221o_1)
+                  0.14    0.50   11.78 v mprj/u_mbist0/_3648_/X (sky130_fd_sc_hd__a221o_1)
+     2    0.02                           mprj/u_mbist0/_1722_ (net)
+                  0.14    0.00   11.78 v mprj/u_mbist0/_3649_/B1 (sky130_fd_sc_hd__a21o_1)
+                  0.05    0.21   11.99 v mprj/u_mbist0/_3649_/X (sky130_fd_sc_hd__a21o_1)
+     1    0.01                           mprj/u_mbist0/_1723_ (net)
+                  0.05    0.00   11.99 v mprj/u_mbist0/_3650_/B1 (sky130_fd_sc_hd__o21a_1)
+                  0.24    0.28   12.27 v mprj/u_mbist0/_3650_/X (sky130_fd_sc_hd__o21a_1)
+     4    0.05                           mprj/u_mbist0/_1724_ (net)
+                  0.25    0.01   12.28 v mprj/u_mbist0/_3651_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.38   12.65 v mprj/u_mbist0/_3651_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/_0440_ (net)
+                  0.05    0.00   12.65 v mprj/u_mbist0/_4488_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 12.65   data arrival time
+
+                         10.00   10.00   clock wbs_clk_i (rise edge)
+                          0.00   10.00   clock source latency
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.41   10.41 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00   10.41 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.19   10.60 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00   10.60 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.21   10.81 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00   10.81 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   10.97 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00   10.97 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11   11.44 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00   11.44 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.93 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00   11.93 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.16 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00   12.16 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.39 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00   12.40 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.59 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00   12.59 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.75 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00   12.75 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.92 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.92 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   13.04 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   13.04 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.16 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.16 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.27 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.27 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.45 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.45 ^ mprj/u_mbist0/wire7/A (sky130_fd_sc_hd__buf_8)
+                  0.20    0.22   13.67 ^ mprj/u_mbist0/wire7/X (sky130_fd_sc_hd__buf_8)
+    18    0.12                           mprj/u_mbist0/net2100 (net)
+                  0.20    0.01   13.68 ^ mprj/u_mbist0/clkbuf_leaf_19_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.25   13.92 ^ mprj/u_mbist0/clkbuf_leaf_19_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    30    0.13                           mprj/u_mbist0/clknet_leaf_19_wb_clk_i (net)
+                  0.14    0.01   13.93 ^ mprj/u_mbist0/_4488_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   13.68   clock uncertainty
+                          0.17   13.85   clock reconvergence pessimism
+                         -0.10   13.75   library setup time
+                                 13.75   data required time
+-----------------------------------------------------------------------------
+                                 13.75   data required time
+                                -12.65   data arrival time
+-----------------------------------------------------------------------------
+                                  1.10   slack (MET)
+
+
+Startpoint: mprj/u_sram6_2kb
+            (falling edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist1/_4483_
+          (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Path Group: wbs_clk_i
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          5.00    5.00   clock wbs_clk_i (fall edge)
+                          0.00    5.00   clock source latency
+                  0.42    0.00    5.00 v mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.07                           mprj/wbd_clk_int (net)
+                  0.42    0.00    5.00 v mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.08    0.34    5.34 v mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.08    0.00    5.34 v mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.19    5.53 v mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.10    0.03    5.56 v mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.19    5.74 v mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.08    0.02    5.76 v mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.03    0.11    5.87 v mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.03    0.00    5.87 v mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.06    0.16    6.03 v mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.06    0.00    6.03 v mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.13    6.16 v mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.06    0.00    6.16 v mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.11    6.27 v mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.04    0.00    6.27 v mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.10    6.37 v mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.04    0.00    6.37 v mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.29    6.65 v mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.06    0.00    6.65 v mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.29    6.95 v mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.05    0.00    6.95 v mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.31    7.26 v mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    7.26 v mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.31    7.56 v mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.06    0.00    7.56 v mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.21    7.77 v mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.04                           mprj/wbd_clk_mbist1_skew (net)
+                  0.11    0.00    7.78 v mprj/u_mbist1/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.21    7.99 v mprj/u_mbist1/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2103 (net)
+                  0.12    0.00    7.99 v mprj/u_mbist1/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.06    0.21    8.20 v mprj/u_mbist1/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2102 (net)
+                  0.06    0.00    8.20 v mprj/u_mbist1/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.16    8.36 v mprj/u_mbist1/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2101 (net)
+                  0.06    0.01    8.37 v mprj/u_mbist1/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.16    8.53 v mprj/u_mbist1/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist1/clknet_0_wb_clk2_i (net)
+                  0.03    0.00    8.53 v mprj/u_mbist1/clkbuf_1_0__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.13    8.66 v mprj/u_mbist1/clkbuf_1_0__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_mbist1/clknet_1_0__leaf_wb_clk2_i (net)
+                  0.03    0.00    8.66 v mprj/u_mbist1/wire14/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.25    8.91 v mprj/u_mbist1/wire14/X (sky130_fd_sc_hd__clkbuf_4)
+     8    0.09                           mprj/u_mbist1/net2107 (net)
+                  0.17    0.01    8.92 v mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
+                  0.05    0.35    9.27 v mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.00                           mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.05    0.00    9.27 v mprj/u_mbist1/wire13/A (sky130_fd_sc_hd__buf_2)
+                  0.13    0.22    9.50 v mprj/u_mbist1/wire13/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mbist1/net2106 (net)
+                  0.13    0.00    9.50 v mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.08    0.24    9.74 v mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mbist1/clknet_0_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.08    0.01    9.74 v mprj/u_mbist1/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    9.92 v mprj/u_mbist1/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_mbist1/clknet_3_7__leaf_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.04    0.00    9.92 v mprj/u_mbist1/_4688_/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.13   10.05 v mprj/u_mbist1/_4688_/X (sky130_fd_sc_hd__buf_2)
+     1    0.01                           mprj/u_mbist1/net241 (net)
+                  0.03    0.00   10.05 v mprj/u_mbist1/output241/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.36    0.34   10.39 v mprj/u_mbist1/output241/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.06                           mprj/mem_clk_a[6] (net)
+                  0.48    0.01   10.40 v mprj/u_sram6_2kb/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8)
+                  0.01    0.49   10.90 v mprj/u_sram6_2kb/dout0[18] (sky130_sram_2kbyte_1rw1r_32x512_8)
+     2    0.02                           mprj/mem6_dout_a[18] (net)
+                  0.01    0.00   10.90 v mprj/u_mbist1/input78/A (sky130_fd_sc_hd__buf_6)
+                  0.04    0.12   11.02 v mprj/u_mbist1/input78/X (sky130_fd_sc_hd__buf_6)
+     2    0.03                           mprj/u_mbist1/net78 (net)
+                  0.04    0.00   11.02 v mprj/u_mbist1/wire1996/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.17   11.20 v mprj/u_mbist1/wire1996/X (sky130_fd_sc_hd__buf_6)
+     4    0.13                           mprj/u_mbist1/net1996 (net)
+                  0.15    0.05   11.25 v mprj/u_mbist1/_3628_/A2 (sky130_fd_sc_hd__a221o_1)
+                  0.09    0.45   11.69 v mprj/u_mbist1/_3628_/X (sky130_fd_sc_hd__a221o_1)
+     1    0.01                           mprj/u_mbist1/_1707_ (net)
+                  0.09    0.00   11.69 v mprj/u_mbist1/_3629_/B1 (sky130_fd_sc_hd__a21o_1)
+                  0.04    0.18   11.87 v mprj/u_mbist1/_3629_/X (sky130_fd_sc_hd__a21o_1)
+     1    0.00                           mprj/u_mbist1/_1708_ (net)
+                  0.04    0.00   11.87 v mprj/u_mbist1/_3630_/B1 (sky130_fd_sc_hd__o21a_1)
+                  0.06    0.13   12.01 v mprj/u_mbist1/_3630_/X (sky130_fd_sc_hd__o21a_1)
+     2    0.01                           mprj/u_mbist1/_1709_ (net)
+                  0.06    0.00   12.01 v mprj/u_mbist1/_3631_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.31   12.31 v mprj/u_mbist1/_3631_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/_0435_ (net)
+                  0.06    0.00   12.31 v mprj/u_mbist1/_4483_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 12.31   data arrival time
+
+                         10.00   10.00   clock wbs_clk_i (rise edge)
+                          0.00   10.00   clock source latency
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.29   10.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00   10.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.22   10.51 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03   10.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.20   10.74 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02   10.76 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11   10.87 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00   10.87 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.16   11.02 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00   11.03 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   11.17 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00   11.17 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.39 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.87 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00   11.87 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.10 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00   12.10 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.33 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00   12.34 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.53 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00   12.53 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.69 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00   12.70 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.86 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.86 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   12.98 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   12.98 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.10 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.10 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.21 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.21 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.39 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.39 ^ mprj/u_mbist1/clkbuf_leaf_9_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.22   13.61 ^ mprj/u_mbist1/clkbuf_leaf_9_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.12                           mprj/u_mbist1/clknet_leaf_9_wb_clk_i (net)
+                  0.14    0.01   13.62 ^ mprj/u_mbist1/_4483_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   13.37   clock uncertainty
+                          0.16   13.54   clock reconvergence pessimism
+                         -0.10   13.44   library setup time
+                                 13.44   data required time
+-----------------------------------------------------------------------------
+                                 13.44   data required time
+                                -12.31   data arrival time
+-----------------------------------------------------------------------------
+                                  1.12   slack (MET)
+
+
+Startpoint: mprj/u_sram6_2kb
+            (falling edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist1/_4536_
+          (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Path Group: wbs_clk_i
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          5.00    5.00   clock wbs_clk_i (fall edge)
+                          0.00    5.00   clock source latency
+                  0.42    0.00    5.00 v mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.07                           mprj/wbd_clk_int (net)
+                  0.42    0.00    5.00 v mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.08    0.34    5.34 v mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.08    0.00    5.34 v mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.19    5.53 v mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.10    0.03    5.56 v mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.19    5.74 v mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.08    0.02    5.76 v mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.03    0.11    5.87 v mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.03    0.00    5.87 v mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.06    0.16    6.03 v mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.06    0.00    6.03 v mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.13    6.16 v mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.06    0.00    6.16 v mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.11    6.27 v mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.04    0.00    6.27 v mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.10    6.37 v mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.04    0.00    6.37 v mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.29    6.65 v mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.06    0.00    6.65 v mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.29    6.95 v mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.05    0.00    6.95 v mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.31    7.26 v mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    7.26 v mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.31    7.56 v mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.06    0.00    7.56 v mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.21    7.77 v mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.04                           mprj/wbd_clk_mbist1_skew (net)
+                  0.11    0.00    7.78 v mprj/u_mbist1/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.21    7.99 v mprj/u_mbist1/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2103 (net)
+                  0.12    0.00    7.99 v mprj/u_mbist1/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.06    0.21    8.20 v mprj/u_mbist1/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2102 (net)
+                  0.06    0.00    8.20 v mprj/u_mbist1/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.16    8.36 v mprj/u_mbist1/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2101 (net)
+                  0.06    0.01    8.37 v mprj/u_mbist1/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.16    8.53 v mprj/u_mbist1/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist1/clknet_0_wb_clk2_i (net)
+                  0.03    0.00    8.53 v mprj/u_mbist1/clkbuf_1_0__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.13    8.66 v mprj/u_mbist1/clkbuf_1_0__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_mbist1/clknet_1_0__leaf_wb_clk2_i (net)
+                  0.03    0.00    8.66 v mprj/u_mbist1/wire14/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.25    8.91 v mprj/u_mbist1/wire14/X (sky130_fd_sc_hd__clkbuf_4)
+     8    0.09                           mprj/u_mbist1/net2107 (net)
+                  0.17    0.01    8.92 v mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
+                  0.05    0.35    9.27 v mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.00                           mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.05    0.00    9.27 v mprj/u_mbist1/wire13/A (sky130_fd_sc_hd__buf_2)
+                  0.13    0.22    9.50 v mprj/u_mbist1/wire13/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mbist1/net2106 (net)
+                  0.13    0.00    9.50 v mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.08    0.24    9.74 v mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mbist1/clknet_0_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.08    0.01    9.74 v mprj/u_mbist1/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    9.92 v mprj/u_mbist1/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_mbist1/clknet_3_7__leaf_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.04    0.00    9.92 v mprj/u_mbist1/_4688_/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.13   10.05 v mprj/u_mbist1/_4688_/X (sky130_fd_sc_hd__buf_2)
+     1    0.01                           mprj/u_mbist1/net241 (net)
+                  0.03    0.00   10.05 v mprj/u_mbist1/output241/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.36    0.34   10.39 v mprj/u_mbist1/output241/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.06                           mprj/mem_clk_a[6] (net)
+                  0.48    0.01   10.40 v mprj/u_sram6_2kb/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8)
+                  0.02    0.63   11.04 v mprj/u_sram6_2kb/dout0[1] (sky130_sram_2kbyte_1rw1r_32x512_8)
+     2    0.04                           mprj/mem6_dout_a[1] (net)
+                  0.02    0.01   11.04 v mprj/u_mbist1/input80/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11   11.15 v mprj/u_mbist1/input80/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_mbist1/net80 (net)
+                  0.06    0.00   11.15 v mprj/u_mbist1/wire1993/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.23   11.38 v mprj/u_mbist1/wire1993/X (sky130_fd_sc_hd__buf_6)
+     4    0.17                           mprj/u_mbist1/net1993 (net)
+                  0.15    0.02   11.39 v mprj/u_mbist1/_3560_/A2 (sky130_fd_sc_hd__a221o_1)
+                  0.12    0.47   11.87 v mprj/u_mbist1/_3560_/X (sky130_fd_sc_hd__a221o_1)
+     1    0.02                           mprj/u_mbist1/_1656_ (net)
+                  0.12    0.00   11.87 v mprj/u_mbist1/_3561_/B1 (sky130_fd_sc_hd__a21o_1)
+                  0.04    0.19   12.06 v mprj/u_mbist1/_3561_/X (sky130_fd_sc_hd__a21o_1)
+     1    0.00                           mprj/u_mbist1/_1657_ (net)
+                  0.04    0.00   12.06 v mprj/u_mbist1/_3562_/B1 (sky130_fd_sc_hd__o21a_1)
+                  0.22    0.26   12.32 v mprj/u_mbist1/_3562_/X (sky130_fd_sc_hd__o21a_1)
+     4    0.04                           mprj/u_mbist1/_1658_ (net)
+                  0.22    0.00   12.32 v mprj/u_mbist1/_3787_/A (sky130_fd_sc_hd__or2_1)
+                  0.06    0.31   12.63 v mprj/u_mbist1/_3787_/X (sky130_fd_sc_hd__or2_1)
+     1    0.00                           mprj/u_mbist1/_1815_ (net)
+                  0.06    0.00   12.63 v mprj/u_mbist1/_3789_/B1 (sky130_fd_sc_hd__a22o_1)
+                  0.04    0.18   12.81 v mprj/u_mbist1/_3789_/X (sky130_fd_sc_hd__a22o_1)
+     1    0.00                           mprj/u_mbist1/_0486_ (net)
+                  0.04    0.00   12.81 v mprj/u_mbist1/_4536_/D (sky130_fd_sc_hd__dfrtp_4)
+                                 12.81   data arrival time
+
+                         10.00   10.00   clock wbs_clk_i (rise edge)
+                          0.00   10.00   clock source latency
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.29   10.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00   10.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.22   10.51 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03   10.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.20   10.74 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02   10.76 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11   10.87 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00   10.87 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.16   11.02 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00   11.03 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   11.17 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00   11.17 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.39 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.87 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00   11.87 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.10 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00   12.10 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.33 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00   12.34 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.53 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00   12.53 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.69 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00   12.70 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.86 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.86 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   12.98 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   12.98 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.10 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.10 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.21 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.21 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.39 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.39 ^ mprj/u_mbist1/wire7/A (sky130_fd_sc_hd__buf_8)
+                  0.20    0.22   13.61 ^ mprj/u_mbist1/wire7/X (sky130_fd_sc_hd__buf_8)
+    18    0.12                           mprj/u_mbist1/net2100 (net)
+                  0.20    0.01   13.63 ^ mprj/u_mbist1/wire6/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.27   13.90 ^ mprj/u_mbist1/wire6/X (sky130_fd_sc_hd__buf_4)
+     8    0.07                           mprj/u_mbist1/net2099 (net)
+                  0.21    0.00   13.90 ^ mprj/u_mbist1/clkbuf_leaf_14_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.23   14.13 ^ mprj/u_mbist1/clkbuf_leaf_14_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           mprj/u_mbist1/clknet_leaf_14_wb_clk_i (net)
+                  0.11    0.00   14.13 ^ mprj/u_mbist1/_4536_/CLK (sky130_fd_sc_hd__dfrtp_4)
+                         -0.25   13.88   clock uncertainty
+                          0.16   14.04   clock reconvergence pessimism
+                         -0.10   13.94   library setup time
+                                 13.94   data required time
+-----------------------------------------------------------------------------
+                                 13.94   data required time
+                                -12.81   data arrival time
+-----------------------------------------------------------------------------
+                                  1.13   slack (MET)
+
+
+Startpoint: mprj/u_sram6_2kb
+            (falling edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist1/_4540_
+          (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Path Group: wbs_clk_i
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          5.00    5.00   clock wbs_clk_i (fall edge)
+                          0.00    5.00   clock source latency
+                  0.42    0.00    5.00 v mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.07                           mprj/wbd_clk_int (net)
+                  0.42    0.00    5.00 v mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.08    0.34    5.34 v mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.08    0.00    5.34 v mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.19    5.53 v mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.10    0.03    5.56 v mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.19    5.74 v mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.08    0.02    5.76 v mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.03    0.11    5.87 v mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.03    0.00    5.87 v mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.06    0.16    6.03 v mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.06    0.00    6.03 v mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.13    6.16 v mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.06    0.00    6.16 v mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.11    6.27 v mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.04    0.00    6.27 v mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.10    6.37 v mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.04    0.00    6.37 v mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.29    6.65 v mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.06    0.00    6.65 v mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.29    6.95 v mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.05    0.00    6.95 v mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.31    7.26 v mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    7.26 v mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.31    7.56 v mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.06    0.00    7.56 v mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.21    7.77 v mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.04                           mprj/wbd_clk_mbist1_skew (net)
+                  0.11    0.00    7.78 v mprj/u_mbist1/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.21    7.99 v mprj/u_mbist1/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2103 (net)
+                  0.12    0.00    7.99 v mprj/u_mbist1/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.06    0.21    8.20 v mprj/u_mbist1/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2102 (net)
+                  0.06    0.00    8.20 v mprj/u_mbist1/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.16    8.36 v mprj/u_mbist1/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2101 (net)
+                  0.06    0.01    8.37 v mprj/u_mbist1/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.16    8.53 v mprj/u_mbist1/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist1/clknet_0_wb_clk2_i (net)
+                  0.03    0.00    8.53 v mprj/u_mbist1/clkbuf_1_0__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.13    8.66 v mprj/u_mbist1/clkbuf_1_0__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_mbist1/clknet_1_0__leaf_wb_clk2_i (net)
+                  0.03    0.00    8.66 v mprj/u_mbist1/wire14/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.25    8.91 v mprj/u_mbist1/wire14/X (sky130_fd_sc_hd__clkbuf_4)
+     8    0.09                           mprj/u_mbist1/net2107 (net)
+                  0.17    0.01    8.92 v mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
+                  0.05    0.35    9.27 v mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.00                           mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.05    0.00    9.27 v mprj/u_mbist1/wire13/A (sky130_fd_sc_hd__buf_2)
+                  0.13    0.22    9.50 v mprj/u_mbist1/wire13/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mbist1/net2106 (net)
+                  0.13    0.00    9.50 v mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.08    0.24    9.74 v mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mbist1/clknet_0_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.08    0.01    9.74 v mprj/u_mbist1/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    9.92 v mprj/u_mbist1/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_mbist1/clknet_3_7__leaf_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.04    0.00    9.92 v mprj/u_mbist1/_4688_/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.13   10.05 v mprj/u_mbist1/_4688_/X (sky130_fd_sc_hd__buf_2)
+     1    0.01                           mprj/u_mbist1/net241 (net)
+                  0.03    0.00   10.05 v mprj/u_mbist1/output241/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.36    0.34   10.39 v mprj/u_mbist1/output241/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.06                           mprj/mem_clk_a[6] (net)
+                  0.48    0.01   10.40 v mprj/u_sram6_2kb/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8)
+                  0.02    0.62   11.02 v mprj/u_sram6_2kb/dout0[5] (sky130_sram_2kbyte_1rw1r_32x512_8)
+     2    0.04                           mprj/mem6_dout_a[5] (net)
+                  0.02    0.01   11.02 v mprj/u_mbist1/input96/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.18   11.21 v mprj/u_mbist1/input96/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net96 (net)
+                  0.13    0.00   11.21 v mprj/u_mbist1/wire1976/A (sky130_fd_sc_hd__buf_4)
+                  0.15    0.27   11.47 v mprj/u_mbist1/wire1976/X (sky130_fd_sc_hd__buf_4)
+     6    0.12                           mprj/u_mbist1/net1976 (net)
+                  0.17    0.04   11.51 v mprj/u_mbist1/_3576_/A2 (sky130_fd_sc_hd__a221o_1)
+                  0.13    0.50   12.01 v mprj/u_mbist1/_3576_/X (sky130_fd_sc_hd__a221o_1)
+     1    0.02                           mprj/u_mbist1/_1668_ (net)
+                  0.13    0.00   12.01 v mprj/u_mbist1/_3577_/B1 (sky130_fd_sc_hd__a21o_1)
+                  0.04    0.20   12.21 v mprj/u_mbist1/_3577_/X (sky130_fd_sc_hd__a21o_1)
+     1    0.00                           mprj/u_mbist1/_1669_ (net)
+                  0.04    0.00   12.21 v mprj/u_mbist1/_3578_/B1 (sky130_fd_sc_hd__o21a_2)
+                  0.23    0.28   12.49 v mprj/u_mbist1/_3578_/X (sky130_fd_sc_hd__o21a_2)
+     4    0.09                           mprj/u_mbist1/_1670_ (net)
+                  0.23    0.01   12.49 v mprj/u_mbist1/_3799_/A (sky130_fd_sc_hd__or2_1)
+                  0.06    0.32   12.81 v mprj/u_mbist1/_3799_/X (sky130_fd_sc_hd__or2_1)
+     1    0.01                           mprj/u_mbist1/_1823_ (net)
+                  0.06    0.00   12.81 v mprj/u_mbist1/_3801_/B1 (sky130_fd_sc_hd__a22o_1)
+                  0.05    0.19   13.00 v mprj/u_mbist1/_3801_/X (sky130_fd_sc_hd__a22o_1)
+     1    0.00                           mprj/u_mbist1/_0490_ (net)
+                  0.05    0.00   13.00 v mprj/u_mbist1/_4540_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 13.00   data arrival time
+
+                         10.00   10.00   clock wbs_clk_i (rise edge)
+                          0.00   10.00   clock source latency
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.29   10.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00   10.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.22   10.51 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03   10.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.20   10.74 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02   10.76 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11   10.87 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00   10.87 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.16   11.02 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00   11.03 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   11.17 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00   11.17 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.39 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.87 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00   11.87 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.10 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00   12.10 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.33 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00   12.34 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.53 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00   12.53 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.69 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00   12.70 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.86 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.86 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   12.98 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   12.98 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.10 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.10 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.21 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.21 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.39 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.39 ^ mprj/u_mbist1/wire7/A (sky130_fd_sc_hd__buf_8)
+                  0.20    0.22   13.61 ^ mprj/u_mbist1/wire7/X (sky130_fd_sc_hd__buf_8)
+    18    0.12                           mprj/u_mbist1/net2100 (net)
+                  0.20    0.01   13.62 ^ mprj/u_mbist1/clkbuf_opt_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17   13.80 ^ mprj/u_mbist1/clkbuf_opt_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist1/clknet_opt_3_0_wb_clk_i (net)
+                  0.04    0.00   13.80 ^ mprj/u_mbist1/clkbuf_opt_3_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12   13.92 ^ mprj/u_mbist1/clkbuf_opt_3_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist1/clknet_opt_3_1_wb_clk_i (net)
+                  0.04    0.00   13.92 ^ mprj/u_mbist1/clkbuf_opt_3_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12   14.04 ^ mprj/u_mbist1/clkbuf_opt_3_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist1/clknet_opt_3_2_wb_clk_i (net)
+                  0.04    0.00   14.04 ^ mprj/u_mbist1/clkbuf_opt_3_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13   14.16 ^ mprj/u_mbist1/clkbuf_opt_3_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.03                           mprj/u_mbist1/clknet_opt_3_3_wb_clk_i (net)
+                  0.05    0.00   14.16 ^ mprj/u_mbist1/clkbuf_leaf_12_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.17   14.33 ^ mprj/u_mbist1/clkbuf_leaf_12_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_mbist1/clknet_leaf_12_wb_clk_i (net)
+                  0.10    0.00   14.33 ^ mprj/u_mbist1/_4540_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   14.08   clock uncertainty
+                          0.16   14.25   clock reconvergence pessimism
+                         -0.10   14.14   library setup time
+                                 14.14   data required time
+-----------------------------------------------------------------------------
+                                 14.14   data required time
+                                -13.00   data arrival time
+-----------------------------------------------------------------------------
+                                  1.14   slack (MET)
+
+
+Startpoint: mprj/u_sram5_2kb
+            (falling edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist1/_4493_
+          (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Path Group: wbs_clk_i
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          5.00    5.00   clock wbs_clk_i (fall edge)
+                          0.00    5.00   clock source latency
+                  0.42    0.00    5.00 v mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.07                           mprj/wbd_clk_int (net)
+                  0.42    0.00    5.00 v mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.08    0.34    5.34 v mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.08    0.00    5.34 v mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.19    5.53 v mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.10    0.03    5.56 v mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.19    5.74 v mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.08    0.02    5.76 v mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.03    0.11    5.87 v mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.03    0.00    5.87 v mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.06    0.16    6.03 v mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.06    0.00    6.03 v mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.13    6.16 v mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.06    0.00    6.16 v mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.11    6.27 v mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.04    0.00    6.27 v mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.10    6.37 v mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.04    0.00    6.37 v mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.29    6.65 v mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.06    0.00    6.65 v mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.29    6.95 v mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.05    0.00    6.95 v mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.31    7.26 v mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    7.26 v mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.31    7.56 v mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.06    0.00    7.56 v mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.21    7.77 v mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.04                           mprj/wbd_clk_mbist1_skew (net)
+                  0.11    0.00    7.78 v mprj/u_mbist1/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.21    7.99 v mprj/u_mbist1/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2103 (net)
+                  0.12    0.00    7.99 v mprj/u_mbist1/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.06    0.21    8.20 v mprj/u_mbist1/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2102 (net)
+                  0.06    0.00    8.20 v mprj/u_mbist1/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.16    8.36 v mprj/u_mbist1/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2101 (net)
+                  0.06    0.01    8.37 v mprj/u_mbist1/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.16    8.53 v mprj/u_mbist1/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist1/clknet_0_wb_clk2_i (net)
+                  0.03    0.00    8.53 v mprj/u_mbist1/clkbuf_1_1__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.18    8.70 v mprj/u_mbist1/clkbuf_1_1__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     6    0.07                           mprj/u_mbist1/clknet_1_1__leaf_wb_clk2_i (net)
+                  0.06    0.00    8.70 v mprj/u_mbist1/wire15/A (sky130_fd_sc_hd__buf_2)
+                  0.13    0.23    8.93 v mprj/u_mbist1/wire15/X (sky130_fd_sc_hd__buf_2)
+     4    0.06                           mprj/u_mbist1/net2108 (net)
+                  0.13    0.00    8.93 v mprj/u_mbist1/u_mbist.mem_no[1].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
+                  0.07    0.37    9.30 v mprj/u_mbist1/u_mbist.mem_no[1].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     2    0.02                           mprj/u_mbist1/u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
+                  0.07    0.00    9.30 v mprj/u_mbist1/wire12/A (sky130_fd_sc_hd__buf_2)
+                  0.14    0.24    9.54 v mprj/u_mbist1/wire12/X (sky130_fd_sc_hd__buf_2)
+     2    0.06                           mprj/u_mbist1/net2105 (net)
+                  0.14    0.00    9.54 v mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[1].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.23    9.77 v mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[1].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     8    0.07                           mprj/u_mbist1/clknet_0_u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
+                  0.06    0.00    9.77 v mprj/u_mbist1/clkbuf_3_5__f_u_mbist.mem_no[1].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.15    9.92 v mprj/u_mbist1/clkbuf_3_5__f_u_mbist.mem_no[1].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_mbist1/clknet_3_5__leaf_u_mbist.mem_no[1].u_mem_sel.mem_clk (net)
+                  0.03    0.00    9.92 v mprj/u_mbist1/wire19/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.13    0.22   10.14 v mprj/u_mbist1/wire19/X (sky130_fd_sc_hd__clkbuf_4)
+    14    0.06                           mprj/u_mbist1/net2112 (net)
+                  0.13    0.01   10.15 v mprj/u_mbist1/_4687_/A (sky130_fd_sc_hd__buf_2)
+                  0.04    0.18   10.33 v mprj/u_mbist1/_4687_/X (sky130_fd_sc_hd__buf_2)
+     1    0.01                           mprj/u_mbist1/net240 (net)
+                  0.04    0.00   10.33 v mprj/u_mbist1/output240/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.28    0.29   10.62 v mprj/u_mbist1/output240/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.05                           mprj/mem_clk_a[5] (net)
+                  0.38    0.01   10.63 v mprj/u_sram5_2kb/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8)
+                  0.02    0.64   11.27 v mprj/u_sram5_2kb/dout0[28] (sky130_sram_2kbyte_1rw1r_32x512_8)
+     2    0.04                           mprj/mem5_dout_a[28] (net)
+                  0.02    0.01   11.28 v mprj/u_mbist1/input57/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.13    0.22   11.50 v mprj/u_mbist1/input57/X (sky130_fd_sc_hd__clkbuf_4)
+     4    0.06                           mprj/u_mbist1/net57 (net)
+                  0.13    0.00   11.50 v mprj/u_mbist1/_3669_/B1 (sky130_fd_sc_hd__a221o_1)
+                  0.06    0.33   11.84 v mprj/u_mbist1/_3669_/X (sky130_fd_sc_hd__a221o_1)
+     1    0.00                           mprj/u_mbist1/_1738_ (net)
+                  0.06    0.00   11.84 v mprj/u_mbist1/_3670_/B2 (sky130_fd_sc_hd__o22a_2)
+                  0.16    0.32   12.16 v mprj/u_mbist1/_3670_/X (sky130_fd_sc_hd__o22a_2)
+     4    0.06                           mprj/u_mbist1/_1739_ (net)
+                  0.16    0.01   12.17 v mprj/u_mbist1/_3671_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.34   12.51 v mprj/u_mbist1/_3671_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/_0445_ (net)
+                  0.05    0.00   12.51 v mprj/u_mbist1/_4493_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 12.51   data arrival time
+
+                         10.00   10.00   clock wbs_clk_i (rise edge)
+                          0.00   10.00   clock source latency
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.29   10.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00   10.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.22   10.51 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03   10.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.20   10.74 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02   10.76 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11   10.87 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00   10.87 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.16   11.02 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00   11.03 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   11.17 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00   11.17 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.39 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.87 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00   11.87 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.10 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00   12.10 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.33 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00   12.34 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.53 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00   12.53 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.69 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00   12.70 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.86 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.86 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   12.98 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   12.98 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.10 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.10 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.21 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.21 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.39 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.39 ^ mprj/u_mbist1/wire7/A (sky130_fd_sc_hd__buf_8)
+                  0.20    0.22   13.61 ^ mprj/u_mbist1/wire7/X (sky130_fd_sc_hd__buf_8)
+    18    0.12                           mprj/u_mbist1/net2100 (net)
+                  0.20    0.01   13.63 ^ mprj/u_mbist1/clkbuf_leaf_10_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.22   13.85 ^ mprj/u_mbist1/clkbuf_leaf_10_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           mprj/u_mbist1/clknet_leaf_10_wb_clk_i (net)
+                  0.10    0.00   13.85 ^ mprj/u_mbist1/_4493_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   13.60   clock uncertainty
+                          0.16   13.77   clock reconvergence pessimism
+                         -0.10   13.66   library setup time
+                                 13.66   data required time
+-----------------------------------------------------------------------------
+                                 13.66   data required time
+                                -12.51   data arrival time
+-----------------------------------------------------------------------------
+                                  1.15   slack (MET)
+
+
+Startpoint: mprj/u_sram6_2kb
+            (falling edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist1/_4469_
+          (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Path Group: wbs_clk_i
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          5.00    5.00   clock wbs_clk_i (fall edge)
+                          0.00    5.00   clock source latency
+                  0.42    0.00    5.00 v mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.07                           mprj/wbd_clk_int (net)
+                  0.42    0.00    5.00 v mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.08    0.34    5.34 v mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.08    0.00    5.34 v mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.19    5.53 v mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.10    0.03    5.56 v mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.19    5.74 v mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.08    0.02    5.76 v mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.03    0.11    5.87 v mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.03    0.00    5.87 v mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.06    0.16    6.03 v mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.06    0.00    6.03 v mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.13    6.16 v mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.06    0.00    6.16 v mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.11    6.27 v mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.04    0.00    6.27 v mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.10    6.37 v mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.04    0.00    6.37 v mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.29    6.65 v mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.06    0.00    6.65 v mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.29    6.95 v mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.05    0.00    6.95 v mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.31    7.26 v mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    7.26 v mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.31    7.56 v mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.06    0.00    7.56 v mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.21    7.77 v mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.04                           mprj/wbd_clk_mbist1_skew (net)
+                  0.11    0.00    7.78 v mprj/u_mbist1/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.21    7.99 v mprj/u_mbist1/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2103 (net)
+                  0.12    0.00    7.99 v mprj/u_mbist1/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.06    0.21    8.20 v mprj/u_mbist1/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2102 (net)
+                  0.06    0.00    8.20 v mprj/u_mbist1/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.16    8.36 v mprj/u_mbist1/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2101 (net)
+                  0.06    0.01    8.37 v mprj/u_mbist1/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.16    8.53 v mprj/u_mbist1/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist1/clknet_0_wb_clk2_i (net)
+                  0.03    0.00    8.53 v mprj/u_mbist1/clkbuf_1_0__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.13    8.66 v mprj/u_mbist1/clkbuf_1_0__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_mbist1/clknet_1_0__leaf_wb_clk2_i (net)
+                  0.03    0.00    8.66 v mprj/u_mbist1/wire14/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.25    8.91 v mprj/u_mbist1/wire14/X (sky130_fd_sc_hd__clkbuf_4)
+     8    0.09                           mprj/u_mbist1/net2107 (net)
+                  0.17    0.01    8.92 v mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
+                  0.05    0.35    9.27 v mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.00                           mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.05    0.00    9.27 v mprj/u_mbist1/wire13/A (sky130_fd_sc_hd__buf_2)
+                  0.13    0.22    9.50 v mprj/u_mbist1/wire13/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mbist1/net2106 (net)
+                  0.13    0.00    9.50 v mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.08    0.24    9.74 v mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mbist1/clknet_0_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.08    0.01    9.74 v mprj/u_mbist1/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    9.92 v mprj/u_mbist1/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_mbist1/clknet_3_7__leaf_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.04    0.00    9.92 v mprj/u_mbist1/_4688_/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.13   10.05 v mprj/u_mbist1/_4688_/X (sky130_fd_sc_hd__buf_2)
+     1    0.01                           mprj/u_mbist1/net241 (net)
+                  0.03    0.00   10.05 v mprj/u_mbist1/output241/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.36    0.34   10.39 v mprj/u_mbist1/output241/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.06                           mprj/mem_clk_a[6] (net)
+                  0.48    0.01   10.40 v mprj/u_sram6_2kb/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8)
+                  0.02    0.64   11.04 v mprj/u_sram6_2kb/dout0[4] (sky130_sram_2kbyte_1rw1r_32x512_8)
+     2    0.04                           mprj/mem6_dout_a[4] (net)
+                  0.02    0.01   11.05 v mprj/u_mbist1/input95/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.15    0.19   11.24 v mprj/u_mbist1/input95/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.04                           mprj/u_mbist1/net95 (net)
+                  0.15    0.00   11.24 v mprj/u_mbist1/wire1977/A (sky130_fd_sc_hd__buf_4)
+                  0.14    0.28   11.52 v mprj/u_mbist1/wire1977/X (sky130_fd_sc_hd__buf_4)
+     6    0.11                           mprj/u_mbist1/net1977 (net)
+                  0.15    0.03   11.55 v mprj/u_mbist1/_3572_/A2 (sky130_fd_sc_hd__a221o_1)
+                  0.10    0.45   12.00 v mprj/u_mbist1/_3572_/X (sky130_fd_sc_hd__a221o_1)
+     1    0.01                           mprj/u_mbist1/_1665_ (net)
+                  0.10    0.00   12.00 v mprj/u_mbist1/_3573_/B1 (sky130_fd_sc_hd__a21o_1)
+                  0.04    0.18   12.18 v mprj/u_mbist1/_3573_/X (sky130_fd_sc_hd__a21o_1)
+     1    0.00                           mprj/u_mbist1/_1666_ (net)
+                  0.04    0.00   12.18 v mprj/u_mbist1/_3574_/B1 (sky130_fd_sc_hd__o21a_2)
+                  0.17    0.24   12.42 v mprj/u_mbist1/_3574_/X (sky130_fd_sc_hd__o21a_2)
+     4    0.07                           mprj/u_mbist1/_1667_ (net)
+                  0.17    0.00   12.43 v mprj/u_mbist1/_3575_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.36   12.79 v mprj/u_mbist1/_3575_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/_0421_ (net)
+                  0.06    0.00   12.79 v mprj/u_mbist1/_4469_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 12.79   data arrival time
+
+                         10.00   10.00   clock wbs_clk_i (rise edge)
+                          0.00   10.00   clock source latency
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.29   10.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00   10.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.22   10.51 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03   10.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.20   10.74 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02   10.76 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11   10.87 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00   10.87 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.16   11.02 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00   11.03 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   11.17 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00   11.17 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.39 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.87 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00   11.87 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.10 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00   12.10 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.33 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00   12.34 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.53 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00   12.53 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.69 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00   12.70 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.86 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.86 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   12.98 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   12.98 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.10 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.10 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.21 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.21 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.39 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.39 ^ mprj/u_mbist1/wire7/A (sky130_fd_sc_hd__buf_8)
+                  0.20    0.22   13.61 ^ mprj/u_mbist1/wire7/X (sky130_fd_sc_hd__buf_8)
+    18    0.12                           mprj/u_mbist1/net2100 (net)
+                  0.20    0.01   13.63 ^ mprj/u_mbist1/wire6/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.27   13.90 ^ mprj/u_mbist1/wire6/X (sky130_fd_sc_hd__buf_4)
+     8    0.07                           mprj/u_mbist1/net2099 (net)
+                  0.21    0.00   13.90 ^ mprj/u_mbist1/clkbuf_leaf_14_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.23   14.13 ^ mprj/u_mbist1/clkbuf_leaf_14_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           mprj/u_mbist1/clknet_leaf_14_wb_clk_i (net)
+                  0.11    0.00   14.13 ^ mprj/u_mbist1/_4469_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   13.88   clock uncertainty
+                          0.16   14.04   clock reconvergence pessimism
+                         -0.11   13.93   library setup time
+                                 13.93   data required time
+-----------------------------------------------------------------------------
+                                 13.93   data required time
+                                -12.79   data arrival time
+-----------------------------------------------------------------------------
+                                  1.15   slack (MET)
+
+
+Startpoint: mprj/u_sram6_2kb
+            (falling edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist1/_4475_
+          (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Path Group: wbs_clk_i
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          5.00    5.00   clock wbs_clk_i (fall edge)
+                          0.00    5.00   clock source latency
+                  0.42    0.00    5.00 v mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.07                           mprj/wbd_clk_int (net)
+                  0.42    0.00    5.00 v mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.08    0.34    5.34 v mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.08    0.00    5.34 v mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.19    5.53 v mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.10    0.03    5.56 v mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.19    5.74 v mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.08    0.02    5.76 v mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.03    0.11    5.87 v mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.03    0.00    5.87 v mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.06    0.16    6.03 v mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.06    0.00    6.03 v mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.13    6.16 v mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.06    0.00    6.16 v mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.11    6.27 v mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.04    0.00    6.27 v mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.10    6.37 v mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.04    0.00    6.37 v mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.29    6.65 v mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.06    0.00    6.65 v mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.29    6.95 v mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.05    0.00    6.95 v mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.31    7.26 v mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    7.26 v mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.31    7.56 v mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.06    0.00    7.56 v mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.21    7.77 v mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.04                           mprj/wbd_clk_mbist1_skew (net)
+                  0.11    0.00    7.78 v mprj/u_mbist1/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.21    7.99 v mprj/u_mbist1/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2103 (net)
+                  0.12    0.00    7.99 v mprj/u_mbist1/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.06    0.21    8.20 v mprj/u_mbist1/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2102 (net)
+                  0.06    0.00    8.20 v mprj/u_mbist1/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.16    8.36 v mprj/u_mbist1/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2101 (net)
+                  0.06    0.01    8.37 v mprj/u_mbist1/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.16    8.53 v mprj/u_mbist1/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist1/clknet_0_wb_clk2_i (net)
+                  0.03    0.00    8.53 v mprj/u_mbist1/clkbuf_1_0__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.13    8.66 v mprj/u_mbist1/clkbuf_1_0__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_mbist1/clknet_1_0__leaf_wb_clk2_i (net)
+                  0.03    0.00    8.66 v mprj/u_mbist1/wire14/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.25    8.91 v mprj/u_mbist1/wire14/X (sky130_fd_sc_hd__clkbuf_4)
+     8    0.09                           mprj/u_mbist1/net2107 (net)
+                  0.17    0.01    8.92 v mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
+                  0.05    0.35    9.27 v mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.00                           mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.05    0.00    9.27 v mprj/u_mbist1/wire13/A (sky130_fd_sc_hd__buf_2)
+                  0.13    0.22    9.50 v mprj/u_mbist1/wire13/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mbist1/net2106 (net)
+                  0.13    0.00    9.50 v mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.08    0.24    9.74 v mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mbist1/clknet_0_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.08    0.01    9.74 v mprj/u_mbist1/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    9.92 v mprj/u_mbist1/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_mbist1/clknet_3_7__leaf_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.04    0.00    9.92 v mprj/u_mbist1/_4688_/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.13   10.05 v mprj/u_mbist1/_4688_/X (sky130_fd_sc_hd__buf_2)
+     1    0.01                           mprj/u_mbist1/net241 (net)
+                  0.03    0.00   10.05 v mprj/u_mbist1/output241/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.36    0.34   10.39 v mprj/u_mbist1/output241/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.06                           mprj/mem_clk_a[6] (net)
+                  0.48    0.01   10.40 v mprj/u_sram6_2kb/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8)
+                  0.02    0.57   10.98 v mprj/u_sram6_2kb/dout0[10] (sky130_sram_2kbyte_1rw1r_32x512_8)
+     2    0.03                           mprj/mem6_dout_a[10] (net)
+                  0.01    0.00   10.98 v mprj/u_mbist1/input70/A (sky130_fd_sc_hd__buf_6)
+                  0.05    0.13   11.11 v mprj/u_mbist1/input70/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/u_mbist1/net70 (net)
+                  0.05    0.00   11.11 v mprj/u_mbist1/wire2004/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.17   11.28 v mprj/u_mbist1/wire2004/X (sky130_fd_sc_hd__buf_6)
+     6    0.12                           mprj/u_mbist1/net2004 (net)
+                  0.13    0.04   11.32 v mprj/u_mbist1/_3596_/A2 (sky130_fd_sc_hd__a221o_1)
+                  0.10    0.45   11.77 v mprj/u_mbist1/_3596_/X (sky130_fd_sc_hd__a221o_1)
+     1    0.01                           mprj/u_mbist1/_1683_ (net)
+                  0.10    0.00   11.77 v mprj/u_mbist1/_3597_/B1 (sky130_fd_sc_hd__a21o_1)
+                  0.03    0.18   11.95 v mprj/u_mbist1/_3597_/X (sky130_fd_sc_hd__a21o_1)
+     1    0.00                           mprj/u_mbist1/_1684_ (net)
+                  0.03    0.00   11.95 v mprj/u_mbist1/_3598_/B1 (sky130_fd_sc_hd__o21a_2)
+                  0.14    0.22   12.17 v mprj/u_mbist1/_3598_/X (sky130_fd_sc_hd__o21a_2)
+     4    0.05                           mprj/u_mbist1/_1685_ (net)
+                  0.14    0.00   12.17 v mprj/u_mbist1/_3599_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.33   12.51 v mprj/u_mbist1/_3599_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/_0427_ (net)
+                  0.05    0.00   12.51 v mprj/u_mbist1/_4475_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 12.51   data arrival time
+
+                         10.00   10.00   clock wbs_clk_i (rise edge)
+                          0.00   10.00   clock source latency
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.29   10.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00   10.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.22   10.51 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03   10.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.20   10.74 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02   10.76 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11   10.87 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00   10.87 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.16   11.02 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00   11.03 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   11.17 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00   11.17 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.39 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.87 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00   11.87 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.10 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00   12.10 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.33 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00   12.34 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.53 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00   12.53 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.69 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00   12.70 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.86 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.86 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   12.98 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   12.98 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.10 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.10 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.21 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.21 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.39 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.39 ^ mprj/u_mbist1/wire7/A (sky130_fd_sc_hd__buf_8)
+                  0.20    0.22   13.61 ^ mprj/u_mbist1/wire7/X (sky130_fd_sc_hd__buf_8)
+    18    0.12                           mprj/u_mbist1/net2100 (net)
+                  0.20    0.01   13.63 ^ mprj/u_mbist1/clkbuf_leaf_18_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.22   13.85 ^ mprj/u_mbist1/clkbuf_leaf_18_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           mprj/u_mbist1/clknet_leaf_18_wb_clk_i (net)
+                  0.11    0.00   13.85 ^ mprj/u_mbist1/_4475_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   13.60   clock uncertainty
+                          0.16   13.77   clock reconvergence pessimism
+                         -0.10   13.66   library setup time
+                                 13.66   data required time
+-----------------------------------------------------------------------------
+                                 13.66   data required time
+                                -12.51   data arrival time
+-----------------------------------------------------------------------------
+                                  1.16   slack (MET)
+
+
+Startpoint: mprj/u_sram6_2kb
+            (falling edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist1/_4465_
+          (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Path Group: wbs_clk_i
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          5.00    5.00   clock wbs_clk_i (fall edge)
+                          0.00    5.00   clock source latency
+                  0.42    0.00    5.00 v mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.07                           mprj/wbd_clk_int (net)
+                  0.42    0.00    5.00 v mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.08    0.34    5.34 v mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.08    0.00    5.34 v mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.19    5.53 v mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.10    0.03    5.56 v mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.19    5.74 v mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.08    0.02    5.76 v mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.03    0.11    5.87 v mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.03    0.00    5.87 v mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.06    0.16    6.03 v mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.06    0.00    6.03 v mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.13    6.16 v mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.06    0.00    6.16 v mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.11    6.27 v mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.04    0.00    6.27 v mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.10    6.37 v mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.04    0.00    6.37 v mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.29    6.65 v mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.06    0.00    6.65 v mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.29    6.95 v mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.05    0.00    6.95 v mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.31    7.26 v mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    7.26 v mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.31    7.56 v mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.06    0.00    7.56 v mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.21    7.77 v mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.04                           mprj/wbd_clk_mbist1_skew (net)
+                  0.11    0.00    7.78 v mprj/u_mbist1/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.21    7.99 v mprj/u_mbist1/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2103 (net)
+                  0.12    0.00    7.99 v mprj/u_mbist1/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.06    0.21    8.20 v mprj/u_mbist1/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2102 (net)
+                  0.06    0.00    8.20 v mprj/u_mbist1/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.16    8.36 v mprj/u_mbist1/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2101 (net)
+                  0.06    0.01    8.37 v mprj/u_mbist1/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.16    8.53 v mprj/u_mbist1/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist1/clknet_0_wb_clk2_i (net)
+                  0.03    0.00    8.53 v mprj/u_mbist1/clkbuf_1_0__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.13    8.66 v mprj/u_mbist1/clkbuf_1_0__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_mbist1/clknet_1_0__leaf_wb_clk2_i (net)
+                  0.03    0.00    8.66 v mprj/u_mbist1/wire14/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.25    8.91 v mprj/u_mbist1/wire14/X (sky130_fd_sc_hd__clkbuf_4)
+     8    0.09                           mprj/u_mbist1/net2107 (net)
+                  0.17    0.01    8.92 v mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
+                  0.05    0.35    9.27 v mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.00                           mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.05    0.00    9.27 v mprj/u_mbist1/wire13/A (sky130_fd_sc_hd__buf_2)
+                  0.13    0.22    9.50 v mprj/u_mbist1/wire13/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mbist1/net2106 (net)
+                  0.13    0.00    9.50 v mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.08    0.24    9.74 v mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mbist1/clknet_0_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.08    0.01    9.74 v mprj/u_mbist1/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    9.92 v mprj/u_mbist1/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_mbist1/clknet_3_7__leaf_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.04    0.00    9.92 v mprj/u_mbist1/_4688_/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.13   10.05 v mprj/u_mbist1/_4688_/X (sky130_fd_sc_hd__buf_2)
+     1    0.01                           mprj/u_mbist1/net241 (net)
+                  0.03    0.00   10.05 v mprj/u_mbist1/output241/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.36    0.34   10.39 v mprj/u_mbist1/output241/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.06                           mprj/mem_clk_a[6] (net)
+                  0.48    0.01   10.40 v mprj/u_sram6_2kb/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8)
+                  0.03    0.67   11.07 v mprj/u_sram6_2kb/dout0[0] (sky130_sram_2kbyte_1rw1r_32x512_8)
+     2    0.05                           mprj/mem6_dout_a[0] (net)
+                  0.02    0.01   11.08 v mprj/u_mbist1/input69/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.15   11.22 v mprj/u_mbist1/input69/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.02                           mprj/u_mbist1/net69 (net)
+                  0.11    0.00   11.23 v mprj/u_mbist1/wire2006/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.24   11.46 v mprj/u_mbist1/wire2006/X (sky130_fd_sc_hd__clkbuf_8)
+     6    0.13                           mprj/u_mbist1/net2006 (net)
+                  0.17    0.05   11.51 v mprj/u_mbist1/_3556_/A2 (sky130_fd_sc_hd__a221o_1)
+                  0.14    0.50   12.01 v mprj/u_mbist1/_3556_/X (sky130_fd_sc_hd__a221o_1)
+     2    0.02                           mprj/u_mbist1/_1653_ (net)
+                  0.14    0.00   12.01 v mprj/u_mbist1/_3557_/B1 (sky130_fd_sc_hd__a21o_1)
+                  0.03    0.19   12.21 v mprj/u_mbist1/_3557_/X (sky130_fd_sc_hd__a21o_1)
+     1    0.00                           mprj/u_mbist1/_1654_ (net)
+                  0.03    0.00   12.21 v mprj/u_mbist1/_3558_/B1 (sky130_fd_sc_hd__o21a_2)
+                  0.16    0.23   12.43 v mprj/u_mbist1/_3558_/X (sky130_fd_sc_hd__o21a_2)
+     4    0.06                           mprj/u_mbist1/_1655_ (net)
+                  0.16    0.01   12.44 v mprj/u_mbist1/_3559_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.34   12.78 v mprj/u_mbist1/_3559_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/_0417_ (net)
+                  0.05    0.00   12.78 v mprj/u_mbist1/_4465_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 12.78   data arrival time
+
+                         10.00   10.00   clock wbs_clk_i (rise edge)
+                          0.00   10.00   clock source latency
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.29   10.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00   10.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.22   10.51 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03   10.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.20   10.74 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02   10.76 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11   10.87 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00   10.87 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.16   11.02 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00   11.03 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   11.17 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00   11.17 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.39 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.87 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00   11.87 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.10 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00   12.10 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.33 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00   12.34 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.53 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00   12.53 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.69 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00   12.70 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.86 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.86 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   12.98 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   12.98 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.10 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.10 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.21 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.21 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.39 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.39 ^ mprj/u_mbist1/wire7/A (sky130_fd_sc_hd__buf_8)
+                  0.20    0.22   13.61 ^ mprj/u_mbist1/wire7/X (sky130_fd_sc_hd__buf_8)
+    18    0.12                           mprj/u_mbist1/net2100 (net)
+                  0.20    0.01   13.63 ^ mprj/u_mbist1/wire6/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.27   13.90 ^ mprj/u_mbist1/wire6/X (sky130_fd_sc_hd__buf_4)
+     8    0.07                           mprj/u_mbist1/net2099 (net)
+                  0.21    0.01   13.90 ^ mprj/u_mbist1/clkbuf_leaf_15_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.23   14.13 ^ mprj/u_mbist1/clkbuf_leaf_15_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           mprj/u_mbist1/clknet_leaf_15_wb_clk_i (net)
+                  0.11    0.00   14.13 ^ mprj/u_mbist1/_4465_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   13.88   clock uncertainty
+                          0.16   14.05   clock reconvergence pessimism
+                         -0.10   13.94   library setup time
+                                 13.94   data required time
+-----------------------------------------------------------------------------
+                                 13.94   data required time
+                                -12.78   data arrival time
+-----------------------------------------------------------------------------
+                                  1.16   slack (MET)
+
+
+Startpoint: mprj/u_sram2_2kb
+            (falling edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist0/_4483_
+          (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Path Group: wbs_clk_i
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          5.00    5.00   clock wbs_clk_i (fall edge)
+                          0.00    5.00   clock source latency
+                  0.42    0.00    5.00 v mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.07                           mprj/wbd_clk_int (net)
+                  0.42    0.00    5.00 v mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.18    0.42    5.43 v mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.18    0.00    5.43 v mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.18    5.61 v mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.07    0.00    5.62 v mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.08    0.19    5.81 v mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.08    0.00    5.81 v mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.14    5.95 v mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.06    0.00    5.95 v mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.11    6.06 v mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.04    0.00    6.06 v mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.10    6.15 v mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.04    0.00    6.15 v mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.12    6.27 v mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.06    0.00    6.27 v mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.11    6.38 v mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.04    0.00    6.38 v mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.29    6.67 v mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.06    0.00    6.67 v mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.29    6.96 v mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.05    0.00    6.96 v mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.32    7.28 v mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00    7.28 v mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.31    7.59 v mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.06    0.00    7.59 v mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.21    7.80 v mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.04                           mprj/wbd_clk_mbist0_skew (net)
+                  0.11    0.00    7.80 v mprj/u_mbist0/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.21    8.01 v mprj/u_mbist0/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2103 (net)
+                  0.12    0.00    8.01 v mprj/u_mbist0/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.06    0.21    8.22 v mprj/u_mbist0/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2102 (net)
+                  0.06    0.00    8.23 v mprj/u_mbist0/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.16    8.39 v mprj/u_mbist0/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2101 (net)
+                  0.06    0.01    8.39 v mprj/u_mbist0/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.16    8.55 v mprj/u_mbist0/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist0/clknet_0_wb_clk2_i (net)
+                  0.03    0.00    8.55 v mprj/u_mbist0/clkbuf_1_0__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.13    8.68 v mprj/u_mbist0/clkbuf_1_0__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_mbist0/clknet_1_0__leaf_wb_clk2_i (net)
+                  0.03    0.00    8.68 v mprj/u_mbist0/wire14/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.25    8.93 v mprj/u_mbist0/wire14/X (sky130_fd_sc_hd__clkbuf_4)
+     8    0.09                           mprj/u_mbist0/net2107 (net)
+                  0.17    0.01    8.95 v mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
+                  0.05    0.35    9.30 v mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.00                           mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.05    0.00    9.30 v mprj/u_mbist0/wire13/A (sky130_fd_sc_hd__buf_2)
+                  0.13    0.22    9.52 v mprj/u_mbist0/wire13/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mbist0/net2106 (net)
+                  0.13    0.00    9.52 v mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.08    0.24    9.76 v mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mbist0/clknet_0_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.08    0.01    9.77 v mprj/u_mbist0/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    9.95 v mprj/u_mbist0/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_mbist0/clknet_3_7__leaf_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.04    0.00    9.95 v mprj/u_mbist0/_4688_/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.13   10.08 v mprj/u_mbist0/_4688_/X (sky130_fd_sc_hd__buf_2)
+     1    0.01                           mprj/u_mbist0/net241 (net)
+                  0.03    0.00   10.08 v mprj/u_mbist0/output241/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.36    0.34   10.41 v mprj/u_mbist0/output241/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.06                           mprj/mem_clk_a[2] (net)
+                  0.48    0.01   10.43 v mprj/u_sram2_2kb/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8)
+                  0.01    0.49   10.92 v mprj/u_sram2_2kb/dout0[18] (sky130_sram_2kbyte_1rw1r_32x512_8)
+     2    0.02                           mprj/mem2_dout_a[18] (net)
+                  0.01    0.00   10.92 v mprj/u_mbist0/input78/A (sky130_fd_sc_hd__buf_6)
+                  0.04    0.12   11.05 v mprj/u_mbist0/input78/X (sky130_fd_sc_hd__buf_6)
+     2    0.03                           mprj/u_mbist0/net78 (net)
+                  0.04    0.00   11.05 v mprj/u_mbist0/wire1996/A (sky130_fd_sc_hd__buf_6)
+                  0.12    0.17   11.22 v mprj/u_mbist0/wire1996/X (sky130_fd_sc_hd__buf_6)
+     4    0.13                           mprj/u_mbist0/net1996 (net)
+                  0.15    0.05   11.27 v mprj/u_mbist0/_3628_/A2 (sky130_fd_sc_hd__a221o_1)
+                  0.09    0.45   11.72 v mprj/u_mbist0/_3628_/X (sky130_fd_sc_hd__a221o_1)
+     1    0.01                           mprj/u_mbist0/_1707_ (net)
+                  0.09    0.00   11.72 v mprj/u_mbist0/_3629_/B1 (sky130_fd_sc_hd__a21o_1)
+                  0.04    0.18   11.90 v mprj/u_mbist0/_3629_/X (sky130_fd_sc_hd__a21o_1)
+     1    0.00                           mprj/u_mbist0/_1708_ (net)
+                  0.04    0.00   11.90 v mprj/u_mbist0/_3630_/B1 (sky130_fd_sc_hd__o21a_1)
+                  0.06    0.13   12.03 v mprj/u_mbist0/_3630_/X (sky130_fd_sc_hd__o21a_1)
+     2    0.01                           mprj/u_mbist0/_1709_ (net)
+                  0.06    0.00   12.03 v mprj/u_mbist0/_3631_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.31   12.34 v mprj/u_mbist0/_3631_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/_0435_ (net)
+                  0.06    0.00   12.34 v mprj/u_mbist0/_4483_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 12.34   data arrival time
+
+                         10.00   10.00   clock wbs_clk_i (rise edge)
+                          0.00   10.00   clock source latency
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.41   10.41 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00   10.41 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.19   10.60 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00   10.60 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.21   10.81 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00   10.81 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   10.97 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00   10.97 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11   11.44 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00   11.44 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.93 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00   11.93 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.16 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00   12.16 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.39 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00   12.40 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.59 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00   12.59 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.75 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00   12.75 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.92 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.92 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   13.04 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   13.04 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.16 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.16 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.27 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.27 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.45 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.45 ^ mprj/u_mbist0/clkbuf_leaf_9_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.14    0.22   13.67 ^ mprj/u_mbist0/clkbuf_leaf_9_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.12                           mprj/u_mbist0/clknet_leaf_9_wb_clk_i (net)
+                  0.14    0.01   13.68 ^ mprj/u_mbist0/_4483_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   13.43   clock uncertainty
+                          0.17   13.60   clock reconvergence pessimism
+                         -0.10   13.50   library setup time
+                                 13.50   data required time
+-----------------------------------------------------------------------------
+                                 13.50   data required time
+                                -12.34   data arrival time
+-----------------------------------------------------------------------------
+                                  1.16   slack (MET)
+
+
+Startpoint: mprj/u_sram6_2kb
+            (falling edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist1/_4471_
+          (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Path Group: wbs_clk_i
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          5.00    5.00   clock wbs_clk_i (fall edge)
+                          0.00    5.00   clock source latency
+                  0.42    0.00    5.00 v mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.07                           mprj/wbd_clk_int (net)
+                  0.42    0.00    5.00 v mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.08    0.34    5.34 v mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.08    0.00    5.34 v mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.19    5.53 v mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.10    0.03    5.56 v mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.19    5.74 v mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.08    0.02    5.76 v mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.03    0.11    5.87 v mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.03    0.00    5.87 v mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.06    0.16    6.03 v mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.06    0.00    6.03 v mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.13    6.16 v mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.06    0.00    6.16 v mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.11    6.27 v mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.04    0.00    6.27 v mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.10    6.37 v mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.04    0.00    6.37 v mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.29    6.65 v mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.06    0.00    6.65 v mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.29    6.95 v mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.05    0.00    6.95 v mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.31    7.26 v mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    7.26 v mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.31    7.56 v mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.06    0.00    7.56 v mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.21    7.77 v mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.04                           mprj/wbd_clk_mbist1_skew (net)
+                  0.11    0.00    7.78 v mprj/u_mbist1/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.21    7.99 v mprj/u_mbist1/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2103 (net)
+                  0.12    0.00    7.99 v mprj/u_mbist1/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.06    0.21    8.20 v mprj/u_mbist1/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2102 (net)
+                  0.06    0.00    8.20 v mprj/u_mbist1/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.16    8.36 v mprj/u_mbist1/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2101 (net)
+                  0.06    0.01    8.37 v mprj/u_mbist1/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.16    8.53 v mprj/u_mbist1/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist1/clknet_0_wb_clk2_i (net)
+                  0.03    0.00    8.53 v mprj/u_mbist1/clkbuf_1_0__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.13    8.66 v mprj/u_mbist1/clkbuf_1_0__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_mbist1/clknet_1_0__leaf_wb_clk2_i (net)
+                  0.03    0.00    8.66 v mprj/u_mbist1/wire14/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.25    8.91 v mprj/u_mbist1/wire14/X (sky130_fd_sc_hd__clkbuf_4)
+     8    0.09                           mprj/u_mbist1/net2107 (net)
+                  0.17    0.01    8.92 v mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
+                  0.05    0.35    9.27 v mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.00                           mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.05    0.00    9.27 v mprj/u_mbist1/wire13/A (sky130_fd_sc_hd__buf_2)
+                  0.13    0.22    9.50 v mprj/u_mbist1/wire13/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mbist1/net2106 (net)
+                  0.13    0.00    9.50 v mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.08    0.24    9.74 v mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mbist1/clknet_0_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.08    0.01    9.74 v mprj/u_mbist1/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    9.92 v mprj/u_mbist1/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_mbist1/clknet_3_7__leaf_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.04    0.00    9.92 v mprj/u_mbist1/_4688_/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.13   10.05 v mprj/u_mbist1/_4688_/X (sky130_fd_sc_hd__buf_2)
+     1    0.01                           mprj/u_mbist1/net241 (net)
+                  0.03    0.00   10.05 v mprj/u_mbist1/output241/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.36    0.34   10.39 v mprj/u_mbist1/output241/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.06                           mprj/mem_clk_a[6] (net)
+                  0.48    0.01   10.40 v mprj/u_sram6_2kb/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8)
+                  0.02    0.59   10.99 v mprj/u_sram6_2kb/dout0[6] (sky130_sram_2kbyte_1rw1r_32x512_8)
+     2    0.03                           mprj/mem6_dout_a[6] (net)
+                  0.01    0.00   10.99 v mprj/u_mbist1/input97/A (sky130_fd_sc_hd__buf_6)
+                  0.05    0.13   11.13 v mprj/u_mbist1/input97/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/u_mbist1/net97 (net)
+                  0.05    0.01   11.13 v mprj/u_mbist1/wire1975/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.17   11.31 v mprj/u_mbist1/wire1975/X (sky130_fd_sc_hd__buf_6)
+     6    0.11                           mprj/u_mbist1/net1975 (net)
+                  0.12    0.03   11.34 v mprj/u_mbist1/_3580_/A2 (sky130_fd_sc_hd__a21o_1)
+                  0.04    0.22   11.56 v mprj/u_mbist1/_3580_/X (sky130_fd_sc_hd__a21o_1)
+     1    0.01                           mprj/u_mbist1/_1671_ (net)
+                  0.04    0.00   11.56 v mprj/u_mbist1/_3581_/C1 (sky130_fd_sc_hd__a221o_1)
+                  0.09    0.31   11.87 v mprj/u_mbist1/_3581_/X (sky130_fd_sc_hd__a221o_1)
+     1    0.01                           mprj/u_mbist1/_1672_ (net)
+                  0.09    0.00   11.88 v mprj/u_mbist1/_3582_/B1 (sky130_fd_sc_hd__o21a_2)
+                  0.16    0.26   12.13 v mprj/u_mbist1/_3582_/X (sky130_fd_sc_hd__o21a_2)
+     4    0.06                           mprj/u_mbist1/_1673_ (net)
+                  0.16    0.00   12.14 v mprj/u_mbist1/_3583_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.36   12.49 v mprj/u_mbist1/_3583_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/_0423_ (net)
+                  0.06    0.00   12.49 v mprj/u_mbist1/_4471_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 12.49   data arrival time
+
+                         10.00   10.00   clock wbs_clk_i (rise edge)
+                          0.00   10.00   clock source latency
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.29   10.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00   10.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.22   10.51 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03   10.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.20   10.74 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02   10.76 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11   10.87 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00   10.87 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.16   11.02 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00   11.03 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   11.17 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00   11.17 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.39 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.87 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00   11.87 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.10 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00   12.10 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.33 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00   12.34 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.53 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00   12.53 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.69 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00   12.70 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.86 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.86 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   12.98 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   12.98 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.10 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.10 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.21 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.21 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.39 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.39 ^ mprj/u_mbist1/wire7/A (sky130_fd_sc_hd__buf_8)
+                  0.20    0.22   13.61 ^ mprj/u_mbist1/wire7/X (sky130_fd_sc_hd__buf_8)
+    18    0.12                           mprj/u_mbist1/net2100 (net)
+                  0.20    0.01   13.63 ^ mprj/u_mbist1/clkbuf_leaf_10_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.22   13.85 ^ mprj/u_mbist1/clkbuf_leaf_10_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           mprj/u_mbist1/clknet_leaf_10_wb_clk_i (net)
+                  0.10    0.00   13.85 ^ mprj/u_mbist1/_4471_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   13.60   clock uncertainty
+                          0.16   13.77   clock reconvergence pessimism
+                         -0.11   13.66   library setup time
+                                 13.66   data required time
+-----------------------------------------------------------------------------
+                                 13.66   data required time
+                                -12.49   data arrival time
+-----------------------------------------------------------------------------
+                                  1.16   slack (MET)
+
+
+Startpoint: mprj/u_sram2_2kb
+            (falling edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist0/_4471_
+          (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Path Group: wbs_clk_i
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          5.00    5.00   clock wbs_clk_i (fall edge)
+                          0.00    5.00   clock source latency
+                  0.42    0.00    5.00 v mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.07                           mprj/wbd_clk_int (net)
+                  0.42    0.00    5.00 v mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.18    0.42    5.43 v mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.18    0.00    5.43 v mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.18    5.61 v mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.07    0.00    5.62 v mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.08    0.19    5.81 v mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.08    0.00    5.81 v mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.14    5.95 v mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.06    0.00    5.95 v mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.11    6.06 v mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.04    0.00    6.06 v mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.10    6.15 v mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.04    0.00    6.15 v mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.12    6.27 v mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.06    0.00    6.27 v mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.11    6.38 v mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.04    0.00    6.38 v mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.29    6.67 v mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.06    0.00    6.67 v mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.29    6.96 v mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.05    0.00    6.96 v mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.32    7.28 v mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00    7.28 v mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.31    7.59 v mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.06    0.00    7.59 v mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.21    7.80 v mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.04                           mprj/wbd_clk_mbist0_skew (net)
+                  0.11    0.00    7.80 v mprj/u_mbist0/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.21    8.01 v mprj/u_mbist0/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2103 (net)
+                  0.12    0.00    8.01 v mprj/u_mbist0/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.06    0.21    8.22 v mprj/u_mbist0/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2102 (net)
+                  0.06    0.00    8.23 v mprj/u_mbist0/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.16    8.39 v mprj/u_mbist0/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2101 (net)
+                  0.06    0.01    8.39 v mprj/u_mbist0/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.16    8.55 v mprj/u_mbist0/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist0/clknet_0_wb_clk2_i (net)
+                  0.03    0.00    8.55 v mprj/u_mbist0/clkbuf_1_0__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.13    8.68 v mprj/u_mbist0/clkbuf_1_0__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_mbist0/clknet_1_0__leaf_wb_clk2_i (net)
+                  0.03    0.00    8.68 v mprj/u_mbist0/wire14/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.25    8.93 v mprj/u_mbist0/wire14/X (sky130_fd_sc_hd__clkbuf_4)
+     8    0.09                           mprj/u_mbist0/net2107 (net)
+                  0.17    0.01    8.95 v mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
+                  0.05    0.35    9.30 v mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.00                           mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.05    0.00    9.30 v mprj/u_mbist0/wire13/A (sky130_fd_sc_hd__buf_2)
+                  0.13    0.22    9.52 v mprj/u_mbist0/wire13/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mbist0/net2106 (net)
+                  0.13    0.00    9.52 v mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.08    0.24    9.76 v mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mbist0/clknet_0_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.08    0.01    9.77 v mprj/u_mbist0/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    9.95 v mprj/u_mbist0/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_mbist0/clknet_3_7__leaf_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.04    0.00    9.95 v mprj/u_mbist0/_4688_/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.13   10.08 v mprj/u_mbist0/_4688_/X (sky130_fd_sc_hd__buf_2)
+     1    0.01                           mprj/u_mbist0/net241 (net)
+                  0.03    0.00   10.08 v mprj/u_mbist0/output241/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.36    0.34   10.41 v mprj/u_mbist0/output241/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.06                           mprj/mem_clk_a[2] (net)
+                  0.48    0.01   10.43 v mprj/u_sram2_2kb/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8)
+                  0.02    0.62   11.05 v mprj/u_sram2_2kb/dout0[6] (sky130_sram_2kbyte_1rw1r_32x512_8)
+     2    0.04                           mprj/mem2_dout_a[6] (net)
+                  0.02    0.01   11.05 v mprj/u_mbist0/input97/A (sky130_fd_sc_hd__buf_6)
+                  0.05    0.13   11.19 v mprj/u_mbist0/input97/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/u_mbist0/net97 (net)
+                  0.05    0.01   11.19 v mprj/u_mbist0/wire1975/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.17   11.36 v mprj/u_mbist0/wire1975/X (sky130_fd_sc_hd__buf_6)
+     6    0.11                           mprj/u_mbist0/net1975 (net)
+                  0.12    0.03   11.40 v mprj/u_mbist0/_3580_/A2 (sky130_fd_sc_hd__a21o_1)
+                  0.04    0.22   11.62 v mprj/u_mbist0/_3580_/X (sky130_fd_sc_hd__a21o_1)
+     1    0.01                           mprj/u_mbist0/_1671_ (net)
+                  0.04    0.00   11.62 v mprj/u_mbist0/_3581_/C1 (sky130_fd_sc_hd__a221o_1)
+                  0.09    0.31   11.93 v mprj/u_mbist0/_3581_/X (sky130_fd_sc_hd__a221o_1)
+     1    0.01                           mprj/u_mbist0/_1672_ (net)
+                  0.09    0.00   11.93 v mprj/u_mbist0/_3582_/B1 (sky130_fd_sc_hd__o21a_2)
+                  0.16    0.26   12.19 v mprj/u_mbist0/_3582_/X (sky130_fd_sc_hd__o21a_2)
+     4    0.06                           mprj/u_mbist0/_1673_ (net)
+                  0.16    0.00   12.19 v mprj/u_mbist0/_3583_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.36   12.55 v mprj/u_mbist0/_3583_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/_0423_ (net)
+                  0.06    0.00   12.55 v mprj/u_mbist0/_4471_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 12.55   data arrival time
+
+                         10.00   10.00   clock wbs_clk_i (rise edge)
+                          0.00   10.00   clock source latency
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.41   10.41 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00   10.41 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.19   10.60 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00   10.60 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.21   10.81 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00   10.81 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   10.97 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00   10.97 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11   11.44 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00   11.44 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.93 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00   11.93 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.16 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00   12.16 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.39 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00   12.40 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.59 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00   12.59 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.75 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00   12.75 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.92 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.92 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   13.04 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   13.04 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.16 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.16 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.27 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.27 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.45 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.45 ^ mprj/u_mbist0/wire7/A (sky130_fd_sc_hd__buf_8)
+                  0.20    0.22   13.67 ^ mprj/u_mbist0/wire7/X (sky130_fd_sc_hd__buf_8)
+    18    0.12                           mprj/u_mbist0/net2100 (net)
+                  0.20    0.01   13.69 ^ mprj/u_mbist0/clkbuf_leaf_10_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.22   13.91 ^ mprj/u_mbist0/clkbuf_leaf_10_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           mprj/u_mbist0/clknet_leaf_10_wb_clk_i (net)
+                  0.10    0.00   13.91 ^ mprj/u_mbist0/_4471_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   13.66   clock uncertainty
+                          0.17   13.83   clock reconvergence pessimism
+                         -0.11   13.72   library setup time
+                                 13.72   data required time
+-----------------------------------------------------------------------------
+                                 13.72   data required time
+                                -12.55   data arrival time
+-----------------------------------------------------------------------------
+                                  1.17   slack (MET)
+
+
+Startpoint: mprj/u_sram6_2kb
+            (falling edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist1/_4537_
+          (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Path Group: wbs_clk_i
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          5.00    5.00   clock wbs_clk_i (fall edge)
+                          0.00    5.00   clock source latency
+                  0.42    0.00    5.00 v mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.07                           mprj/wbd_clk_int (net)
+                  0.42    0.00    5.00 v mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.08    0.34    5.34 v mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.08    0.00    5.34 v mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.19    5.53 v mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.10    0.03    5.56 v mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.19    5.74 v mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.08    0.02    5.76 v mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.03    0.11    5.87 v mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.03    0.00    5.87 v mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.06    0.16    6.03 v mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.06    0.00    6.03 v mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.13    6.16 v mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.06    0.00    6.16 v mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.11    6.27 v mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.04    0.00    6.27 v mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.10    6.37 v mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.04    0.00    6.37 v mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.29    6.65 v mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.06    0.00    6.65 v mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.29    6.95 v mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.05    0.00    6.95 v mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.31    7.26 v mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    7.26 v mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.31    7.56 v mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.06    0.00    7.56 v mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.21    7.77 v mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.04                           mprj/wbd_clk_mbist1_skew (net)
+                  0.11    0.00    7.78 v mprj/u_mbist1/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.21    7.99 v mprj/u_mbist1/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2103 (net)
+                  0.12    0.00    7.99 v mprj/u_mbist1/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.06    0.21    8.20 v mprj/u_mbist1/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2102 (net)
+                  0.06    0.00    8.20 v mprj/u_mbist1/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.16    8.36 v mprj/u_mbist1/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2101 (net)
+                  0.06    0.01    8.37 v mprj/u_mbist1/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.16    8.53 v mprj/u_mbist1/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist1/clknet_0_wb_clk2_i (net)
+                  0.03    0.00    8.53 v mprj/u_mbist1/clkbuf_1_0__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.13    8.66 v mprj/u_mbist1/clkbuf_1_0__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_mbist1/clknet_1_0__leaf_wb_clk2_i (net)
+                  0.03    0.00    8.66 v mprj/u_mbist1/wire14/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.25    8.91 v mprj/u_mbist1/wire14/X (sky130_fd_sc_hd__clkbuf_4)
+     8    0.09                           mprj/u_mbist1/net2107 (net)
+                  0.17    0.01    8.92 v mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
+                  0.05    0.35    9.27 v mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.00                           mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.05    0.00    9.27 v mprj/u_mbist1/wire13/A (sky130_fd_sc_hd__buf_2)
+                  0.13    0.22    9.50 v mprj/u_mbist1/wire13/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mbist1/net2106 (net)
+                  0.13    0.00    9.50 v mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.08    0.24    9.74 v mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mbist1/clknet_0_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.08    0.01    9.74 v mprj/u_mbist1/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    9.92 v mprj/u_mbist1/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_mbist1/clknet_3_7__leaf_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.04    0.00    9.92 v mprj/u_mbist1/_4688_/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.13   10.05 v mprj/u_mbist1/_4688_/X (sky130_fd_sc_hd__buf_2)
+     1    0.01                           mprj/u_mbist1/net241 (net)
+                  0.03    0.00   10.05 v mprj/u_mbist1/output241/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.36    0.34   10.39 v mprj/u_mbist1/output241/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.06                           mprj/mem_clk_a[6] (net)
+                  0.48    0.01   10.40 v mprj/u_sram6_2kb/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8)
+                  0.03    0.66   11.06 v mprj/u_sram6_2kb/dout0[2] (sky130_sram_2kbyte_1rw1r_32x512_8)
+     2    0.05                           mprj/mem6_dout_a[2] (net)
+                  0.02    0.01   11.07 v mprj/u_mbist1/input91/A (sky130_fd_sc_hd__buf_6)
+                  0.04    0.13   11.19 v mprj/u_mbist1/input91/X (sky130_fd_sc_hd__buf_6)
+     2    0.03                           mprj/u_mbist1/net91 (net)
+                  0.04    0.00   11.20 v mprj/u_mbist1/wire1981/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.18   11.37 v mprj/u_mbist1/wire1981/X (sky130_fd_sc_hd__buf_6)
+     4    0.12                           mprj/u_mbist1/net1981 (net)
+                  0.12    0.03   11.41 v mprj/u_mbist1/_3564_/A2 (sky130_fd_sc_hd__a221o_1)
+                  0.10    0.44   11.85 v mprj/u_mbist1/_3564_/X (sky130_fd_sc_hd__a221o_1)
+     1    0.01                           mprj/u_mbist1/_1659_ (net)
+                  0.10    0.00   11.85 v mprj/u_mbist1/_3565_/B1 (sky130_fd_sc_hd__a21o_1)
+                  0.03    0.18   12.03 v mprj/u_mbist1/_3565_/X (sky130_fd_sc_hd__a21o_1)
+     1    0.00                           mprj/u_mbist1/_1660_ (net)
+                  0.03    0.00   12.03 v mprj/u_mbist1/_3566_/B1 (sky130_fd_sc_hd__o21a_2)
+                  0.19    0.25   12.28 v mprj/u_mbist1/_3566_/X (sky130_fd_sc_hd__o21a_2)
+     4    0.07                           mprj/u_mbist1/_1661_ (net)
+                  0.19    0.02   12.29 v mprj/u_mbist1/_3790_/A (sky130_fd_sc_hd__or2_1)
+                  0.05    0.29   12.58 v mprj/u_mbist1/_3790_/X (sky130_fd_sc_hd__or2_1)
+     1    0.00                           mprj/u_mbist1/_1817_ (net)
+                  0.05    0.00   12.58 v mprj/u_mbist1/_3792_/B1 (sky130_fd_sc_hd__a22o_1)
+                  0.04    0.18   12.77 v mprj/u_mbist1/_3792_/X (sky130_fd_sc_hd__a22o_1)
+     1    0.00                           mprj/u_mbist1/_0487_ (net)
+                  0.04    0.00   12.77 v mprj/u_mbist1/_4537_/D (sky130_fd_sc_hd__dfrtp_2)
+                                 12.77   data arrival time
+
+                         10.00   10.00   clock wbs_clk_i (rise edge)
+                          0.00   10.00   clock source latency
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.29   10.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00   10.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.22   10.51 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03   10.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.20   10.74 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02   10.76 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11   10.87 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00   10.87 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.16   11.02 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00   11.03 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   11.17 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00   11.17 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.39 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.87 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00   11.87 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.10 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00   12.10 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.33 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00   12.34 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.53 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00   12.53 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.69 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00   12.70 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.86 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.86 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   12.98 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   12.98 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.10 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.10 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.21 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.21 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.39 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.39 ^ mprj/u_mbist1/wire7/A (sky130_fd_sc_hd__buf_8)
+                  0.20    0.22   13.61 ^ mprj/u_mbist1/wire7/X (sky130_fd_sc_hd__buf_8)
+    18    0.12                           mprj/u_mbist1/net2100 (net)
+                  0.20    0.01   13.63 ^ mprj/u_mbist1/wire6/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.27   13.90 ^ mprj/u_mbist1/wire6/X (sky130_fd_sc_hd__buf_4)
+     8    0.07                           mprj/u_mbist1/net2099 (net)
+                  0.21    0.00   13.90 ^ mprj/u_mbist1/clkbuf_leaf_14_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.23   14.13 ^ mprj/u_mbist1/clkbuf_leaf_14_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           mprj/u_mbist1/clknet_leaf_14_wb_clk_i (net)
+                  0.11    0.00   14.13 ^ mprj/u_mbist1/_4537_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                         -0.25   13.88   clock uncertainty
+                          0.16   14.04   clock reconvergence pessimism
+                         -0.10   13.94   library setup time
+                                 13.94   data required time
+-----------------------------------------------------------------------------
+                                 13.94   data required time
+                                -12.77   data arrival time
+-----------------------------------------------------------------------------
+                                  1.18   slack (MET)
+
+
+Startpoint: mprj/u_sram2_2kb
+            (falling edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist0/_4536_
+          (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Path Group: wbs_clk_i
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          5.00    5.00   clock wbs_clk_i (fall edge)
+                          0.00    5.00   clock source latency
+                  0.42    0.00    5.00 v mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.07                           mprj/wbd_clk_int (net)
+                  0.42    0.00    5.00 v mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.18    0.42    5.43 v mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.18    0.00    5.43 v mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.18    5.61 v mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.07    0.00    5.62 v mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.08    0.19    5.81 v mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.08    0.00    5.81 v mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.14    5.95 v mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.06    0.00    5.95 v mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.11    6.06 v mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.04    0.00    6.06 v mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.10    6.15 v mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.04    0.00    6.15 v mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.12    6.27 v mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.06    0.00    6.27 v mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.11    6.38 v mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.04    0.00    6.38 v mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.29    6.67 v mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.06    0.00    6.67 v mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.29    6.96 v mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.05    0.00    6.96 v mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.32    7.28 v mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00    7.28 v mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.31    7.59 v mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.06    0.00    7.59 v mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.21    7.80 v mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.04                           mprj/wbd_clk_mbist0_skew (net)
+                  0.11    0.00    7.80 v mprj/u_mbist0/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.21    8.01 v mprj/u_mbist0/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2103 (net)
+                  0.12    0.00    8.01 v mprj/u_mbist0/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.06    0.21    8.22 v mprj/u_mbist0/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2102 (net)
+                  0.06    0.00    8.23 v mprj/u_mbist0/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.16    8.39 v mprj/u_mbist0/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2101 (net)
+                  0.06    0.01    8.39 v mprj/u_mbist0/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.16    8.55 v mprj/u_mbist0/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist0/clknet_0_wb_clk2_i (net)
+                  0.03    0.00    8.55 v mprj/u_mbist0/clkbuf_1_0__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.13    8.68 v mprj/u_mbist0/clkbuf_1_0__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_mbist0/clknet_1_0__leaf_wb_clk2_i (net)
+                  0.03    0.00    8.68 v mprj/u_mbist0/wire14/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.25    8.93 v mprj/u_mbist0/wire14/X (sky130_fd_sc_hd__clkbuf_4)
+     8    0.09                           mprj/u_mbist0/net2107 (net)
+                  0.17    0.01    8.95 v mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
+                  0.05    0.35    9.30 v mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.00                           mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.05    0.00    9.30 v mprj/u_mbist0/wire13/A (sky130_fd_sc_hd__buf_2)
+                  0.13    0.22    9.52 v mprj/u_mbist0/wire13/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mbist0/net2106 (net)
+                  0.13    0.00    9.52 v mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.08    0.24    9.76 v mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mbist0/clknet_0_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.08    0.01    9.77 v mprj/u_mbist0/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    9.95 v mprj/u_mbist0/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_mbist0/clknet_3_7__leaf_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.04    0.00    9.95 v mprj/u_mbist0/_4688_/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.13   10.08 v mprj/u_mbist0/_4688_/X (sky130_fd_sc_hd__buf_2)
+     1    0.01                           mprj/u_mbist0/net241 (net)
+                  0.03    0.00   10.08 v mprj/u_mbist0/output241/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.36    0.34   10.41 v mprj/u_mbist0/output241/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.06                           mprj/mem_clk_a[2] (net)
+                  0.48    0.01   10.43 v mprj/u_sram2_2kb/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8)
+                  0.02    0.62   11.05 v mprj/u_sram2_2kb/dout0[1] (sky130_sram_2kbyte_1rw1r_32x512_8)
+     2    0.04                           mprj/mem2_dout_a[1] (net)
+                  0.02    0.01   11.06 v mprj/u_mbist0/input80/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.10   11.16 v mprj/u_mbist0/input80/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_mbist0/net80 (net)
+                  0.06    0.00   11.16 v mprj/u_mbist0/wire1993/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.23   11.39 v mprj/u_mbist0/wire1993/X (sky130_fd_sc_hd__buf_6)
+     4    0.17                           mprj/u_mbist0/net1993 (net)
+                  0.15    0.02   11.40 v mprj/u_mbist0/_3560_/A2 (sky130_fd_sc_hd__a221o_1)
+                  0.12    0.47   11.88 v mprj/u_mbist0/_3560_/X (sky130_fd_sc_hd__a221o_1)
+     1    0.02                           mprj/u_mbist0/_1656_ (net)
+                  0.12    0.00   11.88 v mprj/u_mbist0/_3561_/B1 (sky130_fd_sc_hd__a21o_1)
+                  0.04    0.19   12.07 v mprj/u_mbist0/_3561_/X (sky130_fd_sc_hd__a21o_1)
+     1    0.00                           mprj/u_mbist0/_1657_ (net)
+                  0.04    0.00   12.07 v mprj/u_mbist0/_3562_/B1 (sky130_fd_sc_hd__o21a_1)
+                  0.22    0.26   12.33 v mprj/u_mbist0/_3562_/X (sky130_fd_sc_hd__o21a_1)
+     4    0.04                           mprj/u_mbist0/_1658_ (net)
+                  0.22    0.00   12.33 v mprj/u_mbist0/_3787_/A (sky130_fd_sc_hd__or2_1)
+                  0.06    0.31   12.64 v mprj/u_mbist0/_3787_/X (sky130_fd_sc_hd__or2_1)
+     1    0.00                           mprj/u_mbist0/_1815_ (net)
+                  0.06    0.00   12.64 v mprj/u_mbist0/_3789_/B1 (sky130_fd_sc_hd__a22o_1)
+                  0.04    0.18   12.82 v mprj/u_mbist0/_3789_/X (sky130_fd_sc_hd__a22o_1)
+     1    0.00                           mprj/u_mbist0/_0486_ (net)
+                  0.04    0.00   12.82 v mprj/u_mbist0/_4536_/D (sky130_fd_sc_hd__dfrtp_4)
+                                 12.82   data arrival time
+
+                         10.00   10.00   clock wbs_clk_i (rise edge)
+                          0.00   10.00   clock source latency
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.41   10.41 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00   10.41 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.19   10.60 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00   10.60 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.21   10.81 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00   10.81 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   10.97 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00   10.97 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11   11.44 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00   11.44 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.93 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00   11.93 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.16 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00   12.16 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.39 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00   12.40 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.59 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00   12.59 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.75 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00   12.75 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.92 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.92 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   13.04 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   13.04 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.16 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.16 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.27 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.27 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.45 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.45 ^ mprj/u_mbist0/wire7/A (sky130_fd_sc_hd__buf_8)
+                  0.20    0.22   13.67 ^ mprj/u_mbist0/wire7/X (sky130_fd_sc_hd__buf_8)
+    18    0.12                           mprj/u_mbist0/net2100 (net)
+                  0.20    0.01   13.69 ^ mprj/u_mbist0/wire6/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.27   13.95 ^ mprj/u_mbist0/wire6/X (sky130_fd_sc_hd__buf_4)
+     8    0.07                           mprj/u_mbist0/net2099 (net)
+                  0.21    0.00   13.96 ^ mprj/u_mbist0/clkbuf_leaf_14_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.23   14.18 ^ mprj/u_mbist0/clkbuf_leaf_14_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           mprj/u_mbist0/clknet_leaf_14_wb_clk_i (net)
+                  0.11    0.00   14.19 ^ mprj/u_mbist0/_4536_/CLK (sky130_fd_sc_hd__dfrtp_4)
+                         -0.25   13.94   clock uncertainty
+                          0.17   14.10   clock reconvergence pessimism
+                         -0.10   14.01   library setup time
+                                 14.01   data required time
+-----------------------------------------------------------------------------
+                                 14.01   data required time
+                                -12.82   data arrival time
+-----------------------------------------------------------------------------
+                                  1.18   slack (MET)
+
+
+Startpoint: mprj/u_sram2_2kb
+            (falling edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist0/_4540_
+          (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Path Group: wbs_clk_i
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          5.00    5.00   clock wbs_clk_i (fall edge)
+                          0.00    5.00   clock source latency
+                  0.42    0.00    5.00 v mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.07                           mprj/wbd_clk_int (net)
+                  0.42    0.00    5.00 v mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.18    0.42    5.43 v mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.18    0.00    5.43 v mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.18    5.61 v mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.07    0.00    5.62 v mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.08    0.19    5.81 v mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.08    0.00    5.81 v mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.14    5.95 v mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.06    0.00    5.95 v mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.11    6.06 v mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.04    0.00    6.06 v mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.10    6.15 v mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.04    0.00    6.15 v mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.12    6.27 v mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.06    0.00    6.27 v mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.11    6.38 v mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.04    0.00    6.38 v mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.29    6.67 v mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.06    0.00    6.67 v mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.29    6.96 v mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.05    0.00    6.96 v mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.32    7.28 v mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00    7.28 v mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.31    7.59 v mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.06    0.00    7.59 v mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.21    7.80 v mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.04                           mprj/wbd_clk_mbist0_skew (net)
+                  0.11    0.00    7.80 v mprj/u_mbist0/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.21    8.01 v mprj/u_mbist0/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2103 (net)
+                  0.12    0.00    8.01 v mprj/u_mbist0/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.06    0.21    8.22 v mprj/u_mbist0/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2102 (net)
+                  0.06    0.00    8.23 v mprj/u_mbist0/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.16    8.39 v mprj/u_mbist0/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2101 (net)
+                  0.06    0.01    8.39 v mprj/u_mbist0/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.16    8.55 v mprj/u_mbist0/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist0/clknet_0_wb_clk2_i (net)
+                  0.03    0.00    8.55 v mprj/u_mbist0/clkbuf_1_0__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.13    8.68 v mprj/u_mbist0/clkbuf_1_0__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_mbist0/clknet_1_0__leaf_wb_clk2_i (net)
+                  0.03    0.00    8.68 v mprj/u_mbist0/wire14/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.25    8.93 v mprj/u_mbist0/wire14/X (sky130_fd_sc_hd__clkbuf_4)
+     8    0.09                           mprj/u_mbist0/net2107 (net)
+                  0.17    0.01    8.95 v mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
+                  0.05    0.35    9.30 v mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.00                           mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.05    0.00    9.30 v mprj/u_mbist0/wire13/A (sky130_fd_sc_hd__buf_2)
+                  0.13    0.22    9.52 v mprj/u_mbist0/wire13/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mbist0/net2106 (net)
+                  0.13    0.00    9.52 v mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.08    0.24    9.76 v mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mbist0/clknet_0_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.08    0.01    9.77 v mprj/u_mbist0/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    9.95 v mprj/u_mbist0/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_mbist0/clknet_3_7__leaf_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.04    0.00    9.95 v mprj/u_mbist0/_4688_/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.13   10.08 v mprj/u_mbist0/_4688_/X (sky130_fd_sc_hd__buf_2)
+     1    0.01                           mprj/u_mbist0/net241 (net)
+                  0.03    0.00   10.08 v mprj/u_mbist0/output241/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.36    0.34   10.41 v mprj/u_mbist0/output241/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.06                           mprj/mem_clk_a[2] (net)
+                  0.48    0.01   10.43 v mprj/u_sram2_2kb/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8)
+                  0.02    0.61   11.03 v mprj/u_sram2_2kb/dout0[5] (sky130_sram_2kbyte_1rw1r_32x512_8)
+     2    0.04                           mprj/mem2_dout_a[5] (net)
+                  0.02    0.01   11.04 v mprj/u_mbist0/input96/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.18   11.22 v mprj/u_mbist0/input96/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net96 (net)
+                  0.13    0.00   11.22 v mprj/u_mbist0/wire1976/A (sky130_fd_sc_hd__buf_4)
+                  0.15    0.27   11.49 v mprj/u_mbist0/wire1976/X (sky130_fd_sc_hd__buf_4)
+     6    0.12                           mprj/u_mbist0/net1976 (net)
+                  0.17    0.04   11.53 v mprj/u_mbist0/_3576_/A2 (sky130_fd_sc_hd__a221o_1)
+                  0.13    0.50   12.02 v mprj/u_mbist0/_3576_/X (sky130_fd_sc_hd__a221o_1)
+     1    0.02                           mprj/u_mbist0/_1668_ (net)
+                  0.13    0.00   12.02 v mprj/u_mbist0/_3577_/B1 (sky130_fd_sc_hd__a21o_1)
+                  0.04    0.20   12.22 v mprj/u_mbist0/_3577_/X (sky130_fd_sc_hd__a21o_1)
+     1    0.00                           mprj/u_mbist0/_1669_ (net)
+                  0.04    0.00   12.22 v mprj/u_mbist0/_3578_/B1 (sky130_fd_sc_hd__o21a_2)
+                  0.23    0.28   12.50 v mprj/u_mbist0/_3578_/X (sky130_fd_sc_hd__o21a_2)
+     4    0.09                           mprj/u_mbist0/_1670_ (net)
+                  0.23    0.01   12.51 v mprj/u_mbist0/_3799_/A (sky130_fd_sc_hd__or2_1)
+                  0.06    0.32   12.82 v mprj/u_mbist0/_3799_/X (sky130_fd_sc_hd__or2_1)
+     1    0.01                           mprj/u_mbist0/_1823_ (net)
+                  0.06    0.00   12.82 v mprj/u_mbist0/_3801_/B1 (sky130_fd_sc_hd__a22o_1)
+                  0.05    0.19   13.02 v mprj/u_mbist0/_3801_/X (sky130_fd_sc_hd__a22o_1)
+     1    0.00                           mprj/u_mbist0/_0490_ (net)
+                  0.05    0.00   13.02 v mprj/u_mbist0/_4540_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 13.02   data arrival time
+
+                         10.00   10.00   clock wbs_clk_i (rise edge)
+                          0.00   10.00   clock source latency
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.41   10.41 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00   10.41 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.19   10.60 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00   10.60 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.21   10.81 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00   10.81 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   10.97 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00   10.97 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11   11.44 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00   11.44 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.93 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00   11.93 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.16 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00   12.16 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.39 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00   12.40 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.59 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00   12.59 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.75 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00   12.75 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.92 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.92 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   13.04 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   13.04 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.16 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.16 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.27 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.27 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.45 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.45 ^ mprj/u_mbist0/wire7/A (sky130_fd_sc_hd__buf_8)
+                  0.20    0.22   13.67 ^ mprj/u_mbist0/wire7/X (sky130_fd_sc_hd__buf_8)
+    18    0.12                           mprj/u_mbist0/net2100 (net)
+                  0.20    0.01   13.68 ^ mprj/u_mbist0/clkbuf_opt_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17   13.85 ^ mprj/u_mbist0/clkbuf_opt_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist0/clknet_opt_3_0_wb_clk_i (net)
+                  0.04    0.00   13.85 ^ mprj/u_mbist0/clkbuf_opt_3_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12   13.97 ^ mprj/u_mbist0/clkbuf_opt_3_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist0/clknet_opt_3_1_wb_clk_i (net)
+                  0.04    0.00   13.97 ^ mprj/u_mbist0/clkbuf_opt_3_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12   14.09 ^ mprj/u_mbist0/clkbuf_opt_3_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist0/clknet_opt_3_2_wb_clk_i (net)
+                  0.04    0.00   14.09 ^ mprj/u_mbist0/clkbuf_opt_3_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13   14.22 ^ mprj/u_mbist0/clkbuf_opt_3_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.03                           mprj/u_mbist0/clknet_opt_3_3_wb_clk_i (net)
+                  0.05    0.00   14.22 ^ mprj/u_mbist0/clkbuf_leaf_12_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.17   14.39 ^ mprj/u_mbist0/clkbuf_leaf_12_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_mbist0/clknet_leaf_12_wb_clk_i (net)
+                  0.10    0.00   14.39 ^ mprj/u_mbist0/_4540_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   14.14   clock uncertainty
+                          0.17   14.31   clock reconvergence pessimism
+                         -0.10   14.21   library setup time
+                                 14.21   data required time
+-----------------------------------------------------------------------------
+                                 14.21   data required time
+                                -13.02   data arrival time
+-----------------------------------------------------------------------------
+                                  1.19   slack (MET)
+
+
+Startpoint: mprj/u_sram6_2kb
+            (falling edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist1/_4559_
+          (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Path Group: wbs_clk_i
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          5.00    5.00   clock wbs_clk_i (fall edge)
+                          0.00    5.00   clock source latency
+                  0.42    0.00    5.00 v mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.07                           mprj/wbd_clk_int (net)
+                  0.42    0.00    5.00 v mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.08    0.34    5.34 v mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.08    0.00    5.34 v mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.19    5.53 v mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.10    0.03    5.56 v mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.19    5.74 v mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.08    0.02    5.76 v mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.03    0.11    5.87 v mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.03    0.00    5.87 v mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.06    0.16    6.03 v mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.06    0.00    6.03 v mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.13    6.16 v mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.06    0.00    6.16 v mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.11    6.27 v mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.04    0.00    6.27 v mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.10    6.37 v mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.04    0.00    6.37 v mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.29    6.65 v mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.06    0.00    6.65 v mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.29    6.95 v mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.05    0.00    6.95 v mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.31    7.26 v mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    7.26 v mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.31    7.56 v mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.06    0.00    7.56 v mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.21    7.77 v mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.04                           mprj/wbd_clk_mbist1_skew (net)
+                  0.11    0.00    7.78 v mprj/u_mbist1/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.21    7.99 v mprj/u_mbist1/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2103 (net)
+                  0.12    0.00    7.99 v mprj/u_mbist1/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.06    0.21    8.20 v mprj/u_mbist1/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2102 (net)
+                  0.06    0.00    8.20 v mprj/u_mbist1/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.16    8.36 v mprj/u_mbist1/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2101 (net)
+                  0.06    0.01    8.37 v mprj/u_mbist1/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.16    8.53 v mprj/u_mbist1/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist1/clknet_0_wb_clk2_i (net)
+                  0.03    0.00    8.53 v mprj/u_mbist1/clkbuf_1_0__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.13    8.66 v mprj/u_mbist1/clkbuf_1_0__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_mbist1/clknet_1_0__leaf_wb_clk2_i (net)
+                  0.03    0.00    8.66 v mprj/u_mbist1/wire14/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.25    8.91 v mprj/u_mbist1/wire14/X (sky130_fd_sc_hd__clkbuf_4)
+     8    0.09                           mprj/u_mbist1/net2107 (net)
+                  0.17    0.01    8.92 v mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
+                  0.05    0.35    9.27 v mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.00                           mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.05    0.00    9.27 v mprj/u_mbist1/wire13/A (sky130_fd_sc_hd__buf_2)
+                  0.13    0.22    9.50 v mprj/u_mbist1/wire13/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mbist1/net2106 (net)
+                  0.13    0.00    9.50 v mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.08    0.24    9.74 v mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mbist1/clknet_0_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.08    0.01    9.74 v mprj/u_mbist1/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    9.92 v mprj/u_mbist1/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_mbist1/clknet_3_7__leaf_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.04    0.00    9.92 v mprj/u_mbist1/_4688_/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.13   10.05 v mprj/u_mbist1/_4688_/X (sky130_fd_sc_hd__buf_2)
+     1    0.01                           mprj/u_mbist1/net241 (net)
+                  0.03    0.00   10.05 v mprj/u_mbist1/output241/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.36    0.34   10.39 v mprj/u_mbist1/output241/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.06                           mprj/mem_clk_a[6] (net)
+                  0.48    0.01   10.40 v mprj/u_sram6_2kb/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8)
+                  0.01    0.53   10.93 v mprj/u_sram6_2kb/dout0[24] (sky130_sram_2kbyte_1rw1r_32x512_8)
+     2    0.02                           mprj/mem6_dout_a[24] (net)
+                  0.01    0.00   10.93 v mprj/u_mbist1/input85/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.18   11.11 v mprj/u_mbist1/input85/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net85 (net)
+                  0.13    0.00   11.12 v mprj/u_mbist1/wire1988/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.26   11.38 v mprj/u_mbist1/wire1988/X (sky130_fd_sc_hd__buf_4)
+     6    0.10                           mprj/u_mbist1/net1988 (net)
+                  0.14    0.03   11.41 v mprj/u_mbist1/_3652_/A2 (sky130_fd_sc_hd__a21o_1)
+                  0.12    0.30   11.71 v mprj/u_mbist1/_3652_/X (sky130_fd_sc_hd__a21o_1)
+     2    0.02                           mprj/u_mbist1/_1725_ (net)
+                  0.12    0.00   11.71 v mprj/u_mbist1/_3653_/C1 (sky130_fd_sc_hd__a221o_1)
+                  0.11    0.36   12.07 v mprj/u_mbist1/_3653_/X (sky130_fd_sc_hd__a221o_1)
+     1    0.01                           mprj/u_mbist1/_1726_ (net)
+                  0.11    0.00   12.07 v mprj/u_mbist1/_3654_/B1 (sky130_fd_sc_hd__o21a_1)
+                  0.23    0.30   12.37 v mprj/u_mbist1/_3654_/X (sky130_fd_sc_hd__o21a_1)
+     4    0.05                           mprj/u_mbist1/_1727_ (net)
+                  0.23    0.00   12.37 v mprj/u_mbist1/_3857_/A3 (sky130_fd_sc_hd__a31o_1)
+                  0.04    0.30   12.67 v mprj/u_mbist1/_3857_/X (sky130_fd_sc_hd__a31o_1)
+     1    0.00                           mprj/u_mbist1/_1862_ (net)
+                  0.04    0.00   12.67 v mprj/u_mbist1/_3858_/B1 (sky130_fd_sc_hd__o21a_1)
+                  0.03    0.10   12.77 v mprj/u_mbist1/_3858_/X (sky130_fd_sc_hd__o21a_1)
+     1    0.00                           mprj/u_mbist1/_0509_ (net)
+                  0.03    0.00   12.77 v mprj/u_mbist1/_4559_/D (sky130_fd_sc_hd__dfrtp_4)
+                                 12.77   data arrival time
+
+                         10.00   10.00   clock wbs_clk_i (rise edge)
+                          0.00   10.00   clock source latency
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.29   10.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00   10.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.22   10.51 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03   10.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.20   10.74 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02   10.76 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11   10.87 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00   10.87 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.16   11.02 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00   11.03 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   11.17 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00   11.17 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.39 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.87 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00   11.87 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.10 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00   12.10 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.33 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00   12.34 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.53 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00   12.53 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.69 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00   12.70 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.86 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.86 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   12.98 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   12.98 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.10 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.10 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.21 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.21 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.39 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.39 ^ mprj/u_mbist1/wire7/A (sky130_fd_sc_hd__buf_8)
+                  0.20    0.22   13.61 ^ mprj/u_mbist1/wire7/X (sky130_fd_sc_hd__buf_8)
+    18    0.12                           mprj/u_mbist1/net2100 (net)
+                  0.20    0.01   13.63 ^ mprj/u_mbist1/wire6/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.27   13.90 ^ mprj/u_mbist1/wire6/X (sky130_fd_sc_hd__buf_4)
+     8    0.07                           mprj/u_mbist1/net2099 (net)
+                  0.21    0.00   13.90 ^ mprj/u_mbist1/clkbuf_leaf_11_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.24   14.14 ^ mprj/u_mbist1/clkbuf_leaf_11_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.11                           mprj/u_mbist1/clknet_leaf_11_wb_clk_i (net)
+                  0.12    0.00   14.14 ^ mprj/u_mbist1/_4559_/CLK (sky130_fd_sc_hd__dfrtp_4)
+                         -0.25   13.89   clock uncertainty
+                          0.16   14.05   clock reconvergence pessimism
+                         -0.09   13.96   library setup time
+                                 13.96   data required time
+-----------------------------------------------------------------------------
+                                 13.96   data required time
+                                -12.77   data arrival time
+-----------------------------------------------------------------------------
+                                  1.19   slack (MET)
+
+
+Startpoint: mprj/u_sram6_2kb
+            (falling edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist1/_4535_
+          (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Path Group: wbs_clk_i
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          5.00    5.00   clock wbs_clk_i (fall edge)
+                          0.00    5.00   clock source latency
+                  0.42    0.00    5.00 v mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.07                           mprj/wbd_clk_int (net)
+                  0.42    0.00    5.00 v mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.08    0.34    5.34 v mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.08    0.00    5.34 v mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.19    5.53 v mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.10    0.03    5.56 v mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.19    5.74 v mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.08    0.02    5.76 v mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.03    0.11    5.87 v mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.03    0.00    5.87 v mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.06    0.16    6.03 v mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.06    0.00    6.03 v mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.13    6.16 v mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.06    0.00    6.16 v mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.11    6.27 v mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.04    0.00    6.27 v mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.10    6.37 v mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.04    0.00    6.37 v mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.29    6.65 v mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.06    0.00    6.65 v mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.29    6.95 v mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.05    0.00    6.95 v mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.31    7.26 v mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    7.26 v mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.31    7.56 v mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.06    0.00    7.56 v mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.21    7.77 v mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.04                           mprj/wbd_clk_mbist1_skew (net)
+                  0.11    0.00    7.78 v mprj/u_mbist1/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.21    7.99 v mprj/u_mbist1/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2103 (net)
+                  0.12    0.00    7.99 v mprj/u_mbist1/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.06    0.21    8.20 v mprj/u_mbist1/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2102 (net)
+                  0.06    0.00    8.20 v mprj/u_mbist1/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.16    8.36 v mprj/u_mbist1/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2101 (net)
+                  0.06    0.01    8.37 v mprj/u_mbist1/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.16    8.53 v mprj/u_mbist1/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist1/clknet_0_wb_clk2_i (net)
+                  0.03    0.00    8.53 v mprj/u_mbist1/clkbuf_1_0__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.13    8.66 v mprj/u_mbist1/clkbuf_1_0__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_mbist1/clknet_1_0__leaf_wb_clk2_i (net)
+                  0.03    0.00    8.66 v mprj/u_mbist1/wire14/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.25    8.91 v mprj/u_mbist1/wire14/X (sky130_fd_sc_hd__clkbuf_4)
+     8    0.09                           mprj/u_mbist1/net2107 (net)
+                  0.17    0.01    8.92 v mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
+                  0.05    0.35    9.27 v mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.00                           mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.05    0.00    9.27 v mprj/u_mbist1/wire13/A (sky130_fd_sc_hd__buf_2)
+                  0.13    0.22    9.50 v mprj/u_mbist1/wire13/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mbist1/net2106 (net)
+                  0.13    0.00    9.50 v mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.08    0.24    9.74 v mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mbist1/clknet_0_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.08    0.01    9.74 v mprj/u_mbist1/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    9.92 v mprj/u_mbist1/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_mbist1/clknet_3_7__leaf_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.04    0.00    9.92 v mprj/u_mbist1/_4688_/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.13   10.05 v mprj/u_mbist1/_4688_/X (sky130_fd_sc_hd__buf_2)
+     1    0.01                           mprj/u_mbist1/net241 (net)
+                  0.03    0.00   10.05 v mprj/u_mbist1/output241/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.36    0.34   10.39 v mprj/u_mbist1/output241/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.06                           mprj/mem_clk_a[6] (net)
+                  0.48    0.01   10.40 v mprj/u_sram6_2kb/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8)
+                  0.03    0.67   11.07 v mprj/u_sram6_2kb/dout0[0] (sky130_sram_2kbyte_1rw1r_32x512_8)
+     2    0.05                           mprj/mem6_dout_a[0] (net)
+                  0.02    0.01   11.08 v mprj/u_mbist1/input69/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.15   11.22 v mprj/u_mbist1/input69/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.02                           mprj/u_mbist1/net69 (net)
+                  0.11    0.00   11.23 v mprj/u_mbist1/wire2006/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.24   11.46 v mprj/u_mbist1/wire2006/X (sky130_fd_sc_hd__clkbuf_8)
+     6    0.13                           mprj/u_mbist1/net2006 (net)
+                  0.17    0.05   11.51 v mprj/u_mbist1/_3556_/A2 (sky130_fd_sc_hd__a221o_1)
+                  0.14    0.50   12.01 v mprj/u_mbist1/_3556_/X (sky130_fd_sc_hd__a221o_1)
+     2    0.02                           mprj/u_mbist1/_1653_ (net)
+                  0.14    0.00   12.01 v mprj/u_mbist1/_3557_/B1 (sky130_fd_sc_hd__a21o_1)
+                  0.03    0.19   12.21 v mprj/u_mbist1/_3557_/X (sky130_fd_sc_hd__a21o_1)
+     1    0.00                           mprj/u_mbist1/_1654_ (net)
+                  0.03    0.00   12.21 v mprj/u_mbist1/_3558_/B1 (sky130_fd_sc_hd__o21a_2)
+                  0.16    0.23   12.43 v mprj/u_mbist1/_3558_/X (sky130_fd_sc_hd__o21a_2)
+     4    0.06                           mprj/u_mbist1/_1655_ (net)
+                  0.16    0.01   12.44 v mprj/u_mbist1/_3782_/A (sky130_fd_sc_hd__or2_1)
+                  0.07    0.31   12.76 v mprj/u_mbist1/_3782_/X (sky130_fd_sc_hd__or2_1)
+     1    0.01                           mprj/u_mbist1/_1811_ (net)
+                  0.07    0.00   12.76 v mprj/u_mbist1/_3786_/B2 (sky130_fd_sc_hd__a22o_1)
+                  0.04    0.21   12.97 v mprj/u_mbist1/_3786_/X (sky130_fd_sc_hd__a22o_1)
+     1    0.00                           mprj/u_mbist1/_0485_ (net)
+                  0.04    0.00   12.97 v mprj/u_mbist1/_4535_/D (sky130_fd_sc_hd__dfrtp_2)
+                                 12.97   data arrival time
+
+                         10.00   10.00   clock wbs_clk_i (rise edge)
+                          0.00   10.00   clock source latency
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.29   10.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00   10.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.22   10.51 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03   10.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.20   10.74 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02   10.76 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11   10.87 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00   10.87 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.16   11.02 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00   11.03 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   11.17 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00   11.17 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.39 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.87 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00   11.87 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.10 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00   12.10 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.33 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00   12.34 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.53 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00   12.53 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.69 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00   12.70 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.86 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.86 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   12.98 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   12.98 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.10 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.10 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.21 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.21 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.39 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.39 ^ mprj/u_mbist1/wire7/A (sky130_fd_sc_hd__buf_8)
+                  0.20    0.22   13.61 ^ mprj/u_mbist1/wire7/X (sky130_fd_sc_hd__buf_8)
+    18    0.12                           mprj/u_mbist1/net2100 (net)
+                  0.20    0.01   13.62 ^ mprj/u_mbist1/clkbuf_opt_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17   13.80 ^ mprj/u_mbist1/clkbuf_opt_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist1/clknet_opt_4_0_wb_clk_i (net)
+                  0.04    0.00   13.80 ^ mprj/u_mbist1/clkbuf_opt_4_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12   13.92 ^ mprj/u_mbist1/clkbuf_opt_4_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist1/clknet_opt_4_1_wb_clk_i (net)
+                  0.04    0.00   13.92 ^ mprj/u_mbist1/clkbuf_opt_4_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12   14.04 ^ mprj/u_mbist1/clkbuf_opt_4_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist1/clknet_opt_4_2_wb_clk_i (net)
+                  0.04    0.00   14.04 ^ mprj/u_mbist1/clkbuf_opt_4_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13   14.17 ^ mprj/u_mbist1/clkbuf_opt_4_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.03                           mprj/u_mbist1/clknet_opt_4_3_wb_clk_i (net)
+                  0.05    0.00   14.17 ^ mprj/u_mbist1/clkbuf_leaf_13_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.17   14.34 ^ mprj/u_mbist1/clkbuf_leaf_13_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           mprj/u_mbist1/clknet_leaf_13_wb_clk_i (net)
+                  0.11    0.00   14.34 ^ mprj/u_mbist1/_4535_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                         -0.25   14.09   clock uncertainty
+                          0.16   14.26   clock reconvergence pessimism
+                         -0.10   14.16   library setup time
+                                 14.16   data required time
+-----------------------------------------------------------------------------
+                                 14.16   data required time
+                                -12.97   data arrival time
+-----------------------------------------------------------------------------
+                                  1.19   slack (MET)
+
+
+Startpoint: mprj/u_sram2_2kb
+            (falling edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist0/_4475_
+          (rising edge-triggered flip-flop clocked by wbs_clk_i)
+Path Group: wbs_clk_i
+Path Type: max
+
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          5.00    5.00   clock wbs_clk_i (fall edge)
+                          0.00    5.00   clock source latency
+                  0.42    0.00    5.00 v mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.07                           mprj/wbd_clk_int (net)
+                  0.42    0.00    5.00 v mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.18    0.42    5.43 v mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.18    0.00    5.43 v mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.18    5.61 v mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.07    0.00    5.62 v mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.08    0.19    5.81 v mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.08    0.00    5.81 v mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.14    5.95 v mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.06    0.00    5.95 v mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.11    6.06 v mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.04    0.00    6.06 v mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.10    6.15 v mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.04    0.00    6.15 v mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.12    6.27 v mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.06    0.00    6.27 v mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.11    6.38 v mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.04    0.00    6.38 v mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.29    6.67 v mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.06    0.00    6.67 v mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.29    6.96 v mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.05    0.00    6.96 v mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.32    7.28 v mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00    7.28 v mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.31    7.59 v mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.06    0.00    7.59 v mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.21    7.80 v mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.04                           mprj/wbd_clk_mbist0_skew (net)
+                  0.11    0.00    7.80 v mprj/u_mbist0/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.21    8.01 v mprj/u_mbist0/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2103 (net)
+                  0.12    0.00    8.01 v mprj/u_mbist0/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.06    0.21    8.22 v mprj/u_mbist0/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2102 (net)
+                  0.06    0.00    8.23 v mprj/u_mbist0/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.16    8.39 v mprj/u_mbist0/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2101 (net)
+                  0.06    0.01    8.39 v mprj/u_mbist0/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.16    8.55 v mprj/u_mbist0/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist0/clknet_0_wb_clk2_i (net)
+                  0.03    0.00    8.55 v mprj/u_mbist0/clkbuf_1_0__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.13    8.68 v mprj/u_mbist0/clkbuf_1_0__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_mbist0/clknet_1_0__leaf_wb_clk2_i (net)
+                  0.03    0.00    8.68 v mprj/u_mbist0/wire14/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.25    8.93 v mprj/u_mbist0/wire14/X (sky130_fd_sc_hd__clkbuf_4)
+     8    0.09                           mprj/u_mbist0/net2107 (net)
+                  0.17    0.01    8.95 v mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
+                  0.05    0.35    9.30 v mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.00                           mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.05    0.00    9.30 v mprj/u_mbist0/wire13/A (sky130_fd_sc_hd__buf_2)
+                  0.13    0.22    9.52 v mprj/u_mbist0/wire13/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mbist0/net2106 (net)
+                  0.13    0.00    9.52 v mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.08    0.24    9.76 v mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mbist0/clknet_0_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.08    0.01    9.77 v mprj/u_mbist0/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    9.95 v mprj/u_mbist0/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_mbist0/clknet_3_7__leaf_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.04    0.00    9.95 v mprj/u_mbist0/_4688_/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.13   10.08 v mprj/u_mbist0/_4688_/X (sky130_fd_sc_hd__buf_2)
+     1    0.01                           mprj/u_mbist0/net241 (net)
+                  0.03    0.00   10.08 v mprj/u_mbist0/output241/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.36    0.34   10.41 v mprj/u_mbist0/output241/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.06                           mprj/mem_clk_a[2] (net)
+                  0.48    0.01   10.43 v mprj/u_sram2_2kb/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8)
+                  0.02    0.57   11.00 v mprj/u_sram2_2kb/dout0[10] (sky130_sram_2kbyte_1rw1r_32x512_8)
+     2    0.03                           mprj/mem2_dout_a[10] (net)
+                  0.01    0.00   11.00 v mprj/u_mbist0/input70/A (sky130_fd_sc_hd__buf_6)
+                  0.05    0.13   11.13 v mprj/u_mbist0/input70/X (sky130_fd_sc_hd__buf_6)
+     2    0.04                           mprj/u_mbist0/net70 (net)
+                  0.05    0.00   11.13 v mprj/u_mbist0/wire2004/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.17   11.31 v mprj/u_mbist0/wire2004/X (sky130_fd_sc_hd__buf_6)
+     6    0.12                           mprj/u_mbist0/net2004 (net)
+                  0.13    0.04   11.35 v mprj/u_mbist0/_3596_/A2 (sky130_fd_sc_hd__a221o_1)
+                  0.10    0.45   11.79 v mprj/u_mbist0/_3596_/X (sky130_fd_sc_hd__a221o_1)
+     1    0.01                           mprj/u_mbist0/_1683_ (net)
+                  0.10    0.00   11.79 v mprj/u_mbist0/_3597_/B1 (sky130_fd_sc_hd__a21o_1)
+                  0.03    0.18   11.97 v mprj/u_mbist0/_3597_/X (sky130_fd_sc_hd__a21o_1)
+     1    0.00                           mprj/u_mbist0/_1684_ (net)
+                  0.03    0.00   11.97 v mprj/u_mbist0/_3598_/B1 (sky130_fd_sc_hd__o21a_2)
+                  0.14    0.22   12.19 v mprj/u_mbist0/_3598_/X (sky130_fd_sc_hd__o21a_2)
+     4    0.05                           mprj/u_mbist0/_1685_ (net)
+                  0.14    0.00   12.19 v mprj/u_mbist0/_3599_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.33   12.53 v mprj/u_mbist0/_3599_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/_0427_ (net)
+                  0.05    0.00   12.53 v mprj/u_mbist0/_4475_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 12.53   data arrival time
+
+                         10.00   10.00   clock wbs_clk_i (rise edge)
+                          0.00   10.00   clock source latency
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.41   10.41 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00   10.41 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.19   10.60 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00   10.60 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.21   10.81 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00   10.81 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   10.97 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00   10.97 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11   11.44 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00   11.44 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.93 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00   11.93 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.16 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00   12.16 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.39 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00   12.40 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.59 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00   12.59 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.75 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00   12.75 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.92 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.92 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   13.04 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   13.04 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.16 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.16 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.27 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.27 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.45 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.45 ^ mprj/u_mbist0/wire7/A (sky130_fd_sc_hd__buf_8)
+                  0.20    0.22   13.67 ^ mprj/u_mbist0/wire7/X (sky130_fd_sc_hd__buf_8)
+    18    0.12                           mprj/u_mbist0/net2100 (net)
+                  0.20    0.01   13.69 ^ mprj/u_mbist0/clkbuf_leaf_18_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.22   13.91 ^ mprj/u_mbist0/clkbuf_leaf_18_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           mprj/u_mbist0/clknet_leaf_18_wb_clk_i (net)
+                  0.11    0.00   13.91 ^ mprj/u_mbist0/_4475_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   13.66   clock uncertainty
+                          0.17   13.83   clock reconvergence pessimism
+                         -0.10   13.72   library setup time
+                                 13.72   data required time
+-----------------------------------------------------------------------------
+                                 13.72   data required time
+                                -12.53   data arrival time
 -----------------------------------------------------------------------------
                                   1.20   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_5495_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_wb_host/_5561_
+Startpoint: mprj/u_sram6_2kb
+            (falling edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist1/_4543_
           (rising edge-triggered flip-flop clocked by wbs_clk_i)
 Path Group: wbs_clk_i
 Path Type: max
 
 Fanout     Cap    Slew   Delay    Time   Description
 -----------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.49    0.49 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01    0.50 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.16    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.13    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.15    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.13    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.76 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00    1.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.29    2.45 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00    2.45 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.26    2.71 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00    2.71 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.22    2.93 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00    2.93 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.18    3.11 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    20    0.06                           mprj/u_wb_host/clknet_3_5__leaf_wbs_clk_i (net)
-                  0.08    0.00    3.11 ^ mprj/u_wb_host/_5495_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  1.59    1.54    4.66 ^ mprj/u_wb_host/_5495_/Q (sky130_fd_sc_hd__dfrtp_4)
-   150    0.58                           mprj/u_wb_host/u_async_wb.u_cmd_if.rd_ptr[1] (net)
-                  1.59    0.01    4.67 ^ mprj/u_wb_host/_2907_/A (sky130_fd_sc_hd__xnor2_1)
-                  0.23    0.24    4.90 v mprj/u_wb_host/_2907_/Y (sky130_fd_sc_hd__xnor2_1)
-     2    0.01                           mprj/u_wb_host/_1260_ (net)
-                  0.23    0.00    4.90 v mprj/u_wb_host/_2909_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.37    5.28 v mprj/u_wb_host/_2909_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_1262_ (net)
-                  0.05    0.00    5.28 v mprj/u_wb_host/_2910_/D (sky130_fd_sc_hd__or4_4)
-                  0.59    0.87    6.14 v mprj/u_wb_host/_2910_/X (sky130_fd_sc_hd__or4_4)
-   142    0.42                           mprj/u_wb_host/_1263_ (net)
-                  0.64    0.13    6.27 v mprj/u_wb_host/_2951_/A2 (sky130_fd_sc_hd__a31o_1)
-                  0.16    0.56    6.83 v mprj/u_wb_host/_2951_/X (sky130_fd_sc_hd__a31o_1)
-     2    0.03                           mprj/u_wb_host/net262 (net)
-                  0.16    0.00    6.83 v mprj/u_wb_host/output262/A (sky130_fd_sc_hd__buf_2)
-                  0.11    0.26    7.09 v mprj/u_wb_host/output262/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_int_adr_i[12] (net)
-                  0.11    0.00    7.10 v mprj/u_intercon/input7/A (sky130_fd_sc_hd__buf_12)
-                  0.04    0.18    7.27 v mprj/u_intercon/input7/X (sky130_fd_sc_hd__buf_12)
-     4    0.05                           mprj/u_intercon/net7 (net)
-                  0.04    0.00    7.28 v mprj/u_intercon/_1782_/A (sky130_fd_sc_hd__or4_4)
-                  0.11    0.59    7.87 v mprj/u_intercon/_1782_/X (sky130_fd_sc_hd__or4_4)
-     3    0.02                           mprj/u_intercon/_0982_ (net)
-                  0.11    0.00    7.87 v mprj/u_intercon/_1784_/A3 (sky130_fd_sc_hd__o31a_1)
-                  0.09    0.31    8.18 v mprj/u_intercon/_1784_/X (sky130_fd_sc_hd__o31a_1)
-     4    0.01                           mprj/u_intercon/_0984_ (net)
-                  0.09    0.00    8.18 v mprj/u_intercon/_2009_/A_N (sky130_fd_sc_hd__and2b_4)
-                  0.29    0.45    8.63 ^ mprj/u_intercon/_2009_/X (sky130_fd_sc_hd__and2b_4)
-     6    0.09                           mprj/u_intercon/_1131_ (net)
-                  0.29    0.01    8.65 ^ mprj/u_intercon/fanout772/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.23    0.37    9.01 ^ mprj/u_intercon/fanout772/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.08                           mprj/u_intercon/net772 (net)
-                  0.23    0.00    9.02 ^ mprj/u_intercon/_2010_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.06    0.17    9.19 ^ mprj/u_intercon/_2010_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_intercon/_1132_ (net)
-                  0.06    0.00    9.19 ^ mprj/u_intercon/_2011_/A0 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    9.33 ^ mprj/u_intercon/_2011_/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_intercon/_1133_ (net)
-                  0.04    0.00    9.33 ^ mprj/u_intercon/_2012_/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.28    0.33    9.66 ^ mprj/u_intercon/_2012_/X (sky130_fd_sc_hd__mux2_4)
-     2    0.10                           mprj/u_intercon/net322 (net)
-                  0.28    0.02    9.68 ^ mprj/u_intercon/output322/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19    9.87 ^ mprj/u_intercon/output322/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mprj/wbd_int_ack_o (net)
-                  0.07    0.00    9.87 ^ mprj/u_wb_host/input101/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.16   10.03 ^ mprj/u_wb_host/input101/X (sky130_fd_sc_hd__buf_12)
-    12    0.08                           mprj/u_wb_host/net101 (net)
-                  0.10    0.01   10.04 ^ mprj/u_wb_host/_2728_/A (sky130_fd_sc_hd__inv_8)
-                  0.04    0.06   10.10 v mprj/u_wb_host/_2728_/Y (sky130_fd_sc_hd__inv_8)
-     8    0.04                           mprj/u_wb_host/_1144_ (net)
-                  0.04    0.00   10.10 v mprj/u_wb_host/_3131_/C1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.26   10.36 v mprj/u_wb_host/_3131_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_wb_host/_1399_ (net)
-                  0.05    0.00   10.36 v mprj/u_wb_host/_3132_/A_N (sky130_fd_sc_hd__and4bb_4)
-                  0.17    0.40   10.76 ^ mprj/u_wb_host/_3132_/X (sky130_fd_sc_hd__and4bb_4)
-     5    0.04                           mprj/u_wb_host/_1400_ (net)
-                  0.17    0.00   10.76 ^ mprj/u_wb_host/_3134_/B (sky130_fd_sc_hd__nand2_8)
-                  0.34    0.27   11.03 v mprj/u_wb_host/_3134_/Y (sky130_fd_sc_hd__nand2_8)
-    68    0.26                           mprj/u_wb_host/_1402_ (net)
-                  0.35    0.04   11.08 v mprj/u_wb_host/_3316_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.43   11.50 v mprj/u_wb_host/_3316_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0093_ (net)
-                  0.05    0.00   11.50 v mprj/u_wb_host/_5561_/D (sky130_fd_sc_hd__dfxtp_1)
-                                 11.50   data arrival time
+                          5.00    5.00   clock wbs_clk_i (fall edge)
+                          0.00    5.00   clock source latency
+                  0.42    0.00    5.00 v mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.07                           mprj/wbd_clk_int (net)
+                  0.42    0.00    5.00 v mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.08    0.34    5.34 v mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.08    0.00    5.34 v mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.19    5.53 v mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.10    0.03    5.56 v mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.19    5.74 v mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.08    0.02    5.76 v mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.03    0.11    5.87 v mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.03    0.00    5.87 v mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.06    0.16    6.03 v mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.06    0.00    6.03 v mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.13    6.16 v mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.06    0.00    6.16 v mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.11    6.27 v mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.04    0.00    6.27 v mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.10    6.37 v mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.04    0.00    6.37 v mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.29    6.65 v mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.06    0.00    6.65 v mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.29    6.95 v mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.05    0.00    6.95 v mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.31    7.26 v mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    7.26 v mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.31    7.56 v mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.06    0.00    7.56 v mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.21    7.77 v mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.04                           mprj/wbd_clk_mbist1_skew (net)
+                  0.11    0.00    7.78 v mprj/u_mbist1/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.21    7.99 v mprj/u_mbist1/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2103 (net)
+                  0.12    0.00    7.99 v mprj/u_mbist1/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.06    0.21    8.20 v mprj/u_mbist1/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2102 (net)
+                  0.06    0.00    8.20 v mprj/u_mbist1/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.16    8.36 v mprj/u_mbist1/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2101 (net)
+                  0.06    0.01    8.37 v mprj/u_mbist1/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.16    8.53 v mprj/u_mbist1/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist1/clknet_0_wb_clk2_i (net)
+                  0.03    0.00    8.53 v mprj/u_mbist1/clkbuf_1_0__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.13    8.66 v mprj/u_mbist1/clkbuf_1_0__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_mbist1/clknet_1_0__leaf_wb_clk2_i (net)
+                  0.03    0.00    8.66 v mprj/u_mbist1/wire14/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.25    8.91 v mprj/u_mbist1/wire14/X (sky130_fd_sc_hd__clkbuf_4)
+     8    0.09                           mprj/u_mbist1/net2107 (net)
+                  0.17    0.01    8.92 v mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
+                  0.05    0.35    9.27 v mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.00                           mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.05    0.00    9.27 v mprj/u_mbist1/wire13/A (sky130_fd_sc_hd__buf_2)
+                  0.13    0.22    9.50 v mprj/u_mbist1/wire13/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mbist1/net2106 (net)
+                  0.13    0.00    9.50 v mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.08    0.24    9.74 v mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mbist1/clknet_0_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.08    0.01    9.74 v mprj/u_mbist1/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    9.92 v mprj/u_mbist1/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_mbist1/clknet_3_7__leaf_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.04    0.00    9.92 v mprj/u_mbist1/_4688_/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.13   10.05 v mprj/u_mbist1/_4688_/X (sky130_fd_sc_hd__buf_2)
+     1    0.01                           mprj/u_mbist1/net241 (net)
+                  0.03    0.00   10.05 v mprj/u_mbist1/output241/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.36    0.34   10.39 v mprj/u_mbist1/output241/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.06                           mprj/mem_clk_a[6] (net)
+                  0.48    0.01   10.40 v mprj/u_sram6_2kb/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8)
+                  0.02    0.61   11.01 v mprj/u_sram6_2kb/dout0[8] (sky130_sram_2kbyte_1rw1r_32x512_8)
+     2    0.04                           mprj/mem6_dout_a[8] (net)
+                  0.02    0.00   11.01 v mprj/u_mbist1/input99/A (sky130_fd_sc_hd__buf_6)
+                  0.04    0.13   11.14 v mprj/u_mbist1/input99/X (sky130_fd_sc_hd__buf_6)
+     2    0.03                           mprj/u_mbist1/net99 (net)
+                  0.05    0.00   11.14 v mprj/u_mbist1/wire1973/A (sky130_fd_sc_hd__buf_6)
+                  0.10    0.17   11.32 v mprj/u_mbist1/wire1973/X (sky130_fd_sc_hd__buf_6)
+     6    0.11                           mprj/u_mbist1/net1973 (net)
+                  0.12    0.03   11.35 v mprj/u_mbist1/_3588_/A2 (sky130_fd_sc_hd__a221o_1)
+                  0.11    0.45   11.80 v mprj/u_mbist1/_3588_/X (sky130_fd_sc_hd__a221o_1)
+     1    0.01                           mprj/u_mbist1/_1677_ (net)
+                  0.11    0.00   11.80 v mprj/u_mbist1/_3589_/B1 (sky130_fd_sc_hd__a21o_1)
+                  0.13    0.28   12.08 v mprj/u_mbist1/_3589_/X (sky130_fd_sc_hd__a21o_1)
+     2    0.03                           mprj/u_mbist1/_1678_ (net)
+                  0.13    0.00   12.08 v mprj/u_mbist1/_3590_/B1 (sky130_fd_sc_hd__o21a_2)
+                  0.15    0.27   12.35 v mprj/u_mbist1/_3590_/X (sky130_fd_sc_hd__o21a_2)
+     4    0.06                           mprj/u_mbist1/_1679_ (net)
+                  0.15    0.00   12.35 v mprj/u_mbist1/_3809_/A3 (sky130_fd_sc_hd__a31o_1)
+                  0.07    0.31   12.65 v mprj/u_mbist1/_3809_/X (sky130_fd_sc_hd__a31o_1)
+     1    0.01                           mprj/u_mbist1/_1830_ (net)
+                  0.07    0.00   12.65 v mprj/u_mbist1/_3810_/B1 (sky130_fd_sc_hd__o21a_1)
+                  0.03    0.11   12.77 v mprj/u_mbist1/_3810_/X (sky130_fd_sc_hd__o21a_1)
+     1    0.00                           mprj/u_mbist1/_0493_ (net)
+                  0.03    0.00   12.77 v mprj/u_mbist1/_4543_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 12.77   data arrival time
 
                          10.00   10.00   clock wbs_clk_i (rise edge)
                           0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.45   10.46 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01   10.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.15   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.14   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.12   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.63 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00   11.63 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.27   12.27 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00   12.27 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.24   12.51 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00   12.51 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.20   12.72 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00   12.72 ^ mprj/u_wb_host/clkbuf_3_3__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14   12.86 ^ mprj/u_wb_host/clkbuf_3_3__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     7    0.02                           mprj/u_wb_host/clknet_3_3__leaf_wbs_clk_i (net)
-                  0.04    0.00   12.86 ^ mprj/u_wb_host/_5561_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                         -0.25   12.61   clock uncertainty
-                          0.21   12.82   clock reconvergence pessimism
-                         -0.11   12.71   library setup time
-                                 12.71   data required time
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.29   10.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00   10.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.22   10.51 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03   10.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.20   10.74 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02   10.76 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11   10.87 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00   10.87 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.16   11.02 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00   11.03 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   11.17 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00   11.17 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.39 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.87 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00   11.87 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.10 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00   12.10 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.33 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00   12.34 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.53 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00   12.53 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.69 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00   12.70 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.86 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.86 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   12.98 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   12.98 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.10 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.10 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.21 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.21 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.39 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.39 ^ mprj/u_mbist1/wire7/A (sky130_fd_sc_hd__buf_8)
+                  0.20    0.22   13.61 ^ mprj/u_mbist1/wire7/X (sky130_fd_sc_hd__buf_8)
+    18    0.12                           mprj/u_mbist1/net2100 (net)
+                  0.20    0.01   13.63 ^ mprj/u_mbist1/wire6/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.27   13.90 ^ mprj/u_mbist1/wire6/X (sky130_fd_sc_hd__buf_4)
+     8    0.07                           mprj/u_mbist1/net2099 (net)
+                  0.21    0.00   13.90 ^ mprj/u_mbist1/clkbuf_leaf_11_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.24   14.14 ^ mprj/u_mbist1/clkbuf_leaf_11_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.11                           mprj/u_mbist1/clknet_leaf_11_wb_clk_i (net)
+                  0.12    0.01   14.14 ^ mprj/u_mbist1/_4543_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   13.89   clock uncertainty
+                          0.16   14.06   clock reconvergence pessimism
+                         -0.09   13.97   library setup time
+                                 13.97   data required time
 -----------------------------------------------------------------------------
-                                 12.71   data required time
-                                -11.50   data arrival time
+                                 13.97   data required time
+                                -12.77   data arrival time
 -----------------------------------------------------------------------------
                                   1.20   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_5495_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_wb_host/_5568_
+Startpoint: mprj/u_sram6_2kb
+            (falling edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist1/_4549_
           (rising edge-triggered flip-flop clocked by wbs_clk_i)
 Path Group: wbs_clk_i
 Path Type: max
 
 Fanout     Cap    Slew   Delay    Time   Description
 -----------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.49    0.49 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01    0.50 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.16    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.13    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.15    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.13    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.76 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00    1.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.29    2.45 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00    2.45 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.26    2.71 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00    2.71 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.22    2.93 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00    2.93 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.18    3.11 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    20    0.06                           mprj/u_wb_host/clknet_3_5__leaf_wbs_clk_i (net)
-                  0.08    0.00    3.11 ^ mprj/u_wb_host/_5495_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  1.59    1.54    4.66 ^ mprj/u_wb_host/_5495_/Q (sky130_fd_sc_hd__dfrtp_4)
-   150    0.58                           mprj/u_wb_host/u_async_wb.u_cmd_if.rd_ptr[1] (net)
-                  1.59    0.01    4.67 ^ mprj/u_wb_host/_2907_/A (sky130_fd_sc_hd__xnor2_1)
-                  0.23    0.24    4.90 v mprj/u_wb_host/_2907_/Y (sky130_fd_sc_hd__xnor2_1)
-     2    0.01                           mprj/u_wb_host/_1260_ (net)
-                  0.23    0.00    4.90 v mprj/u_wb_host/_2909_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.37    5.28 v mprj/u_wb_host/_2909_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_1262_ (net)
-                  0.05    0.00    5.28 v mprj/u_wb_host/_2910_/D (sky130_fd_sc_hd__or4_4)
-                  0.59    0.87    6.14 v mprj/u_wb_host/_2910_/X (sky130_fd_sc_hd__or4_4)
-   142    0.42                           mprj/u_wb_host/_1263_ (net)
-                  0.64    0.13    6.27 v mprj/u_wb_host/_2951_/A2 (sky130_fd_sc_hd__a31o_1)
-                  0.16    0.56    6.83 v mprj/u_wb_host/_2951_/X (sky130_fd_sc_hd__a31o_1)
-     2    0.03                           mprj/u_wb_host/net262 (net)
-                  0.16    0.00    6.83 v mprj/u_wb_host/output262/A (sky130_fd_sc_hd__buf_2)
-                  0.11    0.26    7.09 v mprj/u_wb_host/output262/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_int_adr_i[12] (net)
-                  0.11    0.00    7.10 v mprj/u_intercon/input7/A (sky130_fd_sc_hd__buf_12)
-                  0.04    0.18    7.27 v mprj/u_intercon/input7/X (sky130_fd_sc_hd__buf_12)
-     4    0.05                           mprj/u_intercon/net7 (net)
-                  0.04    0.00    7.28 v mprj/u_intercon/_1782_/A (sky130_fd_sc_hd__or4_4)
-                  0.11    0.59    7.87 v mprj/u_intercon/_1782_/X (sky130_fd_sc_hd__or4_4)
-     3    0.02                           mprj/u_intercon/_0982_ (net)
-                  0.11    0.00    7.87 v mprj/u_intercon/_1784_/A3 (sky130_fd_sc_hd__o31a_1)
-                  0.09    0.31    8.18 v mprj/u_intercon/_1784_/X (sky130_fd_sc_hd__o31a_1)
-     4    0.01                           mprj/u_intercon/_0984_ (net)
-                  0.09    0.00    8.18 v mprj/u_intercon/_2009_/A_N (sky130_fd_sc_hd__and2b_4)
-                  0.29    0.45    8.63 ^ mprj/u_intercon/_2009_/X (sky130_fd_sc_hd__and2b_4)
-     6    0.09                           mprj/u_intercon/_1131_ (net)
-                  0.29    0.01    8.65 ^ mprj/u_intercon/fanout772/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.23    0.37    9.01 ^ mprj/u_intercon/fanout772/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.08                           mprj/u_intercon/net772 (net)
-                  0.23    0.00    9.02 ^ mprj/u_intercon/_2010_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.06    0.17    9.19 ^ mprj/u_intercon/_2010_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_intercon/_1132_ (net)
-                  0.06    0.00    9.19 ^ mprj/u_intercon/_2011_/A0 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    9.33 ^ mprj/u_intercon/_2011_/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_intercon/_1133_ (net)
-                  0.04    0.00    9.33 ^ mprj/u_intercon/_2012_/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.28    0.33    9.66 ^ mprj/u_intercon/_2012_/X (sky130_fd_sc_hd__mux2_4)
-     2    0.10                           mprj/u_intercon/net322 (net)
-                  0.28    0.02    9.68 ^ mprj/u_intercon/output322/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19    9.87 ^ mprj/u_intercon/output322/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mprj/wbd_int_ack_o (net)
-                  0.07    0.00    9.87 ^ mprj/u_wb_host/input101/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.16   10.03 ^ mprj/u_wb_host/input101/X (sky130_fd_sc_hd__buf_12)
-    12    0.08                           mprj/u_wb_host/net101 (net)
-                  0.10    0.01   10.04 ^ mprj/u_wb_host/_2728_/A (sky130_fd_sc_hd__inv_8)
-                  0.04    0.06   10.10 v mprj/u_wb_host/_2728_/Y (sky130_fd_sc_hd__inv_8)
-     8    0.04                           mprj/u_wb_host/_1144_ (net)
-                  0.04    0.00   10.10 v mprj/u_wb_host/_3131_/C1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.26   10.36 v mprj/u_wb_host/_3131_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_wb_host/_1399_ (net)
-                  0.05    0.00   10.36 v mprj/u_wb_host/_3132_/A_N (sky130_fd_sc_hd__and4bb_4)
-                  0.17    0.40   10.76 ^ mprj/u_wb_host/_3132_/X (sky130_fd_sc_hd__and4bb_4)
-     5    0.04                           mprj/u_wb_host/_1400_ (net)
-                  0.17    0.00   10.76 ^ mprj/u_wb_host/_3134_/B (sky130_fd_sc_hd__nand2_8)
-                  0.34    0.27   11.03 v mprj/u_wb_host/_3134_/Y (sky130_fd_sc_hd__nand2_8)
-    68    0.26                           mprj/u_wb_host/_1402_ (net)
-                  0.35    0.04   11.07 v mprj/u_wb_host/_3323_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.43   11.50 v mprj/u_wb_host/_3323_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0100_ (net)
-                  0.05    0.00   11.50 v mprj/u_wb_host/_5568_/D (sky130_fd_sc_hd__dfxtp_1)
-                                 11.50   data arrival time
+                          5.00    5.00   clock wbs_clk_i (fall edge)
+                          0.00    5.00   clock source latency
+                  0.42    0.00    5.00 v mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.07                           mprj/wbd_clk_int (net)
+                  0.42    0.00    5.00 v mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.08    0.34    5.34 v mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.08    0.00    5.34 v mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.19    5.53 v mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.10    0.03    5.56 v mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.19    5.74 v mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.08    0.02    5.76 v mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.03    0.11    5.87 v mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.03    0.00    5.87 v mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.06    0.16    6.03 v mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.06    0.00    6.03 v mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.13    6.16 v mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.06    0.00    6.16 v mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.11    6.27 v mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.04    0.00    6.27 v mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.10    6.37 v mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.04    0.00    6.37 v mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.29    6.65 v mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.06    0.00    6.65 v mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.29    6.95 v mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.05    0.00    6.95 v mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.31    7.26 v mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    7.26 v mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.31    7.56 v mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.06    0.00    7.56 v mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.21    7.77 v mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.04                           mprj/wbd_clk_mbist1_skew (net)
+                  0.11    0.00    7.78 v mprj/u_mbist1/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.21    7.99 v mprj/u_mbist1/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2103 (net)
+                  0.12    0.00    7.99 v mprj/u_mbist1/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.06    0.21    8.20 v mprj/u_mbist1/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2102 (net)
+                  0.06    0.00    8.20 v mprj/u_mbist1/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.16    8.36 v mprj/u_mbist1/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2101 (net)
+                  0.06    0.01    8.37 v mprj/u_mbist1/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.16    8.53 v mprj/u_mbist1/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist1/clknet_0_wb_clk2_i (net)
+                  0.03    0.00    8.53 v mprj/u_mbist1/clkbuf_1_0__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.13    8.66 v mprj/u_mbist1/clkbuf_1_0__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_mbist1/clknet_1_0__leaf_wb_clk2_i (net)
+                  0.03    0.00    8.66 v mprj/u_mbist1/wire14/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.25    8.91 v mprj/u_mbist1/wire14/X (sky130_fd_sc_hd__clkbuf_4)
+     8    0.09                           mprj/u_mbist1/net2107 (net)
+                  0.17    0.01    8.92 v mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
+                  0.05    0.35    9.27 v mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.00                           mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.05    0.00    9.27 v mprj/u_mbist1/wire13/A (sky130_fd_sc_hd__buf_2)
+                  0.13    0.22    9.50 v mprj/u_mbist1/wire13/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mbist1/net2106 (net)
+                  0.13    0.00    9.50 v mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.08    0.24    9.74 v mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mbist1/clknet_0_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.08    0.01    9.74 v mprj/u_mbist1/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    9.92 v mprj/u_mbist1/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_mbist1/clknet_3_7__leaf_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.04    0.00    9.92 v mprj/u_mbist1/_4688_/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.13   10.05 v mprj/u_mbist1/_4688_/X (sky130_fd_sc_hd__buf_2)
+     1    0.01                           mprj/u_mbist1/net241 (net)
+                  0.03    0.00   10.05 v mprj/u_mbist1/output241/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.36    0.34   10.39 v mprj/u_mbist1/output241/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.06                           mprj/mem_clk_a[6] (net)
+                  0.48    0.01   10.40 v mprj/u_sram6_2kb/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8)
+                  0.01    0.53   10.94 v mprj/u_sram6_2kb/dout0[14] (sky130_sram_2kbyte_1rw1r_32x512_8)
+     2    0.03                           mprj/mem6_dout_a[14] (net)
+                  0.01    0.00   10.94 v mprj/u_mbist1/input74/A (sky130_fd_sc_hd__buf_6)
+                  0.05    0.13   11.07 v mprj/u_mbist1/input74/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net74 (net)
+                  0.06    0.01   11.08 v mprj/u_mbist1/wire2000/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.20   11.28 v mprj/u_mbist1/wire2000/X (sky130_fd_sc_hd__buf_6)
+     6    0.13                           mprj/u_mbist1/net2000 (net)
+                  0.11    0.01   11.29 v mprj/u_mbist1/_3612_/A2 (sky130_fd_sc_hd__a221o_1)
+                  0.12    0.46   11.75 v mprj/u_mbist1/_3612_/X (sky130_fd_sc_hd__a221o_1)
+     1    0.02                           mprj/u_mbist1/_1695_ (net)
+                  0.12    0.00   11.75 v mprj/u_mbist1/_3613_/B1 (sky130_fd_sc_hd__a21o_1)
+                  0.05    0.20   11.95 v mprj/u_mbist1/_3613_/X (sky130_fd_sc_hd__a21o_1)
+     1    0.01                           mprj/u_mbist1/_1696_ (net)
+                  0.05    0.00   11.95 v mprj/u_mbist1/_3614_/B1 (sky130_fd_sc_hd__o21a_1)
+                  0.22    0.26   12.21 v mprj/u_mbist1/_3614_/X (sky130_fd_sc_hd__o21a_1)
+     4    0.04                           mprj/u_mbist1/_1697_ (net)
+                  0.22    0.00   12.21 v mprj/u_mbist1/_3827_/A3 (sky130_fd_sc_hd__a31o_1)
+                  0.15    0.40   12.61 v mprj/u_mbist1/_3827_/X (sky130_fd_sc_hd__a31o_1)
+     2    0.03                           mprj/u_mbist1/_1842_ (net)
+                  0.15    0.00   12.61 v mprj/u_mbist1/_3828_/B1 (sky130_fd_sc_hd__o21a_1)
+                  0.03    0.15   12.76 v mprj/u_mbist1/_3828_/X (sky130_fd_sc_hd__o21a_1)
+     1    0.00                           mprj/u_mbist1/_0499_ (net)
+                  0.03    0.00   12.76 v mprj/u_mbist1/_4549_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 12.76   data arrival time
 
                          10.00   10.00   clock wbs_clk_i (rise edge)
                           0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.45   10.46 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01   10.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.15   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.14   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.12   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.63 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00   11.63 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.27   12.27 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00   12.27 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.24   12.51 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00   12.51 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.20   12.72 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00   12.72 ^ mprj/u_wb_host/clkbuf_3_3__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14   12.86 ^ mprj/u_wb_host/clkbuf_3_3__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     7    0.02                           mprj/u_wb_host/clknet_3_3__leaf_wbs_clk_i (net)
-                  0.04    0.00   12.86 ^ mprj/u_wb_host/_5568_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                         -0.25   12.61   clock uncertainty
-                          0.21   12.82   clock reconvergence pessimism
-                         -0.11   12.71   library setup time
-                                 12.71   data required time
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.29   10.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00   10.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.22   10.51 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03   10.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.20   10.74 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02   10.76 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11   10.87 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00   10.87 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.16   11.02 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00   11.03 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   11.17 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00   11.17 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.39 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.87 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00   11.87 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.10 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00   12.10 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.33 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00   12.34 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.53 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00   12.53 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.69 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00   12.70 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.86 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.86 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   12.98 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   12.98 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.10 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.10 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.21 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.21 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.39 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.39 ^ mprj/u_mbist1/wire7/A (sky130_fd_sc_hd__buf_8)
+                  0.20    0.22   13.61 ^ mprj/u_mbist1/wire7/X (sky130_fd_sc_hd__buf_8)
+    18    0.12                           mprj/u_mbist1/net2100 (net)
+                  0.20    0.01   13.63 ^ mprj/u_mbist1/wire6/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.27   13.90 ^ mprj/u_mbist1/wire6/X (sky130_fd_sc_hd__buf_4)
+     8    0.07                           mprj/u_mbist1/net2099 (net)
+                  0.21    0.00   13.90 ^ mprj/u_mbist1/clkbuf_leaf_11_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.24   14.14 ^ mprj/u_mbist1/clkbuf_leaf_11_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.11                           mprj/u_mbist1/clknet_leaf_11_wb_clk_i (net)
+                  0.12    0.00   14.14 ^ mprj/u_mbist1/_4549_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   13.89   clock uncertainty
+                          0.16   14.06   clock reconvergence pessimism
+                         -0.09   13.96   library setup time
+                                 13.96   data required time
 -----------------------------------------------------------------------------
-                                 12.71   data required time
-                                -11.50   data arrival time
+                                 13.96   data required time
+                                -12.76   data arrival time
 -----------------------------------------------------------------------------
                                   1.21   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_5495_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_wb_host/_5565_
+Startpoint: mprj/u_sram6_2kb
+            (falling edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist1/_4546_
           (rising edge-triggered flip-flop clocked by wbs_clk_i)
 Path Group: wbs_clk_i
 Path Type: max
 
 Fanout     Cap    Slew   Delay    Time   Description
 -----------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.49    0.49 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01    0.50 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.16    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.13    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.15    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.13    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.76 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00    1.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.29    2.45 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00    2.45 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.26    2.71 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00    2.71 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.22    2.93 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00    2.93 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.18    3.11 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    20    0.06                           mprj/u_wb_host/clknet_3_5__leaf_wbs_clk_i (net)
-                  0.08    0.00    3.11 ^ mprj/u_wb_host/_5495_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  1.59    1.54    4.66 ^ mprj/u_wb_host/_5495_/Q (sky130_fd_sc_hd__dfrtp_4)
-   150    0.58                           mprj/u_wb_host/u_async_wb.u_cmd_if.rd_ptr[1] (net)
-                  1.59    0.01    4.67 ^ mprj/u_wb_host/_2907_/A (sky130_fd_sc_hd__xnor2_1)
-                  0.23    0.24    4.90 v mprj/u_wb_host/_2907_/Y (sky130_fd_sc_hd__xnor2_1)
-     2    0.01                           mprj/u_wb_host/_1260_ (net)
-                  0.23    0.00    4.90 v mprj/u_wb_host/_2909_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.37    5.28 v mprj/u_wb_host/_2909_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_1262_ (net)
-                  0.05    0.00    5.28 v mprj/u_wb_host/_2910_/D (sky130_fd_sc_hd__or4_4)
-                  0.59    0.87    6.14 v mprj/u_wb_host/_2910_/X (sky130_fd_sc_hd__or4_4)
-   142    0.42                           mprj/u_wb_host/_1263_ (net)
-                  0.64    0.13    6.27 v mprj/u_wb_host/_2951_/A2 (sky130_fd_sc_hd__a31o_1)
-                  0.16    0.56    6.83 v mprj/u_wb_host/_2951_/X (sky130_fd_sc_hd__a31o_1)
-     2    0.03                           mprj/u_wb_host/net262 (net)
-                  0.16    0.00    6.83 v mprj/u_wb_host/output262/A (sky130_fd_sc_hd__buf_2)
-                  0.11    0.26    7.09 v mprj/u_wb_host/output262/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_int_adr_i[12] (net)
-                  0.11    0.00    7.10 v mprj/u_intercon/input7/A (sky130_fd_sc_hd__buf_12)
-                  0.04    0.18    7.27 v mprj/u_intercon/input7/X (sky130_fd_sc_hd__buf_12)
-     4    0.05                           mprj/u_intercon/net7 (net)
-                  0.04    0.00    7.28 v mprj/u_intercon/_1782_/A (sky130_fd_sc_hd__or4_4)
-                  0.11    0.59    7.87 v mprj/u_intercon/_1782_/X (sky130_fd_sc_hd__or4_4)
-     3    0.02                           mprj/u_intercon/_0982_ (net)
-                  0.11    0.00    7.87 v mprj/u_intercon/_1784_/A3 (sky130_fd_sc_hd__o31a_1)
-                  0.09    0.31    8.18 v mprj/u_intercon/_1784_/X (sky130_fd_sc_hd__o31a_1)
-     4    0.01                           mprj/u_intercon/_0984_ (net)
-                  0.09    0.00    8.18 v mprj/u_intercon/_2009_/A_N (sky130_fd_sc_hd__and2b_4)
-                  0.29    0.45    8.63 ^ mprj/u_intercon/_2009_/X (sky130_fd_sc_hd__and2b_4)
-     6    0.09                           mprj/u_intercon/_1131_ (net)
-                  0.29    0.01    8.65 ^ mprj/u_intercon/fanout772/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.23    0.37    9.01 ^ mprj/u_intercon/fanout772/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.08                           mprj/u_intercon/net772 (net)
-                  0.23    0.00    9.02 ^ mprj/u_intercon/_2010_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.06    0.17    9.19 ^ mprj/u_intercon/_2010_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_intercon/_1132_ (net)
-                  0.06    0.00    9.19 ^ mprj/u_intercon/_2011_/A0 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    9.33 ^ mprj/u_intercon/_2011_/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_intercon/_1133_ (net)
-                  0.04    0.00    9.33 ^ mprj/u_intercon/_2012_/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.28    0.33    9.66 ^ mprj/u_intercon/_2012_/X (sky130_fd_sc_hd__mux2_4)
-     2    0.10                           mprj/u_intercon/net322 (net)
-                  0.28    0.02    9.68 ^ mprj/u_intercon/output322/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19    9.87 ^ mprj/u_intercon/output322/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mprj/wbd_int_ack_o (net)
-                  0.07    0.00    9.87 ^ mprj/u_wb_host/input101/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.16   10.03 ^ mprj/u_wb_host/input101/X (sky130_fd_sc_hd__buf_12)
-    12    0.08                           mprj/u_wb_host/net101 (net)
-                  0.10    0.01   10.04 ^ mprj/u_wb_host/_2728_/A (sky130_fd_sc_hd__inv_8)
-                  0.04    0.06   10.10 v mprj/u_wb_host/_2728_/Y (sky130_fd_sc_hd__inv_8)
-     8    0.04                           mprj/u_wb_host/_1144_ (net)
-                  0.04    0.00   10.10 v mprj/u_wb_host/_3131_/C1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.26   10.36 v mprj/u_wb_host/_3131_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_wb_host/_1399_ (net)
-                  0.05    0.00   10.36 v mprj/u_wb_host/_3132_/A_N (sky130_fd_sc_hd__and4bb_4)
-                  0.17    0.40   10.76 ^ mprj/u_wb_host/_3132_/X (sky130_fd_sc_hd__and4bb_4)
-     5    0.04                           mprj/u_wb_host/_1400_ (net)
-                  0.17    0.00   10.76 ^ mprj/u_wb_host/_3134_/B (sky130_fd_sc_hd__nand2_8)
-                  0.34    0.27   11.03 v mprj/u_wb_host/_3134_/Y (sky130_fd_sc_hd__nand2_8)
-    68    0.26                           mprj/u_wb_host/_1402_ (net)
-                  0.35    0.04   11.07 v mprj/u_wb_host/_3320_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.42   11.50 v mprj/u_wb_host/_3320_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0097_ (net)
-                  0.05    0.00   11.50 v mprj/u_wb_host/_5565_/D (sky130_fd_sc_hd__dfxtp_1)
-                                 11.50   data arrival time
+                          5.00    5.00   clock wbs_clk_i (fall edge)
+                          0.00    5.00   clock source latency
+                  0.42    0.00    5.00 v mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.07                           mprj/wbd_clk_int (net)
+                  0.42    0.00    5.00 v mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.08    0.34    5.34 v mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.08    0.00    5.34 v mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.19    5.53 v mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.10    0.03    5.56 v mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.19    5.74 v mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.08    0.02    5.76 v mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.03    0.11    5.87 v mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.03    0.00    5.87 v mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.06    0.16    6.03 v mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.06    0.00    6.03 v mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.13    6.16 v mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.06    0.00    6.16 v mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.11    6.27 v mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.04    0.00    6.27 v mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.10    6.37 v mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.04    0.00    6.37 v mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.29    6.65 v mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.06    0.00    6.65 v mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.29    6.95 v mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.05    0.00    6.95 v mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.31    7.26 v mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    7.26 v mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.31    7.56 v mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.06    0.00    7.56 v mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.21    7.77 v mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.04                           mprj/wbd_clk_mbist1_skew (net)
+                  0.11    0.00    7.78 v mprj/u_mbist1/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.21    7.99 v mprj/u_mbist1/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2103 (net)
+                  0.12    0.00    7.99 v mprj/u_mbist1/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.06    0.21    8.20 v mprj/u_mbist1/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2102 (net)
+                  0.06    0.00    8.20 v mprj/u_mbist1/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.16    8.36 v mprj/u_mbist1/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2101 (net)
+                  0.06    0.01    8.37 v mprj/u_mbist1/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.16    8.53 v mprj/u_mbist1/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist1/clknet_0_wb_clk2_i (net)
+                  0.03    0.00    8.53 v mprj/u_mbist1/clkbuf_1_0__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.13    8.66 v mprj/u_mbist1/clkbuf_1_0__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_mbist1/clknet_1_0__leaf_wb_clk2_i (net)
+                  0.03    0.00    8.66 v mprj/u_mbist1/wire14/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.25    8.91 v mprj/u_mbist1/wire14/X (sky130_fd_sc_hd__clkbuf_4)
+     8    0.09                           mprj/u_mbist1/net2107 (net)
+                  0.17    0.01    8.92 v mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
+                  0.05    0.35    9.27 v mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.00                           mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.05    0.00    9.27 v mprj/u_mbist1/wire13/A (sky130_fd_sc_hd__buf_2)
+                  0.13    0.22    9.50 v mprj/u_mbist1/wire13/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mbist1/net2106 (net)
+                  0.13    0.00    9.50 v mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.08    0.24    9.74 v mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mbist1/clknet_0_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.08    0.01    9.74 v mprj/u_mbist1/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    9.92 v mprj/u_mbist1/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_mbist1/clknet_3_7__leaf_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.04    0.00    9.92 v mprj/u_mbist1/_4688_/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.13   10.05 v mprj/u_mbist1/_4688_/X (sky130_fd_sc_hd__buf_2)
+     1    0.01                           mprj/u_mbist1/net241 (net)
+                  0.03    0.00   10.05 v mprj/u_mbist1/output241/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.36    0.34   10.39 v mprj/u_mbist1/output241/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.06                           mprj/mem_clk_a[6] (net)
+                  0.48    0.01   10.40 v mprj/u_sram6_2kb/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8)
+                  0.02    0.55   10.96 v mprj/u_sram6_2kb/dout0[11] (sky130_sram_2kbyte_1rw1r_32x512_8)
+     2    0.03                           mprj/mem6_dout_a[11] (net)
+                  0.01    0.00   10.96 v mprj/u_mbist1/input71/A (sky130_fd_sc_hd__buf_6)
+                  0.03    0.11   11.07 v mprj/u_mbist1/input71/X (sky130_fd_sc_hd__buf_6)
+     1    0.02                           mprj/u_mbist1/net71 (net)
+                  0.03    0.00   11.07 v mprj/u_mbist1/wire2003/A (sky130_fd_sc_hd__buf_6)
+                  0.13    0.18   11.25 v mprj/u_mbist1/wire2003/X (sky130_fd_sc_hd__buf_6)
+     6    0.14                           mprj/u_mbist1/net2003 (net)
+                  0.15    0.05   11.30 v mprj/u_mbist1/_3600_/A2 (sky130_fd_sc_hd__a221o_1)
+                  0.13    0.48   11.78 v mprj/u_mbist1/_3600_/X (sky130_fd_sc_hd__a221o_1)
+     2    0.02                           mprj/u_mbist1/_1686_ (net)
+                  0.13    0.00   11.78 v mprj/u_mbist1/_3601_/B1 (sky130_fd_sc_hd__a21o_1)
+                  0.03    0.19   11.97 v mprj/u_mbist1/_3601_/X (sky130_fd_sc_hd__a21o_1)
+     1    0.00                           mprj/u_mbist1/_1687_ (net)
+                  0.03    0.00   11.97 v mprj/u_mbist1/_3602_/B1 (sky130_fd_sc_hd__o21a_2)
+                  0.18    0.24   12.21 v mprj/u_mbist1/_3602_/X (sky130_fd_sc_hd__o21a_2)
+     4    0.07                           mprj/u_mbist1/_1688_ (net)
+                  0.18    0.01   12.22 v mprj/u_mbist1/_3818_/A3 (sky130_fd_sc_hd__a31o_1)
+                  0.14    0.38   12.60 v mprj/u_mbist1/_3818_/X (sky130_fd_sc_hd__a31o_1)
+     2    0.03                           mprj/u_mbist1/_1836_ (net)
+                  0.14    0.00   12.60 v mprj/u_mbist1/_3819_/B1 (sky130_fd_sc_hd__o21a_1)
+                  0.04    0.15   12.75 v mprj/u_mbist1/_3819_/X (sky130_fd_sc_hd__o21a_1)
+     1    0.00                           mprj/u_mbist1/_0496_ (net)
+                  0.04    0.00   12.75 v mprj/u_mbist1/_4546_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 12.75   data arrival time
 
                          10.00   10.00   clock wbs_clk_i (rise edge)
                           0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.45   10.46 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01   10.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.15   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.14   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.12   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.63 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00   11.63 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.27   12.27 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00   12.27 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.24   12.51 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00   12.51 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.20   12.72 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00   12.72 ^ mprj/u_wb_host/clkbuf_3_3__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.14   12.86 ^ mprj/u_wb_host/clkbuf_3_3__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     7    0.02                           mprj/u_wb_host/clknet_3_3__leaf_wbs_clk_i (net)
-                  0.04    0.00   12.86 ^ mprj/u_wb_host/_5565_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                         -0.25   12.61   clock uncertainty
-                          0.21   12.82   clock reconvergence pessimism
-                         -0.11   12.71   library setup time
-                                 12.71   data required time
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.29   10.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00   10.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.22   10.51 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03   10.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.20   10.74 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02   10.76 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11   10.87 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00   10.87 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.16   11.02 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00   11.03 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   11.17 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00   11.17 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.39 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.87 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00   11.87 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.10 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00   12.10 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.33 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00   12.34 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.53 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00   12.53 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.69 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00   12.70 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.86 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.86 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   12.98 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   12.98 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.10 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.10 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.21 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.21 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.39 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.39 ^ mprj/u_mbist1/wire7/A (sky130_fd_sc_hd__buf_8)
+                  0.20    0.22   13.61 ^ mprj/u_mbist1/wire7/X (sky130_fd_sc_hd__buf_8)
+    18    0.12                           mprj/u_mbist1/net2100 (net)
+                  0.20    0.01   13.63 ^ mprj/u_mbist1/wire6/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.27   13.90 ^ mprj/u_mbist1/wire6/X (sky130_fd_sc_hd__buf_4)
+     8    0.07                           mprj/u_mbist1/net2099 (net)
+                  0.21    0.00   13.90 ^ mprj/u_mbist1/clkbuf_leaf_11_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.24   14.14 ^ mprj/u_mbist1/clkbuf_leaf_11_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.11                           mprj/u_mbist1/clknet_leaf_11_wb_clk_i (net)
+                  0.12    0.01   14.14 ^ mprj/u_mbist1/_4546_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   13.89   clock uncertainty
+                          0.16   14.06   clock reconvergence pessimism
+                         -0.09   13.96   library setup time
+                                 13.96   data required time
 -----------------------------------------------------------------------------
-                                 12.71   data required time
-                                -11.50   data arrival time
+                                 13.96   data required time
+                                -12.75   data arrival time
 -----------------------------------------------------------------------------
                                   1.21   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_5495_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_wb_host/_5572_
+Startpoint: mprj/u_sram2_2kb
+            (falling edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist0/_4469_
           (rising edge-triggered flip-flop clocked by wbs_clk_i)
 Path Group: wbs_clk_i
 Path Type: max
 
 Fanout     Cap    Slew   Delay    Time   Description
 -----------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.49    0.49 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01    0.50 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.16    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.13    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.15    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.13    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.76 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00    1.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.29    2.45 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00    2.45 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.26    2.71 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00    2.71 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.22    2.93 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00    2.93 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.18    3.11 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    20    0.06                           mprj/u_wb_host/clknet_3_5__leaf_wbs_clk_i (net)
-                  0.08    0.00    3.11 ^ mprj/u_wb_host/_5495_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  1.59    1.54    4.66 ^ mprj/u_wb_host/_5495_/Q (sky130_fd_sc_hd__dfrtp_4)
-   150    0.58                           mprj/u_wb_host/u_async_wb.u_cmd_if.rd_ptr[1] (net)
-                  1.59    0.01    4.67 ^ mprj/u_wb_host/_2907_/A (sky130_fd_sc_hd__xnor2_1)
-                  0.23    0.24    4.90 v mprj/u_wb_host/_2907_/Y (sky130_fd_sc_hd__xnor2_1)
-     2    0.01                           mprj/u_wb_host/_1260_ (net)
-                  0.23    0.00    4.90 v mprj/u_wb_host/_2909_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.37    5.28 v mprj/u_wb_host/_2909_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_1262_ (net)
-                  0.05    0.00    5.28 v mprj/u_wb_host/_2910_/D (sky130_fd_sc_hd__or4_4)
-                  0.59    0.87    6.14 v mprj/u_wb_host/_2910_/X (sky130_fd_sc_hd__or4_4)
-   142    0.42                           mprj/u_wb_host/_1263_ (net)
-                  0.64    0.13    6.27 v mprj/u_wb_host/_2951_/A2 (sky130_fd_sc_hd__a31o_1)
-                  0.16    0.56    6.83 v mprj/u_wb_host/_2951_/X (sky130_fd_sc_hd__a31o_1)
-     2    0.03                           mprj/u_wb_host/net262 (net)
-                  0.16    0.00    6.83 v mprj/u_wb_host/output262/A (sky130_fd_sc_hd__buf_2)
-                  0.11    0.26    7.09 v mprj/u_wb_host/output262/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_int_adr_i[12] (net)
-                  0.11    0.00    7.10 v mprj/u_intercon/input7/A (sky130_fd_sc_hd__buf_12)
-                  0.04    0.18    7.27 v mprj/u_intercon/input7/X (sky130_fd_sc_hd__buf_12)
-     4    0.05                           mprj/u_intercon/net7 (net)
-                  0.04    0.00    7.28 v mprj/u_intercon/_1782_/A (sky130_fd_sc_hd__or4_4)
-                  0.11    0.59    7.87 v mprj/u_intercon/_1782_/X (sky130_fd_sc_hd__or4_4)
-     3    0.02                           mprj/u_intercon/_0982_ (net)
-                  0.11    0.00    7.87 v mprj/u_intercon/_1784_/A3 (sky130_fd_sc_hd__o31a_1)
-                  0.09    0.31    8.18 v mprj/u_intercon/_1784_/X (sky130_fd_sc_hd__o31a_1)
-     4    0.01                           mprj/u_intercon/_0984_ (net)
-                  0.09    0.00    8.18 v mprj/u_intercon/_2009_/A_N (sky130_fd_sc_hd__and2b_4)
-                  0.29    0.45    8.63 ^ mprj/u_intercon/_2009_/X (sky130_fd_sc_hd__and2b_4)
-     6    0.09                           mprj/u_intercon/_1131_ (net)
-                  0.29    0.01    8.65 ^ mprj/u_intercon/fanout772/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.23    0.37    9.01 ^ mprj/u_intercon/fanout772/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.08                           mprj/u_intercon/net772 (net)
-                  0.23    0.00    9.02 ^ mprj/u_intercon/_2010_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.06    0.17    9.19 ^ mprj/u_intercon/_2010_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_intercon/_1132_ (net)
-                  0.06    0.00    9.19 ^ mprj/u_intercon/_2011_/A0 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    9.33 ^ mprj/u_intercon/_2011_/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_intercon/_1133_ (net)
-                  0.04    0.00    9.33 ^ mprj/u_intercon/_2012_/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.28    0.33    9.66 ^ mprj/u_intercon/_2012_/X (sky130_fd_sc_hd__mux2_4)
-     2    0.10                           mprj/u_intercon/net322 (net)
-                  0.28    0.02    9.68 ^ mprj/u_intercon/output322/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19    9.87 ^ mprj/u_intercon/output322/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mprj/wbd_int_ack_o (net)
-                  0.07    0.00    9.87 ^ mprj/u_wb_host/input101/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.16   10.03 ^ mprj/u_wb_host/input101/X (sky130_fd_sc_hd__buf_12)
-    12    0.08                           mprj/u_wb_host/net101 (net)
-                  0.10    0.01   10.04 ^ mprj/u_wb_host/_2728_/A (sky130_fd_sc_hd__inv_8)
-                  0.04    0.06   10.10 v mprj/u_wb_host/_2728_/Y (sky130_fd_sc_hd__inv_8)
-     8    0.04                           mprj/u_wb_host/_1144_ (net)
-                  0.04    0.00   10.10 v mprj/u_wb_host/_3131_/C1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.26   10.36 v mprj/u_wb_host/_3131_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_wb_host/_1399_ (net)
-                  0.05    0.00   10.36 v mprj/u_wb_host/_3132_/A_N (sky130_fd_sc_hd__and4bb_4)
-                  0.17    0.40   10.76 ^ mprj/u_wb_host/_3132_/X (sky130_fd_sc_hd__and4bb_4)
-     5    0.04                           mprj/u_wb_host/_1400_ (net)
-                  0.17    0.00   10.76 ^ mprj/u_wb_host/_3134_/B (sky130_fd_sc_hd__nand2_8)
-                  0.34    0.27   11.03 v mprj/u_wb_host/_3134_/Y (sky130_fd_sc_hd__nand2_8)
-    68    0.26                           mprj/u_wb_host/_1402_ (net)
-                  0.35    0.03   11.06 v mprj/u_wb_host/_3327_/S (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.43   11.49 v mprj/u_wb_host/_3327_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0104_ (net)
-                  0.06    0.00   11.49 v mprj/u_wb_host/_5572_/D (sky130_fd_sc_hd__dfxtp_1)
-                                 11.49   data arrival time
+                          5.00    5.00   clock wbs_clk_i (fall edge)
+                          0.00    5.00   clock source latency
+                  0.42    0.00    5.00 v mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.07                           mprj/wbd_clk_int (net)
+                  0.42    0.00    5.00 v mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.18    0.42    5.43 v mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.18    0.00    5.43 v mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.18    5.61 v mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.07    0.00    5.62 v mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.08    0.19    5.81 v mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.08    0.00    5.81 v mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.14    5.95 v mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.06    0.00    5.95 v mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.11    6.06 v mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.04    0.00    6.06 v mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.10    6.15 v mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.04    0.00    6.15 v mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.12    6.27 v mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.06    0.00    6.27 v mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.11    6.38 v mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.04    0.00    6.38 v mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.29    6.67 v mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.06    0.00    6.67 v mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.29    6.96 v mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.05    0.00    6.96 v mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.32    7.28 v mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00    7.28 v mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.31    7.59 v mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.06    0.00    7.59 v mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.21    7.80 v mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.04                           mprj/wbd_clk_mbist0_skew (net)
+                  0.11    0.00    7.80 v mprj/u_mbist0/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.21    8.01 v mprj/u_mbist0/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2103 (net)
+                  0.12    0.00    8.01 v mprj/u_mbist0/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.06    0.21    8.22 v mprj/u_mbist0/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2102 (net)
+                  0.06    0.00    8.23 v mprj/u_mbist0/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.16    8.39 v mprj/u_mbist0/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2101 (net)
+                  0.06    0.01    8.39 v mprj/u_mbist0/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.16    8.55 v mprj/u_mbist0/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist0/clknet_0_wb_clk2_i (net)
+                  0.03    0.00    8.55 v mprj/u_mbist0/clkbuf_1_0__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.13    8.68 v mprj/u_mbist0/clkbuf_1_0__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_mbist0/clknet_1_0__leaf_wb_clk2_i (net)
+                  0.03    0.00    8.68 v mprj/u_mbist0/wire14/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.25    8.93 v mprj/u_mbist0/wire14/X (sky130_fd_sc_hd__clkbuf_4)
+     8    0.09                           mprj/u_mbist0/net2107 (net)
+                  0.17    0.01    8.95 v mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
+                  0.05    0.35    9.30 v mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.00                           mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.05    0.00    9.30 v mprj/u_mbist0/wire13/A (sky130_fd_sc_hd__buf_2)
+                  0.13    0.22    9.52 v mprj/u_mbist0/wire13/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mbist0/net2106 (net)
+                  0.13    0.00    9.52 v mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.08    0.24    9.76 v mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mbist0/clknet_0_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.08    0.01    9.77 v mprj/u_mbist0/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    9.95 v mprj/u_mbist0/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_mbist0/clknet_3_7__leaf_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.04    0.00    9.95 v mprj/u_mbist0/_4688_/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.13   10.08 v mprj/u_mbist0/_4688_/X (sky130_fd_sc_hd__buf_2)
+     1    0.01                           mprj/u_mbist0/net241 (net)
+                  0.03    0.00   10.08 v mprj/u_mbist0/output241/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.36    0.34   10.41 v mprj/u_mbist0/output241/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.06                           mprj/mem_clk_a[2] (net)
+                  0.48    0.01   10.43 v mprj/u_sram2_2kb/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8)
+                  0.02    0.61   11.04 v mprj/u_sram2_2kb/dout0[4] (sky130_sram_2kbyte_1rw1r_32x512_8)
+     2    0.04                           mprj/mem2_dout_a[4] (net)
+                  0.02    0.01   11.04 v mprj/u_mbist0/input95/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.15    0.19   11.24 v mprj/u_mbist0/input95/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.04                           mprj/u_mbist0/net95 (net)
+                  0.15    0.00   11.24 v mprj/u_mbist0/wire1977/A (sky130_fd_sc_hd__buf_4)
+                  0.14    0.28   11.52 v mprj/u_mbist0/wire1977/X (sky130_fd_sc_hd__buf_4)
+     6    0.11                           mprj/u_mbist0/net1977 (net)
+                  0.15    0.03   11.55 v mprj/u_mbist0/_3572_/A2 (sky130_fd_sc_hd__a221o_1)
+                  0.10    0.45   12.00 v mprj/u_mbist0/_3572_/X (sky130_fd_sc_hd__a221o_1)
+     1    0.01                           mprj/u_mbist0/_1665_ (net)
+                  0.10    0.00   12.00 v mprj/u_mbist0/_3573_/B1 (sky130_fd_sc_hd__a21o_1)
+                  0.04    0.18   12.18 v mprj/u_mbist0/_3573_/X (sky130_fd_sc_hd__a21o_1)
+     1    0.00                           mprj/u_mbist0/_1666_ (net)
+                  0.04    0.00   12.18 v mprj/u_mbist0/_3574_/B1 (sky130_fd_sc_hd__o21a_2)
+                  0.17    0.24   12.42 v mprj/u_mbist0/_3574_/X (sky130_fd_sc_hd__o21a_2)
+     4    0.07                           mprj/u_mbist0/_1667_ (net)
+                  0.17    0.00   12.42 v mprj/u_mbist0/_3575_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.36   12.78 v mprj/u_mbist0/_3575_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/_0421_ (net)
+                  0.06    0.00   12.78 v mprj/u_mbist0/_4469_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 12.78   data arrival time
 
                          10.00   10.00   clock wbs_clk_i (rise edge)
                           0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.45   10.46 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01   10.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.15   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.14   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.12   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.63 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00   11.63 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.27   12.27 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00   12.27 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.24   12.51 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00   12.51 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.20   12.72 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00   12.72 ^ mprj/u_wb_host/clkbuf_3_4__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14   12.86 ^ mprj/u_wb_host/clkbuf_3_4__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     7    0.02                           mprj/u_wb_host/clknet_3_4__leaf_wbs_clk_i (net)
-                  0.05    0.00   12.86 ^ mprj/u_wb_host/_5572_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                         -0.25   12.61   clock uncertainty
-                          0.21   12.82   clock reconvergence pessimism
-                         -0.12   12.71   library setup time
-                                 12.71   data required time
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.41   10.41 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00   10.41 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.19   10.60 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00   10.60 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.21   10.81 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00   10.81 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   10.97 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00   10.97 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11   11.44 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00   11.44 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.93 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00   11.93 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.16 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00   12.16 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.39 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00   12.40 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.59 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00   12.59 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.75 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00   12.75 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.92 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.92 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   13.04 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   13.04 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.16 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.16 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.27 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.27 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.45 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.45 ^ mprj/u_mbist0/wire7/A (sky130_fd_sc_hd__buf_8)
+                  0.20    0.22   13.67 ^ mprj/u_mbist0/wire7/X (sky130_fd_sc_hd__buf_8)
+    18    0.12                           mprj/u_mbist0/net2100 (net)
+                  0.20    0.01   13.69 ^ mprj/u_mbist0/wire6/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.27   13.95 ^ mprj/u_mbist0/wire6/X (sky130_fd_sc_hd__buf_4)
+     8    0.07                           mprj/u_mbist0/net2099 (net)
+                  0.21    0.00   13.96 ^ mprj/u_mbist0/clkbuf_leaf_14_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.23   14.18 ^ mprj/u_mbist0/clkbuf_leaf_14_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           mprj/u_mbist0/clknet_leaf_14_wb_clk_i (net)
+                  0.11    0.00   14.19 ^ mprj/u_mbist0/_4469_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   13.94   clock uncertainty
+                          0.17   14.10   clock reconvergence pessimism
+                         -0.11   14.00   library setup time
+                                 14.00   data required time
 -----------------------------------------------------------------------------
-                                 12.71   data required time
-                                -11.49   data arrival time
+                                 14.00   data required time
+                                -12.78   data arrival time
 -----------------------------------------------------------------------------
                                   1.21   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_5495_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_wb_host/_5586_
+Startpoint: mprj/u_sram2_2kb
+            (falling edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist0/_4465_
           (rising edge-triggered flip-flop clocked by wbs_clk_i)
 Path Group: wbs_clk_i
 Path Type: max
 
 Fanout     Cap    Slew   Delay    Time   Description
 -----------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.49    0.49 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01    0.50 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.16    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.13    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.15    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.13    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.76 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00    1.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.29    2.45 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00    2.45 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.26    2.71 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00    2.71 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.22    2.93 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00    2.93 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.18    3.11 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    20    0.06                           mprj/u_wb_host/clknet_3_5__leaf_wbs_clk_i (net)
-                  0.08    0.00    3.11 ^ mprj/u_wb_host/_5495_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  1.59    1.54    4.66 ^ mprj/u_wb_host/_5495_/Q (sky130_fd_sc_hd__dfrtp_4)
-   150    0.58                           mprj/u_wb_host/u_async_wb.u_cmd_if.rd_ptr[1] (net)
-                  1.59    0.01    4.67 ^ mprj/u_wb_host/_2907_/A (sky130_fd_sc_hd__xnor2_1)
-                  0.23    0.24    4.90 v mprj/u_wb_host/_2907_/Y (sky130_fd_sc_hd__xnor2_1)
-     2    0.01                           mprj/u_wb_host/_1260_ (net)
-                  0.23    0.00    4.90 v mprj/u_wb_host/_2909_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.37    5.28 v mprj/u_wb_host/_2909_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_1262_ (net)
-                  0.05    0.00    5.28 v mprj/u_wb_host/_2910_/D (sky130_fd_sc_hd__or4_4)
-                  0.59    0.87    6.14 v mprj/u_wb_host/_2910_/X (sky130_fd_sc_hd__or4_4)
-   142    0.42                           mprj/u_wb_host/_1263_ (net)
-                  0.64    0.13    6.27 v mprj/u_wb_host/_2951_/A2 (sky130_fd_sc_hd__a31o_1)
-                  0.16    0.56    6.83 v mprj/u_wb_host/_2951_/X (sky130_fd_sc_hd__a31o_1)
-     2    0.03                           mprj/u_wb_host/net262 (net)
-                  0.16    0.00    6.83 v mprj/u_wb_host/output262/A (sky130_fd_sc_hd__buf_2)
-                  0.11    0.26    7.09 v mprj/u_wb_host/output262/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_int_adr_i[12] (net)
-                  0.11    0.00    7.10 v mprj/u_intercon/input7/A (sky130_fd_sc_hd__buf_12)
-                  0.04    0.18    7.27 v mprj/u_intercon/input7/X (sky130_fd_sc_hd__buf_12)
-     4    0.05                           mprj/u_intercon/net7 (net)
-                  0.04    0.00    7.28 v mprj/u_intercon/_1782_/A (sky130_fd_sc_hd__or4_4)
-                  0.11    0.59    7.87 v mprj/u_intercon/_1782_/X (sky130_fd_sc_hd__or4_4)
-     3    0.02                           mprj/u_intercon/_0982_ (net)
-                  0.11    0.00    7.87 v mprj/u_intercon/_1784_/A3 (sky130_fd_sc_hd__o31a_1)
-                  0.09    0.31    8.18 v mprj/u_intercon/_1784_/X (sky130_fd_sc_hd__o31a_1)
-     4    0.01                           mprj/u_intercon/_0984_ (net)
-                  0.09    0.00    8.18 v mprj/u_intercon/_2009_/A_N (sky130_fd_sc_hd__and2b_4)
-                  0.29    0.45    8.63 ^ mprj/u_intercon/_2009_/X (sky130_fd_sc_hd__and2b_4)
-     6    0.09                           mprj/u_intercon/_1131_ (net)
-                  0.29    0.01    8.65 ^ mprj/u_intercon/fanout772/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.23    0.37    9.01 ^ mprj/u_intercon/fanout772/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.08                           mprj/u_intercon/net772 (net)
-                  0.23    0.00    9.02 ^ mprj/u_intercon/_2010_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.06    0.17    9.19 ^ mprj/u_intercon/_2010_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_intercon/_1132_ (net)
-                  0.06    0.00    9.19 ^ mprj/u_intercon/_2011_/A0 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    9.33 ^ mprj/u_intercon/_2011_/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_intercon/_1133_ (net)
-                  0.04    0.00    9.33 ^ mprj/u_intercon/_2012_/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.28    0.33    9.66 ^ mprj/u_intercon/_2012_/X (sky130_fd_sc_hd__mux2_4)
-     2    0.10                           mprj/u_intercon/net322 (net)
-                  0.28    0.02    9.68 ^ mprj/u_intercon/output322/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19    9.87 ^ mprj/u_intercon/output322/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mprj/wbd_int_ack_o (net)
-                  0.07    0.00    9.87 ^ mprj/u_wb_host/input101/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.16   10.03 ^ mprj/u_wb_host/input101/X (sky130_fd_sc_hd__buf_12)
-    12    0.08                           mprj/u_wb_host/net101 (net)
-                  0.10    0.01   10.04 ^ mprj/u_wb_host/_2728_/A (sky130_fd_sc_hd__inv_8)
-                  0.04    0.06   10.10 v mprj/u_wb_host/_2728_/Y (sky130_fd_sc_hd__inv_8)
-     8    0.04                           mprj/u_wb_host/_1144_ (net)
-                  0.04    0.00   10.10 v mprj/u_wb_host/_3131_/C1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.26   10.36 v mprj/u_wb_host/_3131_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_wb_host/_1399_ (net)
-                  0.05    0.00   10.36 v mprj/u_wb_host/_3132_/A_N (sky130_fd_sc_hd__and4bb_4)
-                  0.17    0.40   10.76 ^ mprj/u_wb_host/_3132_/X (sky130_fd_sc_hd__and4bb_4)
-     5    0.04                           mprj/u_wb_host/_1400_ (net)
-                  0.17    0.00   10.76 ^ mprj/u_wb_host/_3134_/B (sky130_fd_sc_hd__nand2_8)
-                  0.34    0.27   11.03 v mprj/u_wb_host/_3134_/Y (sky130_fd_sc_hd__nand2_8)
-    68    0.26                           mprj/u_wb_host/_1402_ (net)
-                  0.35    0.03   11.06 v mprj/u_wb_host/_3341_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.43   11.49 v mprj/u_wb_host/_3341_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0118_ (net)
-                  0.05    0.00   11.49 v mprj/u_wb_host/_5586_/D (sky130_fd_sc_hd__dfxtp_1)
-                                 11.49   data arrival time
+                          5.00    5.00   clock wbs_clk_i (fall edge)
+                          0.00    5.00   clock source latency
+                  0.42    0.00    5.00 v mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.07                           mprj/wbd_clk_int (net)
+                  0.42    0.00    5.00 v mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.18    0.42    5.43 v mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.18    0.00    5.43 v mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.18    5.61 v mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.07    0.00    5.62 v mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.08    0.19    5.81 v mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.08    0.00    5.81 v mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.14    5.95 v mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.06    0.00    5.95 v mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.11    6.06 v mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.04    0.00    6.06 v mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.10    6.15 v mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.04    0.00    6.15 v mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.12    6.27 v mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.06    0.00    6.27 v mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.11    6.38 v mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.04    0.00    6.38 v mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.29    6.67 v mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.06    0.00    6.67 v mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.29    6.96 v mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.05    0.00    6.96 v mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.32    7.28 v mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00    7.28 v mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.31    7.59 v mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.06    0.00    7.59 v mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.21    7.80 v mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.04                           mprj/wbd_clk_mbist0_skew (net)
+                  0.11    0.00    7.80 v mprj/u_mbist0/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.21    8.01 v mprj/u_mbist0/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2103 (net)
+                  0.12    0.00    8.01 v mprj/u_mbist0/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.06    0.21    8.22 v mprj/u_mbist0/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2102 (net)
+                  0.06    0.00    8.23 v mprj/u_mbist0/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.16    8.39 v mprj/u_mbist0/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2101 (net)
+                  0.06    0.01    8.39 v mprj/u_mbist0/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.16    8.55 v mprj/u_mbist0/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist0/clknet_0_wb_clk2_i (net)
+                  0.03    0.00    8.55 v mprj/u_mbist0/clkbuf_1_0__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.13    8.68 v mprj/u_mbist0/clkbuf_1_0__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_mbist0/clknet_1_0__leaf_wb_clk2_i (net)
+                  0.03    0.00    8.68 v mprj/u_mbist0/wire14/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.25    8.93 v mprj/u_mbist0/wire14/X (sky130_fd_sc_hd__clkbuf_4)
+     8    0.09                           mprj/u_mbist0/net2107 (net)
+                  0.17    0.01    8.95 v mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
+                  0.05    0.35    9.30 v mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.00                           mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.05    0.00    9.30 v mprj/u_mbist0/wire13/A (sky130_fd_sc_hd__buf_2)
+                  0.13    0.22    9.52 v mprj/u_mbist0/wire13/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mbist0/net2106 (net)
+                  0.13    0.00    9.52 v mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.08    0.24    9.76 v mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mbist0/clknet_0_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.08    0.01    9.77 v mprj/u_mbist0/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    9.95 v mprj/u_mbist0/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_mbist0/clknet_3_7__leaf_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.04    0.00    9.95 v mprj/u_mbist0/_4688_/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.13   10.08 v mprj/u_mbist0/_4688_/X (sky130_fd_sc_hd__buf_2)
+     1    0.01                           mprj/u_mbist0/net241 (net)
+                  0.03    0.00   10.08 v mprj/u_mbist0/output241/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.36    0.34   10.41 v mprj/u_mbist0/output241/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.06                           mprj/mem_clk_a[2] (net)
+                  0.48    0.01   10.43 v mprj/u_sram2_2kb/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8)
+                  0.03    0.65   11.08 v mprj/u_sram2_2kb/dout0[0] (sky130_sram_2kbyte_1rw1r_32x512_8)
+     2    0.04                           mprj/mem2_dout_a[0] (net)
+                  0.02    0.01   11.09 v mprj/u_mbist0/input69/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.11    0.15   11.23 v mprj/u_mbist0/input69/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.02                           mprj/u_mbist0/net69 (net)
+                  0.11    0.00   11.23 v mprj/u_mbist0/wire2006/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.14    0.24   11.47 v mprj/u_mbist0/wire2006/X (sky130_fd_sc_hd__clkbuf_8)
+     6    0.13                           mprj/u_mbist0/net2006 (net)
+                  0.17    0.05   11.52 v mprj/u_mbist0/_3556_/A2 (sky130_fd_sc_hd__a221o_1)
+                  0.14    0.50   12.02 v mprj/u_mbist0/_3556_/X (sky130_fd_sc_hd__a221o_1)
+     2    0.02                           mprj/u_mbist0/_1653_ (net)
+                  0.14    0.00   12.02 v mprj/u_mbist0/_3557_/B1 (sky130_fd_sc_hd__a21o_1)
+                  0.03    0.19   12.21 v mprj/u_mbist0/_3557_/X (sky130_fd_sc_hd__a21o_1)
+     1    0.00                           mprj/u_mbist0/_1654_ (net)
+                  0.03    0.00   12.21 v mprj/u_mbist0/_3558_/B1 (sky130_fd_sc_hd__o21a_2)
+                  0.16    0.23   12.44 v mprj/u_mbist0/_3558_/X (sky130_fd_sc_hd__o21a_2)
+     4    0.06                           mprj/u_mbist0/_1655_ (net)
+                  0.16    0.01   12.45 v mprj/u_mbist0/_3559_/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.34   12.79 v mprj/u_mbist0/_3559_/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/_0417_ (net)
+                  0.05    0.00   12.79 v mprj/u_mbist0/_4465_/D (sky130_fd_sc_hd__dfrtp_1)
+                                 12.79   data arrival time
 
                          10.00   10.00   clock wbs_clk_i (rise edge)
                           0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.45   10.46 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01   10.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.15   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.14   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.12   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.63 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00   11.63 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.27   12.27 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00   12.27 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.24   12.51 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00   12.51 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.20   12.72 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00   12.72 ^ mprj/u_wb_host/clkbuf_3_4__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14   12.86 ^ mprj/u_wb_host/clkbuf_3_4__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     7    0.02                           mprj/u_wb_host/clknet_3_4__leaf_wbs_clk_i (net)
-                  0.05    0.00   12.86 ^ mprj/u_wb_host/_5586_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                         -0.25   12.61   clock uncertainty
-                          0.21   12.82   clock reconvergence pessimism
-                         -0.11   12.71   library setup time
-                                 12.71   data required time
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.41   10.41 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00   10.41 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.19   10.60 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00   10.60 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.21   10.81 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00   10.81 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   10.97 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00   10.97 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11   11.44 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00   11.44 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.93 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00   11.93 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.16 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00   12.16 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.39 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00   12.40 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.59 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00   12.59 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.75 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00   12.75 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.92 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.92 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   13.04 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   13.04 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.16 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.16 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.27 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.27 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.45 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.45 ^ mprj/u_mbist0/wire7/A (sky130_fd_sc_hd__buf_8)
+                  0.20    0.22   13.67 ^ mprj/u_mbist0/wire7/X (sky130_fd_sc_hd__buf_8)
+    18    0.12                           mprj/u_mbist0/net2100 (net)
+                  0.20    0.01   13.69 ^ mprj/u_mbist0/wire6/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.27   13.95 ^ mprj/u_mbist0/wire6/X (sky130_fd_sc_hd__buf_4)
+     8    0.07                           mprj/u_mbist0/net2099 (net)
+                  0.21    0.01   13.96 ^ mprj/u_mbist0/clkbuf_leaf_15_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.23   14.19 ^ mprj/u_mbist0/clkbuf_leaf_15_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           mprj/u_mbist0/clknet_leaf_15_wb_clk_i (net)
+                  0.11    0.00   14.19 ^ mprj/u_mbist0/_4465_/CLK (sky130_fd_sc_hd__dfrtp_1)
+                         -0.25   13.94   clock uncertainty
+                          0.17   14.11   clock reconvergence pessimism
+                         -0.10   14.01   library setup time
+                                 14.01   data required time
 -----------------------------------------------------------------------------
-                                 12.71   data required time
-                                -11.49   data arrival time
+                                 14.01   data required time
+                                -12.79   data arrival time
 -----------------------------------------------------------------------------
                                   1.22   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_5495_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_wb_host/_5557_
+Startpoint: mprj/u_sram6_2kb
+            (falling edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist1/_4539_
           (rising edge-triggered flip-flop clocked by wbs_clk_i)
 Path Group: wbs_clk_i
 Path Type: max
 
 Fanout     Cap    Slew   Delay    Time   Description
 -----------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.49    0.49 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01    0.50 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.16    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.13    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.15    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.13    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.76 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00    1.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.29    2.45 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00    2.45 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.26    2.71 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00    2.71 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.22    2.93 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00    2.93 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.18    3.11 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    20    0.06                           mprj/u_wb_host/clknet_3_5__leaf_wbs_clk_i (net)
-                  0.08    0.00    3.11 ^ mprj/u_wb_host/_5495_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  1.59    1.54    4.66 ^ mprj/u_wb_host/_5495_/Q (sky130_fd_sc_hd__dfrtp_4)
-   150    0.58                           mprj/u_wb_host/u_async_wb.u_cmd_if.rd_ptr[1] (net)
-                  1.59    0.01    4.67 ^ mprj/u_wb_host/_2907_/A (sky130_fd_sc_hd__xnor2_1)
-                  0.23    0.24    4.90 v mprj/u_wb_host/_2907_/Y (sky130_fd_sc_hd__xnor2_1)
-     2    0.01                           mprj/u_wb_host/_1260_ (net)
-                  0.23    0.00    4.90 v mprj/u_wb_host/_2909_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.37    5.28 v mprj/u_wb_host/_2909_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_1262_ (net)
-                  0.05    0.00    5.28 v mprj/u_wb_host/_2910_/D (sky130_fd_sc_hd__or4_4)
-                  0.59    0.87    6.14 v mprj/u_wb_host/_2910_/X (sky130_fd_sc_hd__or4_4)
-   142    0.42                           mprj/u_wb_host/_1263_ (net)
-                  0.64    0.13    6.27 v mprj/u_wb_host/_2951_/A2 (sky130_fd_sc_hd__a31o_1)
-                  0.16    0.56    6.83 v mprj/u_wb_host/_2951_/X (sky130_fd_sc_hd__a31o_1)
-     2    0.03                           mprj/u_wb_host/net262 (net)
-                  0.16    0.00    6.83 v mprj/u_wb_host/output262/A (sky130_fd_sc_hd__buf_2)
-                  0.11    0.26    7.09 v mprj/u_wb_host/output262/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_int_adr_i[12] (net)
-                  0.11    0.00    7.10 v mprj/u_intercon/input7/A (sky130_fd_sc_hd__buf_12)
-                  0.04    0.18    7.27 v mprj/u_intercon/input7/X (sky130_fd_sc_hd__buf_12)
-     4    0.05                           mprj/u_intercon/net7 (net)
-                  0.04    0.00    7.28 v mprj/u_intercon/_1782_/A (sky130_fd_sc_hd__or4_4)
-                  0.11    0.59    7.87 v mprj/u_intercon/_1782_/X (sky130_fd_sc_hd__or4_4)
-     3    0.02                           mprj/u_intercon/_0982_ (net)
-                  0.11    0.00    7.87 v mprj/u_intercon/_1784_/A3 (sky130_fd_sc_hd__o31a_1)
-                  0.09    0.31    8.18 v mprj/u_intercon/_1784_/X (sky130_fd_sc_hd__o31a_1)
-     4    0.01                           mprj/u_intercon/_0984_ (net)
-                  0.09    0.00    8.18 v mprj/u_intercon/_2009_/A_N (sky130_fd_sc_hd__and2b_4)
-                  0.29    0.45    8.63 ^ mprj/u_intercon/_2009_/X (sky130_fd_sc_hd__and2b_4)
-     6    0.09                           mprj/u_intercon/_1131_ (net)
-                  0.29    0.01    8.65 ^ mprj/u_intercon/fanout772/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.23    0.37    9.01 ^ mprj/u_intercon/fanout772/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.08                           mprj/u_intercon/net772 (net)
-                  0.23    0.00    9.02 ^ mprj/u_intercon/_2010_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.06    0.17    9.19 ^ mprj/u_intercon/_2010_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_intercon/_1132_ (net)
-                  0.06    0.00    9.19 ^ mprj/u_intercon/_2011_/A0 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    9.33 ^ mprj/u_intercon/_2011_/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_intercon/_1133_ (net)
-                  0.04    0.00    9.33 ^ mprj/u_intercon/_2012_/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.28    0.33    9.66 ^ mprj/u_intercon/_2012_/X (sky130_fd_sc_hd__mux2_4)
-     2    0.10                           mprj/u_intercon/net322 (net)
-                  0.28    0.02    9.68 ^ mprj/u_intercon/output322/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19    9.87 ^ mprj/u_intercon/output322/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mprj/wbd_int_ack_o (net)
-                  0.07    0.00    9.87 ^ mprj/u_wb_host/input101/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.16   10.03 ^ mprj/u_wb_host/input101/X (sky130_fd_sc_hd__buf_12)
-    12    0.08                           mprj/u_wb_host/net101 (net)
-                  0.10    0.01   10.04 ^ mprj/u_wb_host/_2728_/A (sky130_fd_sc_hd__inv_8)
-                  0.04    0.06   10.10 v mprj/u_wb_host/_2728_/Y (sky130_fd_sc_hd__inv_8)
-     8    0.04                           mprj/u_wb_host/_1144_ (net)
-                  0.04    0.00   10.10 v mprj/u_wb_host/_3131_/C1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.26   10.36 v mprj/u_wb_host/_3131_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_wb_host/_1399_ (net)
-                  0.05    0.00   10.36 v mprj/u_wb_host/_3132_/A_N (sky130_fd_sc_hd__and4bb_4)
-                  0.17    0.40   10.76 ^ mprj/u_wb_host/_3132_/X (sky130_fd_sc_hd__and4bb_4)
-     5    0.04                           mprj/u_wb_host/_1400_ (net)
-                  0.17    0.00   10.76 ^ mprj/u_wb_host/_3134_/B (sky130_fd_sc_hd__nand2_8)
-                  0.34    0.27   11.03 v mprj/u_wb_host/_3134_/Y (sky130_fd_sc_hd__nand2_8)
-    68    0.26                           mprj/u_wb_host/_1402_ (net)
-                  0.35    0.03   11.06 v mprj/u_wb_host/_3312_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.42   11.49 v mprj/u_wb_host/_3312_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0089_ (net)
-                  0.05    0.00   11.49 v mprj/u_wb_host/_5557_/D (sky130_fd_sc_hd__dfxtp_1)
-                                 11.49   data arrival time
+                          5.00    5.00   clock wbs_clk_i (fall edge)
+                          0.00    5.00   clock source latency
+                  0.42    0.00    5.00 v mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.07                           mprj/wbd_clk_int (net)
+                  0.42    0.00    5.00 v mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.08    0.34    5.34 v mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.08    0.00    5.34 v mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.09    0.19    5.53 v mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.10    0.03    5.56 v mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.07    0.19    5.74 v mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.08    0.02    5.76 v mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.03    0.11    5.87 v mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.03    0.00    5.87 v mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.06    0.16    6.03 v mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.06    0.00    6.03 v mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.13    6.16 v mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.06    0.00    6.16 v mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.11    6.27 v mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.04    0.00    6.27 v mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.10    6.37 v mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.04    0.00    6.37 v mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.29    6.65 v mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.06    0.00    6.65 v mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.29    6.95 v mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.05    0.00    6.95 v mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.31    7.26 v mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00    7.26 v mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.31    7.56 v mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.06    0.00    7.56 v mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.21    7.77 v mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.04                           mprj/wbd_clk_mbist1_skew (net)
+                  0.11    0.00    7.78 v mprj/u_mbist1/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.21    7.99 v mprj/u_mbist1/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2103 (net)
+                  0.12    0.00    7.99 v mprj/u_mbist1/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.06    0.21    8.20 v mprj/u_mbist1/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2102 (net)
+                  0.06    0.00    8.20 v mprj/u_mbist1/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.16    8.36 v mprj/u_mbist1/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2101 (net)
+                  0.06    0.01    8.37 v mprj/u_mbist1/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.16    8.53 v mprj/u_mbist1/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist1/clknet_0_wb_clk2_i (net)
+                  0.03    0.00    8.53 v mprj/u_mbist1/clkbuf_1_0__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.13    8.66 v mprj/u_mbist1/clkbuf_1_0__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_mbist1/clknet_1_0__leaf_wb_clk2_i (net)
+                  0.03    0.00    8.66 v mprj/u_mbist1/wire14/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.25    8.91 v mprj/u_mbist1/wire14/X (sky130_fd_sc_hd__clkbuf_4)
+     8    0.09                           mprj/u_mbist1/net2107 (net)
+                  0.17    0.01    8.92 v mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
+                  0.05    0.35    9.27 v mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.00                           mprj/u_mbist1/u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.05    0.00    9.27 v mprj/u_mbist1/wire13/A (sky130_fd_sc_hd__buf_2)
+                  0.13    0.22    9.50 v mprj/u_mbist1/wire13/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mbist1/net2106 (net)
+                  0.13    0.00    9.50 v mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.08    0.24    9.74 v mprj/u_mbist1/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mbist1/clknet_0_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.08    0.01    9.74 v mprj/u_mbist1/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    9.92 v mprj/u_mbist1/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_mbist1/clknet_3_7__leaf_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.04    0.00    9.92 v mprj/u_mbist1/_4688_/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.13   10.05 v mprj/u_mbist1/_4688_/X (sky130_fd_sc_hd__buf_2)
+     1    0.01                           mprj/u_mbist1/net241 (net)
+                  0.03    0.00   10.05 v mprj/u_mbist1/output241/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.36    0.34   10.39 v mprj/u_mbist1/output241/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.06                           mprj/mem_clk_a[6] (net)
+                  0.48    0.01   10.40 v mprj/u_sram6_2kb/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8)
+                  0.02    0.64   11.04 v mprj/u_sram6_2kb/dout0[4] (sky130_sram_2kbyte_1rw1r_32x512_8)
+     2    0.04                           mprj/mem6_dout_a[4] (net)
+                  0.02    0.01   11.05 v mprj/u_mbist1/input95/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.15    0.19   11.24 v mprj/u_mbist1/input95/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.04                           mprj/u_mbist1/net95 (net)
+                  0.15    0.00   11.24 v mprj/u_mbist1/wire1977/A (sky130_fd_sc_hd__buf_4)
+                  0.14    0.28   11.52 v mprj/u_mbist1/wire1977/X (sky130_fd_sc_hd__buf_4)
+     6    0.11                           mprj/u_mbist1/net1977 (net)
+                  0.15    0.03   11.55 v mprj/u_mbist1/_3572_/A2 (sky130_fd_sc_hd__a221o_1)
+                  0.10    0.45   12.00 v mprj/u_mbist1/_3572_/X (sky130_fd_sc_hd__a221o_1)
+     1    0.01                           mprj/u_mbist1/_1665_ (net)
+                  0.10    0.00   12.00 v mprj/u_mbist1/_3573_/B1 (sky130_fd_sc_hd__a21o_1)
+                  0.04    0.18   12.18 v mprj/u_mbist1/_3573_/X (sky130_fd_sc_hd__a21o_1)
+     1    0.00                           mprj/u_mbist1/_1666_ (net)
+                  0.04    0.00   12.18 v mprj/u_mbist1/_3574_/B1 (sky130_fd_sc_hd__o21a_2)
+                  0.17    0.24   12.42 v mprj/u_mbist1/_3574_/X (sky130_fd_sc_hd__o21a_2)
+     4    0.07                           mprj/u_mbist1/_1667_ (net)
+                  0.17    0.00   12.43 v mprj/u_mbist1/_3796_/A (sky130_fd_sc_hd__or2_1)
+                  0.06    0.29   12.72 v mprj/u_mbist1/_3796_/X (sky130_fd_sc_hd__or2_1)
+     1    0.01                           mprj/u_mbist1/_1821_ (net)
+                  0.06    0.00   12.72 v mprj/u_mbist1/_3798_/B1 (sky130_fd_sc_hd__a22o_1)
+                  0.05    0.20   12.92 v mprj/u_mbist1/_3798_/X (sky130_fd_sc_hd__a22o_1)
+     1    0.01                           mprj/u_mbist1/_0489_ (net)
+                  0.05    0.00   12.92 v mprj/u_mbist1/_4539_/D (sky130_fd_sc_hd__dfrtp_2)
+                                 12.92   data arrival time
 
                          10.00   10.00   clock wbs_clk_i (rise edge)
                           0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.45   10.46 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01   10.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.15   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.14   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.12   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.63 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00   11.63 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.27   12.27 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00   12.27 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.24   12.51 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00   12.51 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.20   12.72 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00   12.72 ^ mprj/u_wb_host/clkbuf_3_4__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14   12.86 ^ mprj/u_wb_host/clkbuf_3_4__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     7    0.02                           mprj/u_wb_host/clknet_3_4__leaf_wbs_clk_i (net)
-                  0.05    0.00   12.86 ^ mprj/u_wb_host/_5557_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                         -0.25   12.61   clock uncertainty
-                          0.21   12.82   clock reconvergence pessimism
-                         -0.11   12.71   library setup time
-                                 12.71   data required time
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
+                  0.17    0.29   10.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
+     2    0.08                           mprj/u_intercon/net3 (net)
+                  0.17    0.00   10.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
+                  0.19    0.22   10.51 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
+     2    0.09                           mprj/u_intercon/net1079 (net)
+                  0.20    0.03   10.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
+                  0.15    0.20   10.74 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
+     2    0.07                           mprj/u_intercon/net1078 (net)
+                  0.15    0.02   10.76 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.11   10.87 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.00                           mprj/u_intercon/net320 (net)
+                  0.06    0.00   10.87 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.16   11.02 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
+     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
+                  0.11    0.00   11.03 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   11.17 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/net198 (net)
+                  0.12    0.00   11.17 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.29 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.39 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.39 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_01/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d01 (net)
+                  0.05    0.00   11.51 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_10/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/u_skew_mbist.d10 (net)
+                  0.04    0.00   11.62 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.75 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.87 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist1/net427 (net)
+                  0.05    0.00   11.87 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.10 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist1_skew (net)
+                  0.22    0.00   12.10 ^ mprj/u_mbist1/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.33 ^ mprj/u_mbist1/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist1/net2096 (net)
+                  0.16    0.00   12.34 ^ mprj/u_mbist1/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.53 ^ mprj/u_mbist1/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist1/net2095 (net)
+                  0.11    0.00   12.53 ^ mprj/u_mbist1/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.69 ^ mprj/u_mbist1/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist1/net2094 (net)
+                  0.11    0.00   12.70 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.86 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.86 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   12.98 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   12.98 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.10 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.10 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.21 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.21 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.39 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.39 ^ mprj/u_mbist1/wire7/A (sky130_fd_sc_hd__buf_8)
+                  0.20    0.22   13.61 ^ mprj/u_mbist1/wire7/X (sky130_fd_sc_hd__buf_8)
+    18    0.12                           mprj/u_mbist1/net2100 (net)
+                  0.20    0.01   13.62 ^ mprj/u_mbist1/clkbuf_opt_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.17   13.80 ^ mprj/u_mbist1/clkbuf_opt_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist1/clknet_opt_3_0_wb_clk_i (net)
+                  0.04    0.00   13.80 ^ mprj/u_mbist1/clkbuf_opt_3_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12   13.92 ^ mprj/u_mbist1/clkbuf_opt_3_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist1/clknet_opt_3_1_wb_clk_i (net)
+                  0.04    0.00   13.92 ^ mprj/u_mbist1/clkbuf_opt_3_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.12   14.04 ^ mprj/u_mbist1/clkbuf_opt_3_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.02                           mprj/u_mbist1/clknet_opt_3_2_wb_clk_i (net)
+                  0.04    0.00   14.04 ^ mprj/u_mbist1/clkbuf_opt_3_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.05    0.13   14.16 ^ mprj/u_mbist1/clkbuf_opt_3_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.03                           mprj/u_mbist1/clknet_opt_3_3_wb_clk_i (net)
+                  0.05    0.00   14.16 ^ mprj/u_mbist1/clkbuf_leaf_12_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.10    0.17   14.33 ^ mprj/u_mbist1/clkbuf_leaf_12_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.08                           mprj/u_mbist1/clknet_leaf_12_wb_clk_i (net)
+                  0.10    0.00   14.33 ^ mprj/u_mbist1/_4539_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                         -0.25   14.08   clock uncertainty
+                          0.16   14.25   clock reconvergence pessimism
+                         -0.11   14.14   library setup time
+                                 14.14   data required time
 -----------------------------------------------------------------------------
-                                 12.71   data required time
-                                -11.49   data arrival time
+                                 14.14   data required time
+                                -12.92   data arrival time
 -----------------------------------------------------------------------------
                                   1.22   slack (MET)
 
 
-Startpoint: mprj/u_wb_host/_5495_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_wb_host/_5574_
+Startpoint: mprj/u_sram2_2kb
+            (falling edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist0/_4537_
           (rising edge-triggered flip-flop clocked by wbs_clk_i)
 Path Group: wbs_clk_i
 Path Type: max
 
 Fanout     Cap    Slew   Delay    Time   Description
 -----------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.49    0.49 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01    0.50 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.16    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00    0.66 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.13    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00    0.80 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.15    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00    0.94 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00    1.07 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00    1.18 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00    1.29 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00    1.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00    1.51 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.13    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00    1.64 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.76 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00    1.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.14    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00    1.90 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00    2.03 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00    2.16 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.29    2.45 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00    2.45 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.26    2.71 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00    2.71 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.22    2.93 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00    2.93 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.18    3.11 ^ mprj/u_wb_host/clkbuf_3_5__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    20    0.06                           mprj/u_wb_host/clknet_3_5__leaf_wbs_clk_i (net)
-                  0.08    0.00    3.11 ^ mprj/u_wb_host/_5495_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  1.59    1.54    4.66 ^ mprj/u_wb_host/_5495_/Q (sky130_fd_sc_hd__dfrtp_4)
-   150    0.58                           mprj/u_wb_host/u_async_wb.u_cmd_if.rd_ptr[1] (net)
-                  1.59    0.01    4.67 ^ mprj/u_wb_host/_2907_/A (sky130_fd_sc_hd__xnor2_1)
-                  0.23    0.24    4.90 v mprj/u_wb_host/_2907_/Y (sky130_fd_sc_hd__xnor2_1)
-     2    0.01                           mprj/u_wb_host/_1260_ (net)
-                  0.23    0.00    4.90 v mprj/u_wb_host/_2909_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.37    5.28 v mprj/u_wb_host/_2909_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_1262_ (net)
-                  0.05    0.00    5.28 v mprj/u_wb_host/_2910_/D (sky130_fd_sc_hd__or4_4)
-                  0.59    0.87    6.14 v mprj/u_wb_host/_2910_/X (sky130_fd_sc_hd__or4_4)
-   142    0.42                           mprj/u_wb_host/_1263_ (net)
-                  0.64    0.13    6.27 v mprj/u_wb_host/_2951_/A2 (sky130_fd_sc_hd__a31o_1)
-                  0.16    0.56    6.83 v mprj/u_wb_host/_2951_/X (sky130_fd_sc_hd__a31o_1)
-     2    0.03                           mprj/u_wb_host/net262 (net)
-                  0.16    0.00    6.83 v mprj/u_wb_host/output262/A (sky130_fd_sc_hd__buf_2)
-                  0.11    0.26    7.09 v mprj/u_wb_host/output262/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_int_adr_i[12] (net)
-                  0.11    0.00    7.10 v mprj/u_intercon/input7/A (sky130_fd_sc_hd__buf_12)
-                  0.04    0.18    7.27 v mprj/u_intercon/input7/X (sky130_fd_sc_hd__buf_12)
-     4    0.05                           mprj/u_intercon/net7 (net)
-                  0.04    0.00    7.28 v mprj/u_intercon/_1782_/A (sky130_fd_sc_hd__or4_4)
-                  0.11    0.59    7.87 v mprj/u_intercon/_1782_/X (sky130_fd_sc_hd__or4_4)
-     3    0.02                           mprj/u_intercon/_0982_ (net)
-                  0.11    0.00    7.87 v mprj/u_intercon/_1784_/A3 (sky130_fd_sc_hd__o31a_1)
-                  0.09    0.31    8.18 v mprj/u_intercon/_1784_/X (sky130_fd_sc_hd__o31a_1)
-     4    0.01                           mprj/u_intercon/_0984_ (net)
-                  0.09    0.00    8.18 v mprj/u_intercon/_2009_/A_N (sky130_fd_sc_hd__and2b_4)
-                  0.29    0.45    8.63 ^ mprj/u_intercon/_2009_/X (sky130_fd_sc_hd__and2b_4)
-     6    0.09                           mprj/u_intercon/_1131_ (net)
-                  0.29    0.01    8.65 ^ mprj/u_intercon/fanout772/A (sky130_fd_sc_hd__clkbuf_4)
-                  0.23    0.37    9.01 ^ mprj/u_intercon/fanout772/X (sky130_fd_sc_hd__clkbuf_4)
-    20    0.08                           mprj/u_intercon/net772 (net)
-                  0.23    0.00    9.02 ^ mprj/u_intercon/_2010_/B1 (sky130_fd_sc_hd__a22o_1)
-                  0.06    0.17    9.19 ^ mprj/u_intercon/_2010_/X (sky130_fd_sc_hd__a22o_1)
-     1    0.00                           mprj/u_intercon/_1132_ (net)
-                  0.06    0.00    9.19 ^ mprj/u_intercon/_2011_/A0 (sky130_fd_sc_hd__mux2_4)
-                  0.04    0.14    9.33 ^ mprj/u_intercon/_2011_/X (sky130_fd_sc_hd__mux2_4)
-     1    0.00                           mprj/u_intercon/_1133_ (net)
-                  0.04    0.00    9.33 ^ mprj/u_intercon/_2012_/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.28    0.33    9.66 ^ mprj/u_intercon/_2012_/X (sky130_fd_sc_hd__mux2_4)
-     2    0.10                           mprj/u_intercon/net322 (net)
-                  0.28    0.02    9.68 ^ mprj/u_intercon/output322/A (sky130_fd_sc_hd__buf_6)
-                  0.07    0.19    9.87 ^ mprj/u_intercon/output322/X (sky130_fd_sc_hd__buf_6)
-     2    0.03                           mprj/wbd_int_ack_o (net)
-                  0.07    0.00    9.87 ^ mprj/u_wb_host/input101/A (sky130_fd_sc_hd__buf_12)
-                  0.10    0.16   10.03 ^ mprj/u_wb_host/input101/X (sky130_fd_sc_hd__buf_12)
-    12    0.08                           mprj/u_wb_host/net101 (net)
-                  0.10    0.01   10.04 ^ mprj/u_wb_host/_2728_/A (sky130_fd_sc_hd__inv_8)
-                  0.04    0.06   10.10 v mprj/u_wb_host/_2728_/Y (sky130_fd_sc_hd__inv_8)
-     8    0.04                           mprj/u_wb_host/_1144_ (net)
-                  0.04    0.00   10.10 v mprj/u_wb_host/_3131_/C1 (sky130_fd_sc_hd__a311o_1)
-                  0.05    0.26   10.36 v mprj/u_wb_host/_3131_/X (sky130_fd_sc_hd__a311o_1)
-     1    0.00                           mprj/u_wb_host/_1399_ (net)
-                  0.05    0.00   10.36 v mprj/u_wb_host/_3132_/A_N (sky130_fd_sc_hd__and4bb_4)
-                  0.17    0.40   10.76 ^ mprj/u_wb_host/_3132_/X (sky130_fd_sc_hd__and4bb_4)
-     5    0.04                           mprj/u_wb_host/_1400_ (net)
-                  0.17    0.00   10.76 ^ mprj/u_wb_host/_3134_/B (sky130_fd_sc_hd__nand2_8)
-                  0.34    0.27   11.03 v mprj/u_wb_host/_3134_/Y (sky130_fd_sc_hd__nand2_8)
-    68    0.26                           mprj/u_wb_host/_1402_ (net)
-                  0.35    0.02   11.05 v mprj/u_wb_host/_3329_/S (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.43   11.48 v mprj/u_wb_host/_3329_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/_0106_ (net)
-                  0.05    0.00   11.48 v mprj/u_wb_host/_5574_/D (sky130_fd_sc_hd__dfxtp_1)
-                                 11.48   data arrival time
+                          5.00    5.00   clock wbs_clk_i (fall edge)
+                          0.00    5.00   clock source latency
+                  0.42    0.00    5.00 v mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.07                           mprj/wbd_clk_int (net)
+                  0.42    0.00    5.00 v mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.18    0.42    5.43 v mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.18    0.00    5.43 v mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.18    5.61 v mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.07    0.00    5.62 v mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.08    0.19    5.81 v mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.08    0.00    5.81 v mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.14    5.95 v mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.06    0.00    5.95 v mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.11    6.06 v mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.04    0.00    6.06 v mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.10    6.15 v mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.04    0.00    6.15 v mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.12    6.27 v mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.06    0.00    6.27 v mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.11    6.38 v mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.04    0.00    6.38 v mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.29    6.67 v mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.06    0.00    6.67 v mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.29    6.96 v mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.05    0.00    6.96 v mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.32    7.28 v mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00    7.28 v mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.31    7.59 v mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.06    0.00    7.59 v mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.21    7.80 v mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.04                           mprj/wbd_clk_mbist0_skew (net)
+                  0.11    0.00    7.80 v mprj/u_mbist0/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.21    8.01 v mprj/u_mbist0/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2103 (net)
+                  0.12    0.00    8.01 v mprj/u_mbist0/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.06    0.21    8.22 v mprj/u_mbist0/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2102 (net)
+                  0.06    0.00    8.23 v mprj/u_mbist0/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.16    8.39 v mprj/u_mbist0/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2101 (net)
+                  0.06    0.01    8.39 v mprj/u_mbist0/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.16    8.55 v mprj/u_mbist0/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist0/clknet_0_wb_clk2_i (net)
+                  0.03    0.00    8.55 v mprj/u_mbist0/clkbuf_1_0__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.13    8.68 v mprj/u_mbist0/clkbuf_1_0__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_mbist0/clknet_1_0__leaf_wb_clk2_i (net)
+                  0.03    0.00    8.68 v mprj/u_mbist0/wire14/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.25    8.93 v mprj/u_mbist0/wire14/X (sky130_fd_sc_hd__clkbuf_4)
+     8    0.09                           mprj/u_mbist0/net2107 (net)
+                  0.17    0.01    8.95 v mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
+                  0.05    0.35    9.30 v mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.00                           mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.05    0.00    9.30 v mprj/u_mbist0/wire13/A (sky130_fd_sc_hd__buf_2)
+                  0.13    0.22    9.52 v mprj/u_mbist0/wire13/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mbist0/net2106 (net)
+                  0.13    0.00    9.52 v mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.08    0.24    9.76 v mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mbist0/clknet_0_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.08    0.01    9.77 v mprj/u_mbist0/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    9.95 v mprj/u_mbist0/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_mbist0/clknet_3_7__leaf_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.04    0.00    9.95 v mprj/u_mbist0/_4688_/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.13   10.08 v mprj/u_mbist0/_4688_/X (sky130_fd_sc_hd__buf_2)
+     1    0.01                           mprj/u_mbist0/net241 (net)
+                  0.03    0.00   10.08 v mprj/u_mbist0/output241/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.36    0.34   10.41 v mprj/u_mbist0/output241/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.06                           mprj/mem_clk_a[2] (net)
+                  0.48    0.01   10.43 v mprj/u_sram2_2kb/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8)
+                  0.03    0.65   11.08 v mprj/u_sram2_2kb/dout0[2] (sky130_sram_2kbyte_1rw1r_32x512_8)
+     2    0.04                           mprj/mem2_dout_a[2] (net)
+                  0.02    0.01   11.08 v mprj/u_mbist0/input91/A (sky130_fd_sc_hd__buf_6)
+                  0.04    0.13   11.21 v mprj/u_mbist0/input91/X (sky130_fd_sc_hd__buf_6)
+     2    0.03                           mprj/u_mbist0/net91 (net)
+                  0.04    0.00   11.21 v mprj/u_mbist0/wire1981/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.18   11.39 v mprj/u_mbist0/wire1981/X (sky130_fd_sc_hd__buf_6)
+     4    0.12                           mprj/u_mbist0/net1981 (net)
+                  0.12    0.03   11.42 v mprj/u_mbist0/_3564_/A2 (sky130_fd_sc_hd__a221o_1)
+                  0.10    0.44   11.87 v mprj/u_mbist0/_3564_/X (sky130_fd_sc_hd__a221o_1)
+     1    0.01                           mprj/u_mbist0/_1659_ (net)
+                  0.10    0.00   11.87 v mprj/u_mbist0/_3565_/B1 (sky130_fd_sc_hd__a21o_1)
+                  0.03    0.18   12.05 v mprj/u_mbist0/_3565_/X (sky130_fd_sc_hd__a21o_1)
+     1    0.00                           mprj/u_mbist0/_1660_ (net)
+                  0.03    0.00   12.05 v mprj/u_mbist0/_3566_/B1 (sky130_fd_sc_hd__o21a_2)
+                  0.19    0.25   12.29 v mprj/u_mbist0/_3566_/X (sky130_fd_sc_hd__o21a_2)
+     4    0.07                           mprj/u_mbist0/_1661_ (net)
+                  0.19    0.02   12.31 v mprj/u_mbist0/_3790_/A (sky130_fd_sc_hd__or2_1)
+                  0.05    0.29   12.60 v mprj/u_mbist0/_3790_/X (sky130_fd_sc_hd__or2_1)
+     1    0.00                           mprj/u_mbist0/_1817_ (net)
+                  0.05    0.00   12.60 v mprj/u_mbist0/_3792_/B1 (sky130_fd_sc_hd__a22o_1)
+                  0.04    0.18   12.78 v mprj/u_mbist0/_3792_/X (sky130_fd_sc_hd__a22o_1)
+     1    0.00                           mprj/u_mbist0/_0487_ (net)
+                  0.04    0.00   12.78 v mprj/u_mbist0/_4537_/D (sky130_fd_sc_hd__dfrtp_2)
+                                 12.78   data arrival time
 
                          10.00   10.00   clock wbs_clk_i (rise edge)
                           0.00   10.00   clock source latency
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00   10.00 ^ mprj/u_wb_host/input40/A (sky130_fd_sc_hd__buf_2)
-                  0.38    0.45   10.46 ^ mprj/u_wb_host/input40/X (sky130_fd_sc_hd__buf_2)
-     4    0.08                           mprj/u_wb_host/net40 (net)
-                  0.38    0.01   10.47 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.15   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d1 (net)
-                  0.08    0.00   10.62 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d2 (net)
-                  0.10    0.00   10.74 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.11    0.14   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d3 (net)
-                  0.11    0.00   10.88 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d4 (net)
-                  0.07    0.00   10.99 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d5 (net)
-                  0.07    0.00   11.09 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d6 (net)
-                  0.07    0.00   11.20 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.10   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_wb_host/u_skew_wh.clk_d7 (net)
-                  0.07    0.00   11.30 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d8 (net)
-                  0.08    0.00   11.40 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.12   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_9/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d9 (net)
-                  0.09    0.00   11.52 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11   11.63 ^ mprj/u_wb_host/u_skew_wh.clkbuf_10/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_wb_host/u_skew_wh.clk_d10 (net)
-                  0.07    0.00   11.63 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.13   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_05/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d05 (net)
-                  0.06    0.00   11.76 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d12 (net)
-                  0.05    0.00   11.89 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_wb_host/u_skew_wh.d21 (net)
-                  0.05    0.00   12.00 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_4)
-                  0.21    0.27   12.27 ^ mprj/u_wb_host/u_skew_wh.u_mux_level_30/X (sky130_fd_sc_hd__mux2_4)
-     2    0.07                           mprj/u_wb_host/net223 (net)
-                  0.21    0.00   12.27 ^ mprj/u_wb_host/output223/A (sky130_fd_sc_hd__buf_2)
-                  0.17    0.24   12.51 ^ mprj/u_wb_host/output223/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_clk_wh (net)
-                  0.17    0.00   12.51 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.20   12.72 ^ mprj/u_wb_host/clkbuf_0_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     8    0.08                           mprj/u_wb_host/clknet_0_wbs_clk_i (net)
-                  0.10    0.00   12.72 ^ mprj/u_wb_host/clkbuf_3_4__f_wbs_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14   12.86 ^ mprj/u_wb_host/clkbuf_3_4__f_wbs_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     7    0.02                           mprj/u_wb_host/clknet_3_4__leaf_wbs_clk_i (net)
-                  0.05    0.00   12.86 ^ mprj/u_wb_host/_5574_/CLK (sky130_fd_sc_hd__dfxtp_1)
-                         -0.25   12.61   clock uncertainty
-                          0.21   12.82   clock reconvergence pessimism
-                         -0.11   12.71   library setup time
-                                 12.71   data required time
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.41   10.41 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00   10.41 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.19   10.60 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00   10.60 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.21   10.81 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00   10.81 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   10.97 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00   10.97 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11   11.44 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00   11.44 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.93 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00   11.93 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.16 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00   12.16 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.39 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00   12.40 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.59 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00   12.59 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.75 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00   12.75 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.92 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.92 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   13.04 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   13.04 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.16 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.16 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.27 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.27 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.45 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.45 ^ mprj/u_mbist0/wire7/A (sky130_fd_sc_hd__buf_8)
+                  0.20    0.22   13.67 ^ mprj/u_mbist0/wire7/X (sky130_fd_sc_hd__buf_8)
+    18    0.12                           mprj/u_mbist0/net2100 (net)
+                  0.20    0.01   13.69 ^ mprj/u_mbist0/wire6/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.27   13.95 ^ mprj/u_mbist0/wire6/X (sky130_fd_sc_hd__buf_4)
+     8    0.07                           mprj/u_mbist0/net2099 (net)
+                  0.21    0.00   13.96 ^ mprj/u_mbist0/clkbuf_leaf_14_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.11    0.23   14.18 ^ mprj/u_mbist0/clkbuf_leaf_14_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.09                           mprj/u_mbist0/clknet_leaf_14_wb_clk_i (net)
+                  0.11    0.00   14.19 ^ mprj/u_mbist0/_4537_/CLK (sky130_fd_sc_hd__dfrtp_2)
+                         -0.25   13.94   clock uncertainty
+                          0.17   14.11   clock reconvergence pessimism
+                         -0.10   14.01   library setup time
+                                 14.01   data required time
 -----------------------------------------------------------------------------
-                                 12.71   data required time
-                                -11.48   data arrival time
+                                 14.01   data required time
+                                -12.78   data arrival time
 -----------------------------------------------------------------------------
                                   1.23   slack (MET)
 
 
-worst slack 0.42
-worst slack -0.78
-Startpoint: mprj/u_wb_host/_6420_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6349_
-          (removal check against rising-edge clock uart_clk)
-Path Group: **async_default**
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.16    0.16 ^ mprj/u_wb_host/_6420_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.43    0.98    1.14 ^ mprj/u_wb_host/_6420_/Q (sky130_fd_sc_hd__dfrtp_4)
-    46    0.15                           mprj/u_wb_host/u_uart2wb.line_reset_n (net)
-                  0.43    0.00    1.15 ^ mprj/u_wb_host/_6349_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  1.15   data arrival time
-
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.19    0.19 ^ mprj/u_wb_host/_6349_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    0.29   clock uncertainty
-                          0.00    0.29   clock reconvergence pessimism
-                          0.76    1.04   library removal time
-                                  1.04   data required time
------------------------------------------------------------------------------
-                                  1.04   data required time
-                                 -1.15   data arrival time
------------------------------------------------------------------------------
-                                  0.10   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6420_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6287_
-          (removal check against rising-edge clock uart_clk)
-Path Group: **async_default**
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.16    0.16 ^ mprj/u_wb_host/_6420_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.43    0.98    1.14 ^ mprj/u_wb_host/_6420_/Q (sky130_fd_sc_hd__dfrtp_4)
-    46    0.15                           mprj/u_wb_host/u_uart2wb.line_reset_n (net)
-                  0.43    0.00    1.15 ^ mprj/u_wb_host/_6287_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  1.15   data arrival time
-
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.19    0.19 ^ mprj/u_wb_host/_6287_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    0.29   clock uncertainty
-                          0.00    0.29   clock reconvergence pessimism
-                          0.76    1.04   library removal time
-                                  1.04   data required time
------------------------------------------------------------------------------
-                                  1.04   data required time
-                                 -1.15   data arrival time
------------------------------------------------------------------------------
-                                  0.10   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6420_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6286_
-          (removal check against rising-edge clock uart_clk)
-Path Group: **async_default**
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.16    0.16 ^ mprj/u_wb_host/_6420_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.43    0.98    1.14 ^ mprj/u_wb_host/_6420_/Q (sky130_fd_sc_hd__dfrtp_4)
-    46    0.15                           mprj/u_wb_host/u_uart2wb.line_reset_n (net)
-                  0.43    0.00    1.15 ^ mprj/u_wb_host/_6286_/RESET_B (sky130_fd_sc_hd__dfrtp_2)
-                                  1.15   data arrival time
-
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.19    0.19 ^ mprj/u_wb_host/_6286_/CLK (sky130_fd_sc_hd__dfrtp_2)
-                          0.10    0.29   clock uncertainty
-                          0.00    0.29   clock reconvergence pessimism
-                          0.76    1.04   library removal time
-                                  1.04   data required time
------------------------------------------------------------------------------
-                                  1.04   data required time
-                                 -1.15   data arrival time
------------------------------------------------------------------------------
-                                  0.10   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6420_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6288_
-          (removal check against rising-edge clock uart_clk)
-Path Group: **async_default**
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.16    0.16 ^ mprj/u_wb_host/_6420_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.43    0.98    1.14 ^ mprj/u_wb_host/_6420_/Q (sky130_fd_sc_hd__dfrtp_4)
-    46    0.15                           mprj/u_wb_host/u_uart2wb.line_reset_n (net)
-                  0.43    0.01    1.15 ^ mprj/u_wb_host/_6288_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  1.15   data arrival time
-
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.19    0.19 ^ mprj/u_wb_host/_6288_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    0.29   clock uncertainty
-                          0.00    0.29   clock reconvergence pessimism
-                          0.76    1.04   library removal time
-                                  1.04   data required time
------------------------------------------------------------------------------
-                                  1.04   data required time
-                                 -1.15   data arrival time
------------------------------------------------------------------------------
-                                  0.11   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6420_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6423_
-          (removal check against rising-edge clock uart_clk)
-Path Group: **async_default**
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.16    0.16 ^ mprj/u_wb_host/_6420_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.43    0.98    1.14 ^ mprj/u_wb_host/_6420_/Q (sky130_fd_sc_hd__dfrtp_4)
-    46    0.15                           mprj/u_wb_host/u_uart2wb.line_reset_n (net)
-                  0.43    0.01    1.15 ^ mprj/u_wb_host/_6423_/RESET_B (sky130_fd_sc_hd__dfrtp_2)
-                                  1.15   data arrival time
-
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.19    0.19 ^ mprj/u_wb_host/_6423_/CLK (sky130_fd_sc_hd__dfrtp_2)
-                          0.10    0.29   clock uncertainty
-                          0.00    0.29   clock reconvergence pessimism
-                          0.76    1.04   library removal time
-                                  1.04   data required time
------------------------------------------------------------------------------
-                                  1.04   data required time
-                                 -1.15   data arrival time
------------------------------------------------------------------------------
-                                  0.11   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6420_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6523_
-          (removal check against rising-edge clock uart_clk)
-Path Group: **async_default**
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.16    0.16 ^ mprj/u_wb_host/_6420_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.43    0.98    1.14 ^ mprj/u_wb_host/_6420_/Q (sky130_fd_sc_hd__dfrtp_4)
-    46    0.15                           mprj/u_wb_host/u_uart2wb.line_reset_n (net)
-                  0.43    0.01    1.15 ^ mprj/u_wb_host/_6523_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  1.15   data arrival time
-
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.19    0.19 ^ mprj/u_wb_host/_6523_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    0.29   clock uncertainty
-                          0.00    0.29   clock reconvergence pessimism
-                          0.76    1.04   library removal time
-                                  1.04   data required time
------------------------------------------------------------------------------
-                                  1.04   data required time
-                                 -1.15   data arrival time
------------------------------------------------------------------------------
-                                  0.11   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6420_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6422_
-          (removal check against rising-edge clock uart_clk)
-Path Group: **async_default**
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.16    0.16 ^ mprj/u_wb_host/_6420_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.43    0.98    1.14 ^ mprj/u_wb_host/_6420_/Q (sky130_fd_sc_hd__dfrtp_4)
-    46    0.15                           mprj/u_wb_host/u_uart2wb.line_reset_n (net)
-                  0.43    0.01    1.15 ^ mprj/u_wb_host/_6422_/RESET_B (sky130_fd_sc_hd__dfrtp_4)
-                                  1.15   data arrival time
-
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.19    0.19 ^ mprj/u_wb_host/_6422_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                          0.10    0.29   clock uncertainty
-                          0.00    0.29   clock reconvergence pessimism
-                          0.76    1.04   library removal time
-                                  1.04   data required time
------------------------------------------------------------------------------
-                                  1.04   data required time
-                                 -1.15   data arrival time
------------------------------------------------------------------------------
-                                  0.11   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6420_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6424_
-          (removal check against rising-edge clock uart_clk)
-Path Group: **async_default**
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.16    0.16 ^ mprj/u_wb_host/_6420_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.43    0.98    1.14 ^ mprj/u_wb_host/_6420_/Q (sky130_fd_sc_hd__dfrtp_4)
-    46    0.15                           mprj/u_wb_host/u_uart2wb.line_reset_n (net)
-                  0.43    0.01    1.15 ^ mprj/u_wb_host/_6424_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  1.15   data arrival time
-
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.19    0.19 ^ mprj/u_wb_host/_6424_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    0.29   clock uncertainty
-                          0.00    0.29   clock reconvergence pessimism
-                          0.76    1.04   library removal time
-                                  1.04   data required time
------------------------------------------------------------------------------
-                                  1.04   data required time
-                                 -1.15   data arrival time
------------------------------------------------------------------------------
-                                  0.11   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6420_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6425_
-          (removal check against rising-edge clock uart_clk)
-Path Group: **async_default**
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.16    0.16 ^ mprj/u_wb_host/_6420_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.43    0.98    1.14 ^ mprj/u_wb_host/_6420_/Q (sky130_fd_sc_hd__dfrtp_4)
-    46    0.15                           mprj/u_wb_host/u_uart2wb.line_reset_n (net)
-                  0.43    0.01    1.15 ^ mprj/u_wb_host/_6425_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  1.15   data arrival time
-
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.19    0.19 ^ mprj/u_wb_host/_6425_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    0.29   clock uncertainty
-                          0.00    0.29   clock reconvergence pessimism
-                          0.76    1.04   library removal time
-                                  1.04   data required time
------------------------------------------------------------------------------
-                                  1.04   data required time
-                                 -1.15   data arrival time
------------------------------------------------------------------------------
-                                  0.11   slack (MET)
-
-
-Startpoint: mprj/u_wb_host/_6420_
-            (rising edge-triggered flip-flop clocked by uart_clk)
-Endpoint: mprj/u_wb_host/_6525_
-          (removal check against rising-edge clock uart_clk)
-Path Group: **async_default**
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.16    0.16 ^ mprj/u_wb_host/_6420_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                  0.43    0.98    1.14 ^ mprj/u_wb_host/_6420_/Q (sky130_fd_sc_hd__dfrtp_4)
-    46    0.15                           mprj/u_wb_host/u_uart2wb.line_reset_n (net)
-                  0.43    0.01    1.15 ^ mprj/u_wb_host/_6525_/RESET_B (sky130_fd_sc_hd__dfrtp_1)
-                                  1.15   data arrival time
-
-                          0.00    0.00   clock uart_clk (rise edge)
-                          0.00    0.00   clock source latency
-                  2.27    0.00    0.00 ^ mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
-   576    1.41                           mprj/u_wb_host/u_uart2wb.baud_clk_16x (net)
-                  2.29    0.18    0.18 ^ mprj/u_wb_host/_6525_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    0.28   clock uncertainty
-                          0.00    0.28   clock reconvergence pessimism
-                          0.76    1.04   library removal time
-                                  1.04   data required time
------------------------------------------------------------------------------
-                                  1.04   data required time
-                                 -1.15   data arrival time
------------------------------------------------------------------------------
-                                  0.11   slack (MET)
-
-
-Startpoint: mprj/u_intercon/_4150_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist1/_4591_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input317/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.20    0.25    0.26 ^ mprj/u_intercon/input317/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.02                           mprj/u_intercon/net317 (net)
-                  0.20    0.00    0.26 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.14    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d1 (net)
-                  0.09    0.00    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d2 (net)
-                  0.07    0.00    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d3 (net)
-                  0.10    0.00    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d4 (net)
-                  0.08    0.00    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d5 (net)
-                  0.08    0.00    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d6 (net)
-                  0.07    0.00    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d7 (net)
-                  0.08    0.00    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.18 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d8 (net)
-                  0.08    0.00    1.18 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d04 (net)
-                  0.05    0.00    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.12    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d12 (net)
-                  0.06    0.00    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d21 (net)
-                  0.05    0.00    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    1.69 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_intercon/net626 (net)
-                  0.07    0.00    1.69 ^ mprj/u_intercon/output626/A (sky130_fd_sc_hd__buf_2)
-                  0.80    0.60    2.29 ^ mprj/u_intercon/output626/X (sky130_fd_sc_hd__buf_2)
-     2    0.17                           mprj/wbd_clk_wi_skew (net)
-                  0.81    0.04    2.33 ^ mprj/u_intercon/clkbuf_0_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.28    2.61 ^ mprj/u_intercon/clkbuf_0_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_intercon/clknet_0_clk_i (net)
-                  0.07    0.00    2.61 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.74 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_intercon/clknet_1_1_0_clk_i (net)
-                  0.04    0.00    2.74 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.86 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_1_clk_i (net)
-                  0.04    0.00    2.86 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.11    2.97 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_2_clk_i (net)
-                  0.04    0.00    2.97 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.13    3.11 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_1_1_3_clk_i (net)
-                  0.07    0.00    3.11 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    3.25 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_2_2_0_clk_i (net)
-                  0.06    0.00    3.25 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    3.37 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_3_5_0_clk_i (net)
-                  0.04    0.00    3.37 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.21    0.24    3.61 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.12                           mprj/u_intercon/clknet_3_5_1_clk_i (net)
-                  0.21    0.01    3.62 ^ mprj/u_intercon/clkbuf_leaf_19_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.09    0.22    3.84 ^ mprj/u_intercon/clkbuf_leaf_19_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.08                           mprj/u_intercon/clknet_leaf_19_clk_i (net)
-                  0.09    0.00    3.84 ^ mprj/u_intercon/_4150_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.06    0.34    4.17 ^ mprj/u_intercon/_4150_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_intercon/u_s3.u_sync_wbb.s_cmd_rd_data_l[38] (net)
-                  0.06    0.00    4.17 ^ mprj/u_intercon/_2156_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.16    4.34 ^ mprj/u_intercon/_2156_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_intercon/net598 (net)
-                  0.10    0.00    4.34 ^ mprj/u_intercon/output598/A (sky130_fd_sc_hd__buf_2)
-                  0.18    0.21    4.55 ^ mprj/u_intercon/output598/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_mbist1_dat_o[20] (net)
-                  0.18    0.00    4.55 ^ mprj/u_mbist1/input172/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.04    0.10    4.66 ^ mprj/u_mbist1/input172/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_mbist1/net172 (net)
-                  0.04    0.00    4.66 ^ mprj/u_mbist1/_3907_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.12    4.78 ^ mprj/u_mbist1/_3907_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/_0541_ (net)
-                  0.06    0.00    4.78 ^ mprj/u_mbist1/_4591_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  4.78   data arrival time
-
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.24    0.53 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
-     2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.21    0.76 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02    0.77 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.13    0.90 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00    0.90 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.17    1.07 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
-     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00    1.07 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    1.22 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00    1.22 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    2.07 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    2.07 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.60 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00    2.60 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.46 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05    3.51 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.84 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.85 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.99 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00    3.99 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.13 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00    4.13 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.24 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00    4.25 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.41    4.66 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
-                  0.44    0.02    4.67 ^ mprj/u_mbist1/clkbuf_opt_5_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.24    4.91 ^ mprj/u_mbist1/clkbuf_opt_5_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_5_0_wb_clk_i (net)
-                  0.05    0.00    4.91 ^ mprj/u_mbist1/clkbuf_opt_5_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.04 ^ mprj/u_mbist1/clkbuf_opt_5_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_5_1_wb_clk_i (net)
-                  0.04    0.00    5.05 ^ mprj/u_mbist1/clkbuf_opt_5_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.17 ^ mprj/u_mbist1/clkbuf_opt_5_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_5_2_wb_clk_i (net)
-                  0.04    0.00    5.18 ^ mprj/u_mbist1/clkbuf_opt_5_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    5.31 ^ mprj/u_mbist1/clkbuf_opt_5_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_5_3_wb_clk_i (net)
-                  0.05    0.00    5.31 ^ mprj/u_mbist1/clkbuf_leaf_16_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.18    5.49 ^ mprj/u_mbist1/clkbuf_leaf_16_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_mbist1/clknet_leaf_16_wb_clk_i (net)
-                  0.10    0.00    5.49 ^ mprj/u_mbist1/_4591_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    5.59   clock uncertainty
-                          0.00    5.59   clock reconvergence pessimism
-                         -0.03    5.56   library hold time
-                                  5.56   data required time
------------------------------------------------------------------------------
-                                  5.56   data required time
-                                 -4.78   data arrival time
------------------------------------------------------------------------------
-                                 -0.78   slack (VIOLATED)
-
-
-Startpoint: mprj/u_intercon/_4147_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist1/_4588_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input317/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.20    0.25    0.26 ^ mprj/u_intercon/input317/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.02                           mprj/u_intercon/net317 (net)
-                  0.20    0.00    0.26 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.14    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d1 (net)
-                  0.09    0.00    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d2 (net)
-                  0.07    0.00    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d3 (net)
-                  0.10    0.00    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d4 (net)
-                  0.08    0.00    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d5 (net)
-                  0.08    0.00    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d6 (net)
-                  0.07    0.00    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d7 (net)
-                  0.08    0.00    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.18 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d8 (net)
-                  0.08    0.00    1.18 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d04 (net)
-                  0.05    0.00    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.12    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d12 (net)
-                  0.06    0.00    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d21 (net)
-                  0.05    0.00    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    1.69 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_intercon/net626 (net)
-                  0.07    0.00    1.69 ^ mprj/u_intercon/output626/A (sky130_fd_sc_hd__buf_2)
-                  0.80    0.60    2.29 ^ mprj/u_intercon/output626/X (sky130_fd_sc_hd__buf_2)
-     2    0.17                           mprj/wbd_clk_wi_skew (net)
-                  0.81    0.04    2.33 ^ mprj/u_intercon/clkbuf_0_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.28    2.61 ^ mprj/u_intercon/clkbuf_0_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_intercon/clknet_0_clk_i (net)
-                  0.07    0.00    2.61 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.74 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_intercon/clknet_1_1_0_clk_i (net)
-                  0.04    0.00    2.74 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.86 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_1_clk_i (net)
-                  0.04    0.00    2.86 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.11    2.97 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_2_clk_i (net)
-                  0.04    0.00    2.97 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.13    3.11 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_1_1_3_clk_i (net)
-                  0.07    0.00    3.11 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    3.25 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_2_2_0_clk_i (net)
-                  0.06    0.00    3.25 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    3.37 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_3_5_0_clk_i (net)
-                  0.04    0.00    3.37 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.21    0.24    3.61 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.12                           mprj/u_intercon/clknet_3_5_1_clk_i (net)
-                  0.21    0.01    3.62 ^ mprj/u_intercon/clkbuf_leaf_19_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.09    0.22    3.84 ^ mprj/u_intercon/clkbuf_leaf_19_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.08                           mprj/u_intercon/clknet_leaf_19_clk_i (net)
-                  0.09    0.00    3.84 ^ mprj/u_intercon/_4147_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.09    0.36    4.19 ^ mprj/u_intercon/_4147_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_intercon/u_s3.u_sync_wbb.s_cmd_rd_data_l[35] (net)
-                  0.09    0.00    4.19 ^ mprj/u_intercon/_2150_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.11    0.18    4.37 ^ mprj/u_intercon/_2150_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_intercon/net594 (net)
-                  0.11    0.00    4.37 ^ mprj/u_intercon/output594/A (sky130_fd_sc_hd__buf_2)
-                  0.14    0.19    4.56 ^ mprj/u_intercon/output594/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_mbist1_dat_o[17] (net)
-                  0.14    0.00    4.57 ^ mprj/u_mbist1/input168/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.11    4.68 ^ mprj/u_mbist1/input168/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_mbist1/net168 (net)
-                  0.06    0.00    4.68 ^ mprj/u_mbist1/_3904_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    4.80 ^ mprj/u_mbist1/_3904_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/_0538_ (net)
-                  0.04    0.00    4.80 ^ mprj/u_mbist1/_4588_/D (sky130_fd_sc_hd__dfrtp_4)
-                                  4.80   data arrival time
-
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.24    0.53 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
-     2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.21    0.76 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02    0.77 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.13    0.90 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00    0.90 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.17    1.07 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
-     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00    1.07 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    1.22 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00    1.22 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    2.07 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    2.07 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.60 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00    2.60 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.46 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05    3.51 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.84 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.85 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.99 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00    3.99 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.13 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00    4.13 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.24 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00    4.25 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.41    4.66 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
-                  0.44    0.01    4.67 ^ mprj/u_mbist1/clkbuf_opt_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.24    4.91 ^ mprj/u_mbist1/clkbuf_opt_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_3_0_wb_clk_i (net)
-                  0.05    0.00    4.91 ^ mprj/u_mbist1/clkbuf_opt_3_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.04 ^ mprj/u_mbist1/clkbuf_opt_3_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_3_1_wb_clk_i (net)
-                  0.04    0.00    5.04 ^ mprj/u_mbist1/clkbuf_opt_3_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.17 ^ mprj/u_mbist1/clkbuf_opt_3_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_3_2_wb_clk_i (net)
-                  0.04    0.00    5.17 ^ mprj/u_mbist1/clkbuf_opt_3_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14    5.31 ^ mprj/u_mbist1/clkbuf_opt_3_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.03                           mprj/u_mbist1/clknet_opt_3_3_wb_clk_i (net)
-                  0.05    0.00    5.31 ^ mprj/u_mbist1/clkbuf_leaf_12_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.18    5.49 ^ mprj/u_mbist1/clkbuf_leaf_12_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.08                           mprj/u_mbist1/clknet_leaf_12_wb_clk_i (net)
-                  0.10    0.00    5.49 ^ mprj/u_mbist1/_4588_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                          0.10    5.59   clock uncertainty
-                          0.00    5.59   clock reconvergence pessimism
-                         -0.03    5.57   library hold time
-                                  5.57   data required time
------------------------------------------------------------------------------
-                                  5.57   data required time
-                                 -4.80   data arrival time
------------------------------------------------------------------------------
-                                 -0.77   slack (VIOLATED)
-
-
-Startpoint: mprj/u_intercon/_4149_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist1/_4590_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input317/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.20    0.25    0.26 ^ mprj/u_intercon/input317/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.02                           mprj/u_intercon/net317 (net)
-                  0.20    0.00    0.26 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.14    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d1 (net)
-                  0.09    0.00    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d2 (net)
-                  0.07    0.00    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d3 (net)
-                  0.10    0.00    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d4 (net)
-                  0.08    0.00    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d5 (net)
-                  0.08    0.00    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d6 (net)
-                  0.07    0.00    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d7 (net)
-                  0.08    0.00    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.18 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d8 (net)
-                  0.08    0.00    1.18 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d04 (net)
-                  0.05    0.00    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.12    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d12 (net)
-                  0.06    0.00    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d21 (net)
-                  0.05    0.00    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    1.69 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_intercon/net626 (net)
-                  0.07    0.00    1.69 ^ mprj/u_intercon/output626/A (sky130_fd_sc_hd__buf_2)
-                  0.80    0.60    2.29 ^ mprj/u_intercon/output626/X (sky130_fd_sc_hd__buf_2)
-     2    0.17                           mprj/wbd_clk_wi_skew (net)
-                  0.81    0.04    2.33 ^ mprj/u_intercon/clkbuf_0_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.28    2.61 ^ mprj/u_intercon/clkbuf_0_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_intercon/clknet_0_clk_i (net)
-                  0.07    0.00    2.61 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.74 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_intercon/clknet_1_1_0_clk_i (net)
-                  0.04    0.00    2.74 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.86 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_1_clk_i (net)
-                  0.04    0.00    2.86 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.11    2.97 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_2_clk_i (net)
-                  0.04    0.00    2.97 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.13    3.11 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_1_1_3_clk_i (net)
-                  0.07    0.00    3.11 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    3.25 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_2_2_0_clk_i (net)
-                  0.06    0.00    3.25 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    3.37 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_3_5_0_clk_i (net)
-                  0.04    0.00    3.37 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.21    0.24    3.61 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.12                           mprj/u_intercon/clknet_3_5_1_clk_i (net)
-                  0.21    0.01    3.62 ^ mprj/u_intercon/clkbuf_leaf_19_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.09    0.22    3.84 ^ mprj/u_intercon/clkbuf_leaf_19_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.08                           mprj/u_intercon/clknet_leaf_19_clk_i (net)
-                  0.10    0.00    3.84 ^ mprj/u_intercon/_4149_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.06    0.34    4.18 ^ mprj/u_intercon/_4149_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_intercon/u_s3.u_sync_wbb.s_cmd_rd_data_l[37] (net)
-                  0.06    0.00    4.18 ^ mprj/u_intercon/_2154_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.16    4.33 ^ mprj/u_intercon/_2154_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_intercon/net596 (net)
-                  0.09    0.00    4.33 ^ mprj/u_intercon/output596/A (sky130_fd_sc_hd__buf_2)
-                  0.16    0.20    4.53 ^ mprj/u_intercon/output596/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_mbist1_dat_o[19] (net)
-                  0.16    0.00    4.53 ^ mprj/u_mbist1/input170/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    4.69 ^ mprj/u_mbist1/input170/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_mbist1/net170 (net)
-                  0.12    0.00    4.69 ^ mprj/u_mbist1/_3906_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    4.82 ^ mprj/u_mbist1/_3906_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/_0540_ (net)
-                  0.04    0.00    4.82 ^ mprj/u_mbist1/_4590_/D (sky130_fd_sc_hd__dfrtp_4)
-                                  4.82   data arrival time
-
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.24    0.53 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
-     2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.21    0.76 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02    0.77 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.13    0.90 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00    0.90 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.17    1.07 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
-     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00    1.07 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    1.22 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00    1.22 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    2.07 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    2.07 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.60 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00    2.60 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.46 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05    3.51 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.84 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.85 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.99 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00    3.99 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.13 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00    4.13 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.24 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00    4.25 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.41    4.66 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
-                  0.44    0.02    4.67 ^ mprj/u_mbist1/clkbuf_opt_5_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.24    4.91 ^ mprj/u_mbist1/clkbuf_opt_5_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_5_0_wb_clk_i (net)
-                  0.05    0.00    4.91 ^ mprj/u_mbist1/clkbuf_opt_5_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.04 ^ mprj/u_mbist1/clkbuf_opt_5_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_5_1_wb_clk_i (net)
-                  0.04    0.00    5.05 ^ mprj/u_mbist1/clkbuf_opt_5_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.17 ^ mprj/u_mbist1/clkbuf_opt_5_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_5_2_wb_clk_i (net)
-                  0.04    0.00    5.18 ^ mprj/u_mbist1/clkbuf_opt_5_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    5.31 ^ mprj/u_mbist1/clkbuf_opt_5_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_5_3_wb_clk_i (net)
-                  0.05    0.00    5.31 ^ mprj/u_mbist1/clkbuf_leaf_16_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.18    5.49 ^ mprj/u_mbist1/clkbuf_leaf_16_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_mbist1/clknet_leaf_16_wb_clk_i (net)
-                  0.10    0.00    5.49 ^ mprj/u_mbist1/_4590_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                          0.10    5.59   clock uncertainty
-                          0.00    5.59   clock reconvergence pessimism
-                         -0.03    5.57   library hold time
-                                  5.57   data required time
------------------------------------------------------------------------------
-                                  5.57   data required time
-                                 -4.82   data arrival time
------------------------------------------------------------------------------
-                                 -0.74   slack (VIOLATED)
-
-
-Startpoint: mprj/u_intercon/_4160_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist1/_4601_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input317/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.20    0.25    0.26 ^ mprj/u_intercon/input317/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.02                           mprj/u_intercon/net317 (net)
-                  0.20    0.00    0.26 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.14    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d1 (net)
-                  0.09    0.00    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d2 (net)
-                  0.07    0.00    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d3 (net)
-                  0.10    0.00    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d4 (net)
-                  0.08    0.00    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d5 (net)
-                  0.08    0.00    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d6 (net)
-                  0.07    0.00    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d7 (net)
-                  0.08    0.00    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.18 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d8 (net)
-                  0.08    0.00    1.18 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d04 (net)
-                  0.05    0.00    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.12    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d12 (net)
-                  0.06    0.00    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d21 (net)
-                  0.05    0.00    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    1.69 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_intercon/net626 (net)
-                  0.07    0.00    1.69 ^ mprj/u_intercon/output626/A (sky130_fd_sc_hd__buf_2)
-                  0.80    0.60    2.29 ^ mprj/u_intercon/output626/X (sky130_fd_sc_hd__buf_2)
-     2    0.17                           mprj/wbd_clk_wi_skew (net)
-                  0.81    0.04    2.33 ^ mprj/u_intercon/clkbuf_0_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.28    2.61 ^ mprj/u_intercon/clkbuf_0_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_intercon/clknet_0_clk_i (net)
-                  0.07    0.00    2.61 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.74 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_intercon/clknet_1_1_0_clk_i (net)
-                  0.04    0.00    2.74 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.86 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_1_clk_i (net)
-                  0.04    0.00    2.86 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.11    2.97 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_2_clk_i (net)
-                  0.04    0.00    2.97 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.13    3.11 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_1_1_3_clk_i (net)
-                  0.07    0.00    3.11 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    3.25 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_2_2_0_clk_i (net)
-                  0.06    0.00    3.25 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    3.37 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_3_5_0_clk_i (net)
-                  0.04    0.00    3.37 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.21    0.24    3.61 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.12                           mprj/u_intercon/clknet_3_5_1_clk_i (net)
-                  0.21    0.01    3.62 ^ mprj/u_intercon/clkbuf_leaf_18_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.12    0.23    3.85 ^ mprj/u_intercon/clkbuf_leaf_18_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.10                           mprj/u_intercon/clknet_leaf_18_clk_i (net)
-                  0.12    0.00    3.85 ^ mprj/u_intercon/_4160_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.07    0.35    4.20 ^ mprj/u_intercon/_4160_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_intercon/u_s3.u_sync_wbb.s_cmd_rd_data_l[48] (net)
-                  0.07    0.00    4.20 ^ mprj/u_intercon/_2176_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.16    4.36 ^ mprj/u_intercon/_2176_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_intercon/net609 (net)
-                  0.09    0.00    4.36 ^ mprj/u_intercon/output609/A (sky130_fd_sc_hd__buf_2)
-                  0.20    0.23    4.59 ^ mprj/u_intercon/output609/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_mbist1_dat_o[30] (net)
-                  0.20    0.00    4.59 ^ mprj/u_mbist1/input183/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.13    4.72 ^ mprj/u_mbist1/input183/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_mbist1/net183 (net)
-                  0.07    0.00    4.72 ^ mprj/u_mbist1/_3917_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.85 ^ mprj/u_mbist1/_3917_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/_0551_ (net)
-                  0.05    0.00    4.85 ^ mprj/u_mbist1/_4601_/D (sky130_fd_sc_hd__dfrtp_4)
-                                  4.85   data arrival time
-
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.24    0.53 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
-     2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.21    0.76 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02    0.77 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.13    0.90 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00    0.90 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.17    1.07 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
-     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00    1.07 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    1.22 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00    1.22 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    2.07 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    2.07 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.60 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00    2.60 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.46 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05    3.51 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.84 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.85 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.99 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00    3.99 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.13 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00    4.13 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.24 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00    4.25 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.41    4.66 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
-                  0.44    0.02    4.67 ^ mprj/u_mbist1/clkbuf_opt_5_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.24    4.91 ^ mprj/u_mbist1/clkbuf_opt_5_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_5_0_wb_clk_i (net)
-                  0.05    0.00    4.91 ^ mprj/u_mbist1/clkbuf_opt_5_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.04 ^ mprj/u_mbist1/clkbuf_opt_5_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_5_1_wb_clk_i (net)
-                  0.04    0.00    5.05 ^ mprj/u_mbist1/clkbuf_opt_5_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.17 ^ mprj/u_mbist1/clkbuf_opt_5_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_5_2_wb_clk_i (net)
-                  0.04    0.00    5.18 ^ mprj/u_mbist1/clkbuf_opt_5_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    5.31 ^ mprj/u_mbist1/clkbuf_opt_5_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_5_3_wb_clk_i (net)
-                  0.05    0.00    5.31 ^ mprj/u_mbist1/clkbuf_leaf_16_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.18    5.49 ^ mprj/u_mbist1/clkbuf_leaf_16_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_mbist1/clknet_leaf_16_wb_clk_i (net)
-                  0.10    0.00    5.49 ^ mprj/u_mbist1/_4601_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                          0.10    5.59   clock uncertainty
-                          0.00    5.59   clock reconvergence pessimism
-                         -0.03    5.57   library hold time
-                                  5.57   data required time
------------------------------------------------------------------------------
-                                  5.57   data required time
-                                 -4.85   data arrival time
------------------------------------------------------------------------------
-                                 -0.72   slack (VIOLATED)
-
-
-Startpoint: mprj/u_intercon/_4151_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist1/_4592_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input317/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.20    0.25    0.26 ^ mprj/u_intercon/input317/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.02                           mprj/u_intercon/net317 (net)
-                  0.20    0.00    0.26 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.14    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d1 (net)
-                  0.09    0.00    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d2 (net)
-                  0.07    0.00    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d3 (net)
-                  0.10    0.00    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d4 (net)
-                  0.08    0.00    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d5 (net)
-                  0.08    0.00    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d6 (net)
-                  0.07    0.00    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d7 (net)
-                  0.08    0.00    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.18 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d8 (net)
-                  0.08    0.00    1.18 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d04 (net)
-                  0.05    0.00    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.12    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d12 (net)
-                  0.06    0.00    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d21 (net)
-                  0.05    0.00    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    1.69 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_intercon/net626 (net)
-                  0.07    0.00    1.69 ^ mprj/u_intercon/output626/A (sky130_fd_sc_hd__buf_2)
-                  0.80    0.60    2.29 ^ mprj/u_intercon/output626/X (sky130_fd_sc_hd__buf_2)
-     2    0.17                           mprj/wbd_clk_wi_skew (net)
-                  0.81    0.04    2.33 ^ mprj/u_intercon/clkbuf_0_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.28    2.61 ^ mprj/u_intercon/clkbuf_0_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_intercon/clknet_0_clk_i (net)
-                  0.07    0.00    2.61 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.74 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_intercon/clknet_1_1_0_clk_i (net)
-                  0.04    0.00    2.74 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.86 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_1_clk_i (net)
-                  0.04    0.00    2.86 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.11    2.97 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_2_clk_i (net)
-                  0.04    0.00    2.97 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.13    3.11 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_1_1_3_clk_i (net)
-                  0.07    0.00    3.11 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    3.25 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_2_2_0_clk_i (net)
-                  0.06    0.00    3.25 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    3.37 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_3_5_0_clk_i (net)
-                  0.04    0.00    3.37 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.21    0.24    3.61 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.12                           mprj/u_intercon/clknet_3_5_1_clk_i (net)
-                  0.21    0.01    3.62 ^ mprj/u_intercon/clkbuf_leaf_19_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.09    0.22    3.84 ^ mprj/u_intercon/clkbuf_leaf_19_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.08                           mprj/u_intercon/clknet_leaf_19_clk_i (net)
-                  0.10    0.00    3.84 ^ mprj/u_intercon/_4151_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.06    0.34    4.18 ^ mprj/u_intercon/_4151_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_intercon/u_s3.u_sync_wbb.s_cmd_rd_data_l[39] (net)
-                  0.06    0.00    4.18 ^ mprj/u_intercon/_2158_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.10    0.17    4.34 ^ mprj/u_intercon/_2158_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_intercon/net599 (net)
-                  0.10    0.00    4.34 ^ mprj/u_intercon/output599/A (sky130_fd_sc_hd__buf_2)
-                  0.18    0.22    4.56 ^ mprj/u_intercon/output599/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_mbist1_dat_o[21] (net)
-                  0.18    0.00    4.56 ^ mprj/u_mbist1/input173/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.14    0.17    4.74 ^ mprj/u_mbist1/input173/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.01                           mprj/u_mbist1/net173 (net)
-                  0.14    0.00    4.74 ^ mprj/u_mbist1/_3908_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.15    4.89 ^ mprj/u_mbist1/_3908_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/_0542_ (net)
-                  0.05    0.00    4.89 ^ mprj/u_mbist1/_4592_/D (sky130_fd_sc_hd__dfrtp_4)
-                                  4.89   data arrival time
-
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.24    0.53 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
-     2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.21    0.76 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02    0.77 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.13    0.90 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00    0.90 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.17    1.07 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
-     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00    1.07 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    1.22 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00    1.22 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    2.07 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    2.07 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.60 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00    2.60 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.46 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05    3.51 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.84 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.85 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.99 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00    3.99 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.13 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00    4.13 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.24 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00    4.25 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.41    4.66 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
-                  0.44    0.02    4.67 ^ mprj/u_mbist1/clkbuf_opt_5_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.24    4.91 ^ mprj/u_mbist1/clkbuf_opt_5_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_5_0_wb_clk_i (net)
-                  0.05    0.00    4.91 ^ mprj/u_mbist1/clkbuf_opt_5_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.04 ^ mprj/u_mbist1/clkbuf_opt_5_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_5_1_wb_clk_i (net)
-                  0.04    0.00    5.05 ^ mprj/u_mbist1/clkbuf_opt_5_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.17 ^ mprj/u_mbist1/clkbuf_opt_5_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_5_2_wb_clk_i (net)
-                  0.04    0.00    5.18 ^ mprj/u_mbist1/clkbuf_opt_5_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.13    5.31 ^ mprj/u_mbist1/clkbuf_opt_5_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_5_3_wb_clk_i (net)
-                  0.05    0.00    5.31 ^ mprj/u_mbist1/clkbuf_leaf_16_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.18    5.49 ^ mprj/u_mbist1/clkbuf_leaf_16_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_mbist1/clknet_leaf_16_wb_clk_i (net)
-                  0.10    0.00    5.49 ^ mprj/u_mbist1/_4592_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                          0.10    5.59   clock uncertainty
-                          0.00    5.59   clock reconvergence pessimism
-                         -0.03    5.57   library hold time
-                                  5.57   data required time
------------------------------------------------------------------------------
-                                  5.57   data required time
-                                 -4.89   data arrival time
------------------------------------------------------------------------------
-                                 -0.68   slack (VIOLATED)
-
-
-Startpoint: mprj/u_intercon/_4139_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist1/_4580_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input317/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.20    0.25    0.26 ^ mprj/u_intercon/input317/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.02                           mprj/u_intercon/net317 (net)
-                  0.20    0.00    0.26 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.14    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d1 (net)
-                  0.09    0.00    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d2 (net)
-                  0.07    0.00    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d3 (net)
-                  0.10    0.00    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d4 (net)
-                  0.08    0.00    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d5 (net)
-                  0.08    0.00    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d6 (net)
-                  0.07    0.00    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d7 (net)
-                  0.08    0.00    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.18 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d8 (net)
-                  0.08    0.00    1.18 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d04 (net)
-                  0.05    0.00    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.12    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d12 (net)
-                  0.06    0.00    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d21 (net)
-                  0.05    0.00    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    1.69 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_intercon/net626 (net)
-                  0.07    0.00    1.69 ^ mprj/u_intercon/output626/A (sky130_fd_sc_hd__buf_2)
-                  0.80    0.60    2.29 ^ mprj/u_intercon/output626/X (sky130_fd_sc_hd__buf_2)
-     2    0.17                           mprj/wbd_clk_wi_skew (net)
-                  0.81    0.04    2.33 ^ mprj/u_intercon/clkbuf_0_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.28    2.61 ^ mprj/u_intercon/clkbuf_0_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_intercon/clknet_0_clk_i (net)
-                  0.07    0.00    2.61 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.74 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_intercon/clknet_1_1_0_clk_i (net)
-                  0.04    0.00    2.74 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.86 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_1_clk_i (net)
-                  0.04    0.00    2.86 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.11    2.97 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_2_clk_i (net)
-                  0.04    0.00    2.97 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.13    3.11 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_1_1_3_clk_i (net)
-                  0.07    0.00    3.11 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    3.25 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_2_2_0_clk_i (net)
-                  0.06    0.00    3.25 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    3.37 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_3_5_0_clk_i (net)
-                  0.04    0.00    3.37 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.21    0.24    3.61 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.12                           mprj/u_intercon/clknet_3_5_1_clk_i (net)
-                  0.21    0.00    3.62 ^ mprj/u_intercon/clkbuf_leaf_16_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.22    3.84 ^ mprj/u_intercon/clkbuf_leaf_16_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_intercon/clknet_leaf_16_clk_i (net)
-                  0.10    0.00    3.84 ^ mprj/u_intercon/_4139_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.08    0.35    4.19 ^ mprj/u_intercon/_4139_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_intercon/u_s3.u_sync_wbb.s_cmd_rd_data_l[27] (net)
-                  0.08    0.00    4.19 ^ mprj/u_intercon/_2134_/A0 (sky130_fd_sc_hd__mux2_4)
-                  0.17    0.25    4.44 ^ mprj/u_intercon/_2134_/X (sky130_fd_sc_hd__mux2_4)
-     2    0.06                           mprj/u_intercon/net617 (net)
-                  0.17    0.01    4.45 ^ mprj/u_intercon/output617/A (sky130_fd_sc_hd__buf_2)
-                  0.16    0.22    4.67 ^ mprj/u_intercon/output617/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_mbist1_dat_o[9] (net)
-                  0.16    0.00    4.67 ^ mprj/u_mbist1/input191/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.11    4.78 ^ mprj/u_mbist1/input191/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_mbist1/net191 (net)
-                  0.06    0.00    4.78 ^ mprj/u_mbist1/_3896_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    4.90 ^ mprj/u_mbist1/_3896_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/_0530_ (net)
-                  0.04    0.00    4.90 ^ mprj/u_mbist1/_4580_/D (sky130_fd_sc_hd__dfrtp_4)
-                                  4.90   data arrival time
-
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.24    0.53 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
-     2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.21    0.76 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02    0.77 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.13    0.90 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00    0.90 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.17    1.07 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
-     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00    1.07 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    1.22 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00    1.22 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    2.07 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    2.07 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.60 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00    2.60 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.46 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05    3.51 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.84 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.85 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.99 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00    3.99 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.13 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00    4.13 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.24 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00    4.25 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.41    4.66 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
-                  0.44    0.01    4.67 ^ mprj/u_mbist1/clkbuf_opt_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.24    4.91 ^ mprj/u_mbist1/clkbuf_opt_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_4_0_wb_clk_i (net)
-                  0.05    0.00    4.91 ^ mprj/u_mbist1/clkbuf_opt_4_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.04 ^ mprj/u_mbist1/clkbuf_opt_4_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_4_1_wb_clk_i (net)
-                  0.04    0.00    5.04 ^ mprj/u_mbist1/clkbuf_opt_4_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.17 ^ mprj/u_mbist1/clkbuf_opt_4_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_4_2_wb_clk_i (net)
-                  0.04    0.00    5.17 ^ mprj/u_mbist1/clkbuf_opt_4_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14    5.31 ^ mprj/u_mbist1/clkbuf_opt_4_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.03                           mprj/u_mbist1/clknet_opt_4_3_wb_clk_i (net)
-                  0.05    0.00    5.31 ^ mprj/u_mbist1/clkbuf_leaf_13_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.19    5.50 ^ mprj/u_mbist1/clkbuf_leaf_13_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_mbist1/clknet_leaf_13_wb_clk_i (net)
-                  0.11    0.00    5.50 ^ mprj/u_mbist1/_4580_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                          0.10    5.60   clock uncertainty
-                          0.00    5.60   clock reconvergence pessimism
-                         -0.03    5.58   library hold time
-                                  5.58   data required time
------------------------------------------------------------------------------
-                                  5.58   data required time
-                                 -4.90   data arrival time
------------------------------------------------------------------------------
-                                 -0.68   slack (VIOLATED)
-
-
-Startpoint: mprj/u_intercon/_4138_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist1/_4579_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input317/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.20    0.25    0.26 ^ mprj/u_intercon/input317/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.02                           mprj/u_intercon/net317 (net)
-                  0.20    0.00    0.26 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.14    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d1 (net)
-                  0.09    0.00    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d2 (net)
-                  0.07    0.00    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d3 (net)
-                  0.10    0.00    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d4 (net)
-                  0.08    0.00    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d5 (net)
-                  0.08    0.00    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d6 (net)
-                  0.07    0.00    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d7 (net)
-                  0.08    0.00    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.18 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d8 (net)
-                  0.08    0.00    1.18 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d04 (net)
-                  0.05    0.00    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.12    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d12 (net)
-                  0.06    0.00    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d21 (net)
-                  0.05    0.00    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    1.69 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_intercon/net626 (net)
-                  0.07    0.00    1.69 ^ mprj/u_intercon/output626/A (sky130_fd_sc_hd__buf_2)
-                  0.80    0.60    2.29 ^ mprj/u_intercon/output626/X (sky130_fd_sc_hd__buf_2)
-     2    0.17                           mprj/wbd_clk_wi_skew (net)
-                  0.81    0.04    2.33 ^ mprj/u_intercon/clkbuf_0_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.28    2.61 ^ mprj/u_intercon/clkbuf_0_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_intercon/clknet_0_clk_i (net)
-                  0.07    0.00    2.61 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.74 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_intercon/clknet_1_1_0_clk_i (net)
-                  0.04    0.00    2.74 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.86 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_1_clk_i (net)
-                  0.04    0.00    2.86 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.11    2.97 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_2_clk_i (net)
-                  0.04    0.00    2.97 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.13    3.11 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_1_1_3_clk_i (net)
-                  0.07    0.00    3.11 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    3.25 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_2_2_0_clk_i (net)
-                  0.06    0.00    3.25 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    3.37 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_3_5_0_clk_i (net)
-                  0.04    0.00    3.37 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.21    0.24    3.61 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.12                           mprj/u_intercon/clknet_3_5_1_clk_i (net)
-                  0.21    0.00    3.62 ^ mprj/u_intercon/clkbuf_leaf_20_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.22    3.84 ^ mprj/u_intercon/clkbuf_leaf_20_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.08                           mprj/u_intercon/clknet_leaf_20_clk_i (net)
-                  0.10    0.00    3.84 ^ mprj/u_intercon/_4138_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.06    0.34    4.17 ^ mprj/u_intercon/_4138_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.00                           mprj/u_intercon/u_s3.u_sync_wbb.s_cmd_rd_data_l[26] (net)
-                  0.06    0.00    4.17 ^ mprj/u_intercon/_2132_/A0 (sky130_fd_sc_hd__mux2_2)
-                  0.20    0.27    4.44 ^ mprj/u_intercon/_2132_/X (sky130_fd_sc_hd__mux2_2)
-     2    0.04                           mprj/u_intercon/net616 (net)
-                  0.20    0.00    4.44 ^ mprj/u_intercon/output616/A (sky130_fd_sc_hd__buf_2)
-                  0.18    0.25    4.69 ^ mprj/u_intercon/output616/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_mbist1_dat_o[8] (net)
-                  0.18    0.00    4.69 ^ mprj/u_mbist1/input190/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.05    0.11    4.80 ^ mprj/u_mbist1/input190/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_mbist1/net190 (net)
-                  0.05    0.00    4.80 ^ mprj/u_mbist1/_3895_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.11    4.91 ^ mprj/u_mbist1/_3895_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/_0529_ (net)
-                  0.04    0.00    4.91 ^ mprj/u_mbist1/_4579_/D (sky130_fd_sc_hd__dfrtp_4)
-                                  4.91   data arrival time
-
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.24    0.53 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
-     2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.21    0.76 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02    0.77 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.13    0.90 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00    0.90 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.17    1.07 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
-     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00    1.07 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    1.22 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00    1.22 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    2.07 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    2.07 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.60 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00    2.60 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.46 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05    3.51 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.84 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.85 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.99 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00    3.99 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.13 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00    4.13 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.24 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00    4.25 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.41    4.66 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
-                  0.44    0.01    4.67 ^ mprj/u_mbist1/clkbuf_opt_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.24    4.91 ^ mprj/u_mbist1/clkbuf_opt_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_4_0_wb_clk_i (net)
-                  0.05    0.00    4.91 ^ mprj/u_mbist1/clkbuf_opt_4_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.04 ^ mprj/u_mbist1/clkbuf_opt_4_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_4_1_wb_clk_i (net)
-                  0.04    0.00    5.04 ^ mprj/u_mbist1/clkbuf_opt_4_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.17 ^ mprj/u_mbist1/clkbuf_opt_4_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_4_2_wb_clk_i (net)
-                  0.04    0.00    5.17 ^ mprj/u_mbist1/clkbuf_opt_4_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14    5.31 ^ mprj/u_mbist1/clkbuf_opt_4_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.03                           mprj/u_mbist1/clknet_opt_4_3_wb_clk_i (net)
-                  0.05    0.00    5.31 ^ mprj/u_mbist1/clkbuf_leaf_13_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.19    5.50 ^ mprj/u_mbist1/clkbuf_leaf_13_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_mbist1/clknet_leaf_13_wb_clk_i (net)
-                  0.11    0.00    5.50 ^ mprj/u_mbist1/_4579_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                          0.10    5.60   clock uncertainty
-                          0.00    5.60   clock reconvergence pessimism
-                         -0.02    5.58   library hold time
-                                  5.58   data required time
------------------------------------------------------------------------------
-                                  5.58   data required time
-                                 -4.91   data arrival time
------------------------------------------------------------------------------
-                                 -0.67   slack (VIOLATED)
-
-
-Startpoint: mprj/u_intercon/_4158_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist1/_4599_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input317/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.20    0.25    0.26 ^ mprj/u_intercon/input317/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.02                           mprj/u_intercon/net317 (net)
-                  0.20    0.00    0.26 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.14    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d1 (net)
-                  0.09    0.00    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d2 (net)
-                  0.07    0.00    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d3 (net)
-                  0.10    0.00    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d4 (net)
-                  0.08    0.00    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d5 (net)
-                  0.08    0.00    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d6 (net)
-                  0.07    0.00    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d7 (net)
-                  0.08    0.00    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.18 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d8 (net)
-                  0.08    0.00    1.18 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d04 (net)
-                  0.05    0.00    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.12    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d12 (net)
-                  0.06    0.00    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d21 (net)
-                  0.05    0.00    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    1.69 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_intercon/net626 (net)
-                  0.07    0.00    1.69 ^ mprj/u_intercon/output626/A (sky130_fd_sc_hd__buf_2)
-                  0.80    0.60    2.29 ^ mprj/u_intercon/output626/X (sky130_fd_sc_hd__buf_2)
-     2    0.17                           mprj/wbd_clk_wi_skew (net)
-                  0.81    0.04    2.33 ^ mprj/u_intercon/clkbuf_0_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.28    2.61 ^ mprj/u_intercon/clkbuf_0_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_intercon/clknet_0_clk_i (net)
-                  0.07    0.00    2.61 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.74 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_intercon/clknet_1_1_0_clk_i (net)
-                  0.04    0.00    2.74 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.86 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_1_clk_i (net)
-                  0.04    0.00    2.86 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.11    2.97 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_2_clk_i (net)
-                  0.04    0.00    2.97 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.13    3.11 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_1_1_3_clk_i (net)
-                  0.07    0.00    3.11 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    3.25 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_2_2_0_clk_i (net)
-                  0.06    0.00    3.25 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    3.37 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_3_5_0_clk_i (net)
-                  0.04    0.00    3.37 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.21    0.24    3.61 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.12                           mprj/u_intercon/clknet_3_5_1_clk_i (net)
-                  0.21    0.01    3.62 ^ mprj/u_intercon/clkbuf_leaf_18_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.12    0.23    3.85 ^ mprj/u_intercon/clkbuf_leaf_18_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.10                           mprj/u_intercon/clknet_leaf_18_clk_i (net)
-                  0.12    0.00    3.85 ^ mprj/u_intercon/_4158_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.07    0.35    4.20 ^ mprj/u_intercon/_4158_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_intercon/u_s3.u_sync_wbb.s_cmd_rd_data_l[46] (net)
-                  0.07    0.00    4.20 ^ mprj/u_intercon/_2172_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.09    0.16    4.36 ^ mprj/u_intercon/_2172_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_intercon/net606 (net)
-                  0.09    0.00    4.36 ^ mprj/u_intercon/output606/A (sky130_fd_sc_hd__buf_2)
-                  0.18    0.21    4.57 ^ mprj/u_intercon/output606/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_mbist1_dat_o[28] (net)
-                  0.18    0.00    4.57 ^ mprj/u_mbist1/input180/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    4.70 ^ mprj/u_mbist1/input180/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_mbist1/net180 (net)
-                  0.07    0.00    4.70 ^ mprj/u_mbist1/_3915_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    4.82 ^ mprj/u_mbist1/_3915_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/_0549_ (net)
-                  0.04    0.00    4.82 ^ mprj/u_mbist1/_4599_/D (sky130_fd_sc_hd__dfrtp_4)
-                                  4.82   data arrival time
-
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.24    0.53 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
-     2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.21    0.76 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02    0.77 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.13    0.90 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00    0.90 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.17    1.07 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
-     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00    1.07 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    1.22 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00    1.22 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    2.07 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    2.07 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.60 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00    2.60 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.46 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05    3.51 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.84 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.85 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.99 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00    3.99 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.13 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00    4.13 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.24 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00    4.25 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.41    4.66 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
-                  0.44    0.01    4.67 ^ mprj/u_mbist1/clkbuf_opt_6_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.24    4.91 ^ mprj/u_mbist1/clkbuf_opt_6_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_6_0_wb_clk_i (net)
-                  0.05    0.00    4.91 ^ mprj/u_mbist1/clkbuf_opt_6_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.04 ^ mprj/u_mbist1/clkbuf_opt_6_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_6_1_wb_clk_i (net)
-                  0.04    0.00    5.04 ^ mprj/u_mbist1/clkbuf_opt_6_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.06    0.14    5.19 ^ mprj/u_mbist1/clkbuf_opt_6_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.04                           mprj/u_mbist1/clknet_opt_6_2_wb_clk_i (net)
-                  0.06    0.00    5.19 ^ mprj/u_mbist1/clkbuf_leaf_17_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.19    5.38 ^ mprj/u_mbist1/clkbuf_leaf_17_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_mbist1/clknet_leaf_17_wb_clk_i (net)
-                  0.11    0.00    5.38 ^ mprj/u_mbist1/_4599_/CLK (sky130_fd_sc_hd__dfrtp_4)
-                          0.10    5.48   clock uncertainty
-                          0.00    5.48   clock reconvergence pessimism
-                         -0.03    5.46   library hold time
-                                  5.46   data required time
------------------------------------------------------------------------------
-                                  5.46   data required time
-                                 -4.82   data arrival time
------------------------------------------------------------------------------
-                                 -0.64   slack (VIOLATED)
-
-
-Startpoint: mprj/u_intercon/_4137_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist1/_4528_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input317/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.20    0.25    0.26 ^ mprj/u_intercon/input317/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.02                           mprj/u_intercon/net317 (net)
-                  0.20    0.00    0.26 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.14    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d1 (net)
-                  0.09    0.00    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d2 (net)
-                  0.07    0.00    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d3 (net)
-                  0.10    0.00    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d4 (net)
-                  0.08    0.00    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d5 (net)
-                  0.08    0.00    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d6 (net)
-                  0.07    0.00    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d7 (net)
-                  0.08    0.00    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.18 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d8 (net)
-                  0.08    0.00    1.18 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d04 (net)
-                  0.05    0.00    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.12    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d12 (net)
-                  0.06    0.00    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d21 (net)
-                  0.05    0.00    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    1.69 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_intercon/net626 (net)
-                  0.07    0.00    1.69 ^ mprj/u_intercon/output626/A (sky130_fd_sc_hd__buf_2)
-                  0.80    0.60    2.29 ^ mprj/u_intercon/output626/X (sky130_fd_sc_hd__buf_2)
-     2    0.17                           mprj/wbd_clk_wi_skew (net)
-                  0.81    0.04    2.33 ^ mprj/u_intercon/clkbuf_0_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.28    2.61 ^ mprj/u_intercon/clkbuf_0_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_intercon/clknet_0_clk_i (net)
-                  0.07    0.00    2.61 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.74 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_intercon/clknet_1_1_0_clk_i (net)
-                  0.04    0.00    2.74 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.86 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_1_clk_i (net)
-                  0.04    0.00    2.86 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.11    2.97 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_2_clk_i (net)
-                  0.04    0.00    2.97 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.13    3.11 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_1_1_3_clk_i (net)
-                  0.07    0.00    3.11 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    3.25 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_2_2_0_clk_i (net)
-                  0.06    0.00    3.25 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    3.37 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_3_5_0_clk_i (net)
-                  0.04    0.00    3.37 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.21    0.24    3.61 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.12                           mprj/u_intercon/clknet_3_5_1_clk_i (net)
-                  0.21    0.01    3.62 ^ mprj/u_intercon/clkbuf_leaf_18_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.12    0.23    3.85 ^ mprj/u_intercon/clkbuf_leaf_18_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.10                           mprj/u_intercon/clknet_leaf_18_clk_i (net)
-                  0.12    0.00    3.86 ^ mprj/u_intercon/_4137_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.06    0.34    4.20 ^ mprj/u_intercon/_4137_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.00                           mprj/u_intercon/u_s3.u_sync_wbb.s_cmd_rd_data_l[25] (net)
-                  0.06    0.00    4.20 ^ mprj/u_intercon/_2130_/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    4.32 ^ mprj/u_intercon/_2130_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/net615 (net)
-                  0.05    0.00    4.32 ^ mprj/u_intercon/output615/A (sky130_fd_sc_hd__buf_2)
-                  0.16    0.19    4.51 ^ mprj/u_intercon/output615/X (sky130_fd_sc_hd__buf_2)
-     2    0.03                           mprj/wbd_mbist1_dat_o[7] (net)
-                  0.16    0.00    4.52 ^ mprj/u_mbist1/input189/A (sky130_fd_sc_hd__clkbuf_2)
-                  0.23    0.26    4.78 ^ mprj/u_mbist1/input189/X (sky130_fd_sc_hd__clkbuf_2)
-     4    0.04                           mprj/u_mbist1/net189 (net)
-                  0.23    0.00    4.78 ^ mprj/u_mbist1/_3768_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.16    4.94 ^ mprj/u_mbist1/_3768_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/_0478_ (net)
-                  0.04    0.00    4.94 ^ mprj/u_mbist1/_4528_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  4.94   data arrival time
-
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.24    0.53 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
-     2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.21    0.76 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02    0.77 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.13    0.90 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00    0.90 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.17    1.07 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
-     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00    1.07 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    1.22 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00    1.22 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    2.07 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    2.07 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.60 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00    2.60 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.46 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05    3.51 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.84 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.85 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.99 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00    3.99 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.13 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00    4.13 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.24 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00    4.25 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.41    4.66 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
-                  0.44    0.01    4.67 ^ mprj/u_mbist1/clkbuf_opt_3_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.24    4.91 ^ mprj/u_mbist1/clkbuf_opt_3_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_3_0_wb_clk_i (net)
-                  0.05    0.00    4.91 ^ mprj/u_mbist1/clkbuf_opt_3_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.04 ^ mprj/u_mbist1/clkbuf_opt_3_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_3_1_wb_clk_i (net)
-                  0.04    0.00    5.04 ^ mprj/u_mbist1/clkbuf_opt_3_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.17 ^ mprj/u_mbist1/clkbuf_opt_3_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_3_2_wb_clk_i (net)
-                  0.04    0.00    5.17 ^ mprj/u_mbist1/clkbuf_opt_3_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14    5.31 ^ mprj/u_mbist1/clkbuf_opt_3_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.03                           mprj/u_mbist1/clknet_opt_3_3_wb_clk_i (net)
-                  0.05    0.00    5.31 ^ mprj/u_mbist1/clkbuf_leaf_12_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.18    5.49 ^ mprj/u_mbist1/clkbuf_leaf_12_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.08                           mprj/u_mbist1/clknet_leaf_12_wb_clk_i (net)
-                  0.10    0.00    5.49 ^ mprj/u_mbist1/_4528_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    5.59   clock uncertainty
-                          0.00    5.59   clock reconvergence pessimism
-                         -0.03    5.56   library hold time
-                                  5.56   data required time
------------------------------------------------------------------------------
-                                  5.56   data required time
-                                 -4.94   data arrival time
------------------------------------------------------------------------------
-                                 -0.62   slack (VIOLATED)
-
-
-Startpoint: mprj/u_intercon/_4141_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist1/_4582_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: min
-
-Fanout     Cap    Slew   Delay    Time   Description
------------------------------------------------------------------------------
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input317/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.20    0.25    0.26 ^ mprj/u_intercon/input317/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.02                           mprj/u_intercon/net317 (net)
-                  0.20    0.00    0.26 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.09    0.14    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d1 (net)
-                  0.09    0.00    0.39 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d2 (net)
-                  0.07    0.00    0.50 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.10    0.12    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d3 (net)
-                  0.10    0.00    0.62 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d4 (net)
-                  0.08    0.00    0.74 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d5 (net)
-                  0.08    0.00    0.85 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d6 (net)
-                  0.07    0.00    0.95 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d7 (net)
-                  0.08    0.00    1.06 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.11    1.18 ^ mprj/u_intercon/u_skew_wi.clkbuf_8/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_intercon/u_skew_wi.clk_d8 (net)
-                  0.08    0.00    1.18 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.13    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_04/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d04 (net)
-                  0.05    0.00    1.31 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.06    0.12    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_12/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d12 (net)
-                  0.06    0.00    1.43 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.12    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_21/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_intercon/u_skew_wi.d21 (net)
-                  0.05    0.00    1.55 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    1.69 ^ mprj/u_intercon/u_skew_wi.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_intercon/net626 (net)
-                  0.07    0.00    1.69 ^ mprj/u_intercon/output626/A (sky130_fd_sc_hd__buf_2)
-                  0.80    0.60    2.29 ^ mprj/u_intercon/output626/X (sky130_fd_sc_hd__buf_2)
-     2    0.17                           mprj/wbd_clk_wi_skew (net)
-                  0.81    0.04    2.33 ^ mprj/u_intercon/clkbuf_0_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.07    0.28    2.61 ^ mprj/u_intercon/clkbuf_0_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.03                           mprj/u_intercon/clknet_0_clk_i (net)
-                  0.07    0.00    2.61 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.13    2.74 ^ mprj/u_intercon/clkbuf_1_1_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_intercon/clknet_1_1_0_clk_i (net)
-                  0.04    0.00    2.74 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    2.86 ^ mprj/u_intercon/clkbuf_1_1_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_1_clk_i (net)
-                  0.04    0.00    2.86 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.11    2.97 ^ mprj/u_intercon/clkbuf_1_1_2_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_1_1_2_clk_i (net)
-                  0.04    0.00    2.97 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.07    0.13    3.11 ^ mprj/u_intercon/clkbuf_1_1_3_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_1_1_3_clk_i (net)
-                  0.07    0.00    3.11 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.06    0.14    3.25 ^ mprj/u_intercon/clkbuf_2_2_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     4    0.03                           mprj/u_intercon/clknet_2_2_0_clk_i (net)
-                  0.06    0.00    3.25 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.04    0.12    3.37 ^ mprj/u_intercon/clkbuf_3_5_0_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_intercon/clknet_3_5_0_clk_i (net)
-                  0.04    0.00    3.37 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.21    0.24    3.61 ^ mprj/u_intercon/clkbuf_3_5_1_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    14    0.12                           mprj/u_intercon/clknet_3_5_1_clk_i (net)
-                  0.21    0.00    3.61 ^ mprj/u_intercon/clkbuf_leaf_17_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.10    0.22    3.83 ^ mprj/u_intercon/clkbuf_leaf_17_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.08                           mprj/u_intercon/clknet_leaf_17_clk_i (net)
-                  0.10    0.00    3.83 ^ mprj/u_intercon/_4141_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                  0.07    0.34    4.18 ^ mprj/u_intercon/_4141_/Q (sky130_fd_sc_hd__dfrtp_1)
-     2    0.01                           mprj/u_intercon/u_s3.u_sync_wbb.s_cmd_rd_data_l[29] (net)
-                  0.07    0.00    4.18 ^ mprj/u_intercon/_2138_/A0 (sky130_fd_sc_hd__mux2_2)
-                  0.23    0.29    4.46 ^ mprj/u_intercon/_2138_/X (sky130_fd_sc_hd__mux2_2)
-     2    0.04                           mprj/u_intercon/net588 (net)
-                  0.23    0.01    4.47 ^ mprj/u_intercon/output588/A (sky130_fd_sc_hd__buf_2)
-                  0.18    0.25    4.72 ^ mprj/u_intercon/output588/X (sky130_fd_sc_hd__buf_2)
-     2    0.04                           mprj/wbd_mbist1_dat_o[11] (net)
-                  0.18    0.00    4.73 ^ mprj/u_mbist1/input162/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.06    0.12    4.84 ^ mprj/u_mbist1/input162/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_mbist1/net162 (net)
-                  0.06    0.00    4.84 ^ mprj/u_mbist1/_3898_/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    4.96 ^ mprj/u_mbist1/_3898_/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/_0532_ (net)
-                  0.04    0.00    4.96 ^ mprj/u_mbist1/_4582_/D (sky130_fd_sc_hd__dfrtp_1)
-                                  4.96   data arrival time
-
-                          0.00    0.00   clock wbs_clk_i (rise edge)
-                          0.00    0.00   clock source latency
-                  0.67    0.00    0.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
-    12    0.06                           mprj/wbd_clk_int (net)
-                  0.67    0.00    0.00 ^ mprj/u_intercon/input3/A (sky130_fd_sc_hd__buf_6)
-                  0.15    0.29    0.29 ^ mprj/u_intercon/input3/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net3 (net)
-                  0.15    0.00    0.29 ^ mprj/u_intercon/wire1079/A (sky130_fd_sc_hd__buf_6)
-                  0.18    0.24    0.53 ^ mprj/u_intercon/wire1079/X (sky130_fd_sc_hd__buf_6)
-     2    0.09                           mprj/u_intercon/net1079 (net)
-                  0.19    0.01    0.54 ^ mprj/u_intercon/wire1078/A (sky130_fd_sc_hd__buf_6)
-                  0.14    0.21    0.76 ^ mprj/u_intercon/wire1078/X (sky130_fd_sc_hd__buf_6)
-     2    0.07                           mprj/u_intercon/net1078 (net)
-                  0.15    0.02    0.77 ^ mprj/u_intercon/_4691_/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.13    0.90 ^ mprj/u_intercon/_4691_/X (sky130_fd_sc_hd__clkbuf_1)
-     1    0.00                           mprj/u_intercon/net320 (net)
-                  0.07    0.00    0.90 ^ mprj/u_intercon/output320/A (sky130_fd_sc_hd__buf_2)
-                  0.10    0.17    1.07 ^ mprj/u_intercon/output320/X (sky130_fd_sc_hd__buf_2)
-     2    0.02                           mprj/wbd_clk_mbist1_rp (net)
-                  0.10    0.00    1.07 ^ mprj/u_mbist1/input198/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.16    1.22 ^ mprj/u_mbist1/input198/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/net198 (net)
-                  0.12    0.00    1.22 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.13    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d1 (net)
-                  0.08    0.00    1.35 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d2 (net)
-                  0.07    0.00    1.46 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.12    0.14    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d3 (net)
-                  0.12    0.00    1.61 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.12    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d4 (net)
-                  0.07    0.00    1.73 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.08    0.12    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_5/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d5 (net)
-                  0.08    0.00    1.85 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_6/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.00                           mprj/u_mbist1/u_skew_mbist.clk_d6 (net)
-                  0.07    0.00    1.96 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/A (sky130_fd_sc_hd__clkbuf_1)
-                  0.07    0.11    2.07 ^ mprj/u_mbist1/u_skew_mbist.clkbuf_7/X (sky130_fd_sc_hd__clkbuf_1)
-     2    0.01                           mprj/u_mbist1/u_skew_mbist.clk_d7 (net)
-                  0.07    0.00    2.07 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.13    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_03/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d03 (net)
-                  0.04    0.00    2.20 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.04    0.12    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/u_skew_mbist.d11 (net)
-                  0.04    0.00    2.32 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
-                  0.07    0.14    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
-     1    0.01                           mprj/u_mbist1/u_skew_mbist.d20 (net)
-                  0.07    0.00    2.46 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
-                  0.05    0.14    2.60 ^ mprj/u_mbist1/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
-     1    0.00                           mprj/u_mbist1/net427 (net)
-                  0.05    0.00    2.60 ^ mprj/u_mbist1/output427/A (sky130_fd_sc_hd__buf_2)
-                  1.10    0.86    3.46 ^ mprj/u_mbist1/output427/X (sky130_fd_sc_hd__buf_2)
-     4    0.23                           mprj/wbd_clk_mbist1_skew (net)
-                  1.11    0.05    3.51 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.08    0.34    3.84 ^ mprj/u_mbist1/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     4    0.04                           mprj/u_mbist1/clknet_0_wb_clk_i (net)
-                  0.08    0.00    3.85 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.15    3.99 ^ mprj/u_mbist1/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_0_wb_clk_i (net)
-                  0.05    0.00    3.99 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.05    0.13    4.13 ^ mprj/u_mbist1/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.02                           mprj/u_mbist1/clknet_1_1_1_wb_clk_i (net)
-                  0.05    0.00    4.13 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.03    0.12    4.24 ^ mprj/u_mbist1/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-     1    0.01                           mprj/u_mbist1/clknet_1_1_2_wb_clk_i (net)
-                  0.03    0.00    4.25 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
-                  0.44    0.41    4.66 ^ mprj/u_mbist1/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
-    30    0.26                           mprj/u_mbist1/clknet_1_1_3_wb_clk_i (net)
-                  0.44    0.01    4.67 ^ mprj/u_mbist1/clkbuf_opt_4_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.24    4.91 ^ mprj/u_mbist1/clkbuf_opt_4_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_4_0_wb_clk_i (net)
-                  0.05    0.00    4.91 ^ mprj/u_mbist1/clkbuf_opt_4_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.04 ^ mprj/u_mbist1/clkbuf_opt_4_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_4_1_wb_clk_i (net)
-                  0.04    0.00    5.04 ^ mprj/u_mbist1/clkbuf_opt_4_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.04    0.13    5.17 ^ mprj/u_mbist1/clkbuf_opt_4_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     1    0.02                           mprj/u_mbist1/clknet_opt_4_2_wb_clk_i (net)
-                  0.04    0.00    5.17 ^ mprj/u_mbist1/clkbuf_opt_4_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.05    0.14    5.31 ^ mprj/u_mbist1/clkbuf_opt_4_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-     2    0.03                           mprj/u_mbist1/clknet_opt_4_3_wb_clk_i (net)
-                  0.05    0.00    5.31 ^ mprj/u_mbist1/clkbuf_leaf_13_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
-                  0.11    0.19    5.50 ^ mprj/u_mbist1/clkbuf_leaf_13_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
-    32    0.09                           mprj/u_mbist1/clknet_leaf_13_wb_clk_i (net)
-                  0.11    0.00    5.50 ^ mprj/u_mbist1/_4582_/CLK (sky130_fd_sc_hd__dfrtp_1)
-                          0.10    5.60   clock uncertainty
-                          0.00    5.60   clock reconvergence pessimism
-                         -0.02    5.58   library hold time
-                                  5.58   data required time
------------------------------------------------------------------------------
-                                  5.58   data required time
-                                 -4.96   data arrival time
------------------------------------------------------------------------------
-                                 -0.62   slack (VIOLATED)
-
-
-% Startpoint: mprj/u_intercon/_4197_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist1/_4582_
+Startpoint: mprj/u_sram2_2kb
+            (falling edge-triggered flip-flop clocked by wbs_clk_i)
+Endpoint: mprj/u_mbist0/_4559_
           (rising edge-triggered flip-flop clocked by wbs_clk_i)
 Path Group: wbs_clk_i
 Path Type: max
 
-  Delay    Time   Description
----------------------------------------------------------
-   0.00    0.00   clock wbs_clk_i (rise edge)
-   4.20    4.20   clock network delay (propagated)
-   0.00    4.20 ^ mprj/u_intercon/_4197_/CLK (sky130_fd_sc_hd__dfxtp_1)
-   0.35    4.55 v mprj/u_intercon/_4197_/Q (sky130_fd_sc_hd__dfxtp_1)
-   0.62    5.17 v mprj/u_intercon/_2137_/X (sky130_fd_sc_hd__mux4_2)
-   0.45    5.62 v mprj/u_intercon/_2138_/X (sky130_fd_sc_hd__mux2_2)
-   0.24    5.86 v mprj/u_intercon/output588/X (sky130_fd_sc_hd__buf_2)
-   0.12    5.99 v mprj/u_mbist1/input162/X (sky130_fd_sc_hd__clkbuf_1)
-   0.29    6.28 v mprj/u_mbist1/_3898_/X (sky130_fd_sc_hd__mux2_1)
-   0.00    6.28 v mprj/u_mbist1/_4582_/D (sky130_fd_sc_hd__dfrtp_1)
-           6.28   data arrival time
+Fanout     Cap    Slew   Delay    Time   Description
+-----------------------------------------------------------------------------
+                          5.00    5.00   clock wbs_clk_i (fall edge)
+                          0.00    5.00   clock source latency
+                  0.42    0.00    5.00 v mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.07                           mprj/wbd_clk_int (net)
+                  0.42    0.00    5.00 v mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.18    0.42    5.43 v mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.18    0.00    5.43 v mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.18    5.61 v mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.07    0.00    5.62 v mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.08    0.19    5.81 v mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.08    0.00    5.81 v mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.14    5.95 v mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.06    0.00    5.95 v mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.11    6.06 v mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.04    0.00    6.06 v mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.10    6.15 v mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.04    0.00    6.15 v mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.06    0.12    6.27 v mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.06    0.00    6.27 v mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.04    0.11    6.38 v mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.04    0.00    6.38 v mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.29    6.67 v mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.06    0.00    6.67 v mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.29    6.96 v mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.05    0.00    6.96 v mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.32    7.28 v mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00    7.28 v mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.06    0.31    7.59 v mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.06    0.00    7.59 v mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.11    0.21    7.80 v mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.04                           mprj/wbd_clk_mbist0_skew (net)
+                  0.11    0.00    7.80 v mprj/u_mbist0/wire10/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.12    0.21    8.01 v mprj/u_mbist0/wire10/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2103 (net)
+                  0.12    0.00    8.01 v mprj/u_mbist0/wire9/A (sky130_fd_sc_hd__buf_4)
+                  0.06    0.21    8.22 v mprj/u_mbist0/wire9/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2102 (net)
+                  0.06    0.00    8.23 v mprj/u_mbist0/wire8/A (sky130_fd_sc_hd__buf_6)
+                  0.06    0.16    8.39 v mprj/u_mbist0/wire8/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2101 (net)
+                  0.06    0.01    8.39 v mprj/u_mbist0/clkbuf_0_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.16    8.55 v mprj/u_mbist0/clkbuf_0_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     2    0.02                           mprj/u_mbist0/clknet_0_wb_clk2_i (net)
+                  0.03    0.00    8.55 v mprj/u_mbist0/clkbuf_1_0__f_wb_clk2_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.03    0.13    8.68 v mprj/u_mbist0/clkbuf_1_0__f_wb_clk2_i/X (sky130_fd_sc_hd__clkbuf_16)
+     1    0.00                           mprj/u_mbist0/clknet_1_0__leaf_wb_clk2_i (net)
+                  0.03    0.00    8.68 v mprj/u_mbist0/wire14/A (sky130_fd_sc_hd__clkbuf_4)
+                  0.17    0.25    8.93 v mprj/u_mbist0/wire14/X (sky130_fd_sc_hd__clkbuf_4)
+     8    0.09                           mprj/u_mbist0/net2107 (net)
+                  0.17    0.01    8.95 v mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/A0 (sky130_fd_sc_hd__mux2_8)
+                  0.05    0.35    9.30 v mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.u_mem_clk_sel.genblk1.u_mux/X (sky130_fd_sc_hd__mux2_8)
+     1    0.00                           mprj/u_mbist0/u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.05    0.00    9.30 v mprj/u_mbist0/wire13/A (sky130_fd_sc_hd__buf_2)
+                  0.13    0.22    9.52 v mprj/u_mbist0/wire13/X (sky130_fd_sc_hd__buf_2)
+     2    0.05                           mprj/u_mbist0/net2106 (net)
+                  0.13    0.00    9.52 v mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.08    0.24    9.76 v mprj/u_mbist0/clkbuf_0_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    16    0.11                           mprj/u_mbist0/clknet_0_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.08    0.01    9.77 v mprj/u_mbist0/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.04    0.18    9.95 v mprj/u_mbist0/clkbuf_3_7__f_u_mbist.mem_no[2].u_mem_sel.mem_clk/X (sky130_fd_sc_hd__clkbuf_16)
+    10    0.03                           mprj/u_mbist0/clknet_3_7__leaf_u_mbist.mem_no[2].u_mem_sel.mem_clk (net)
+                  0.04    0.00    9.95 v mprj/u_mbist0/_4688_/A (sky130_fd_sc_hd__buf_2)
+                  0.03    0.13   10.08 v mprj/u_mbist0/_4688_/X (sky130_fd_sc_hd__buf_2)
+     1    0.01                           mprj/u_mbist0/net241 (net)
+                  0.03    0.00   10.08 v mprj/u_mbist0/output241/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.36    0.34   10.41 v mprj/u_mbist0/output241/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.06                           mprj/mem_clk_a[2] (net)
+                  0.48    0.01   10.43 v mprj/u_sram2_2kb/clk0 (sky130_sram_2kbyte_1rw1r_32x512_8)
+                  0.01    0.53   10.96 v mprj/u_sram2_2kb/dout0[24] (sky130_sram_2kbyte_1rw1r_32x512_8)
+     2    0.02                           mprj/mem2_dout_a[24] (net)
+                  0.01    0.00   10.96 v mprj/u_mbist0/input85/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.13    0.18   11.14 v mprj/u_mbist0/input85/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net85 (net)
+                  0.13    0.00   11.14 v mprj/u_mbist0/wire1988/A (sky130_fd_sc_hd__buf_4)
+                  0.13    0.26   11.40 v mprj/u_mbist0/wire1988/X (sky130_fd_sc_hd__buf_4)
+     6    0.10                           mprj/u_mbist0/net1988 (net)
+                  0.14    0.03   11.43 v mprj/u_mbist0/_3652_/A2 (sky130_fd_sc_hd__a21o_1)
+                  0.12    0.30   11.73 v mprj/u_mbist0/_3652_/X (sky130_fd_sc_hd__a21o_1)
+     2    0.02                           mprj/u_mbist0/_1725_ (net)
+                  0.12    0.00   11.73 v mprj/u_mbist0/_3653_/C1 (sky130_fd_sc_hd__a221o_1)
+                  0.11    0.36   12.09 v mprj/u_mbist0/_3653_/X (sky130_fd_sc_hd__a221o_1)
+     1    0.01                           mprj/u_mbist0/_1726_ (net)
+                  0.11    0.00   12.09 v mprj/u_mbist0/_3654_/B1 (sky130_fd_sc_hd__o21a_1)
+                  0.23    0.30   12.40 v mprj/u_mbist0/_3654_/X (sky130_fd_sc_hd__o21a_1)
+     4    0.05                           mprj/u_mbist0/_1727_ (net)
+                  0.23    0.00   12.40 v mprj/u_mbist0/_3857_/A3 (sky130_fd_sc_hd__a31o_1)
+                  0.04    0.30   12.70 v mprj/u_mbist0/_3857_/X (sky130_fd_sc_hd__a31o_1)
+     1    0.00                           mprj/u_mbist0/_1862_ (net)
+                  0.04    0.00   12.70 v mprj/u_mbist0/_3858_/B1 (sky130_fd_sc_hd__o21a_1)
+                  0.03    0.10   12.80 v mprj/u_mbist0/_3858_/X (sky130_fd_sc_hd__o21a_1)
+     1    0.00                           mprj/u_mbist0/_0509_ (net)
+                  0.03    0.00   12.80 v mprj/u_mbist0/_4559_/D (sky130_fd_sc_hd__dfrtp_4)
+                                 12.80   data arrival time
 
-  10.00   10.00   clock wbs_clk_i (rise edge)
-   5.11   15.11   clock network delay (propagated)
-  -0.25   14.86   clock uncertainty
-   0.00   14.86   clock reconvergence pessimism
-          14.86 ^ mprj/u_mbist1/_4582_/CLK (sky130_fd_sc_hd__dfrtp_1)
-  -0.10   14.75   library setup time
-          14.75   data required time
----------------------------------------------------------
-          14.75   data required time
-          -6.28   data arrival time
----------------------------------------------------------
-           8.48   slack (MET)
+                         10.00   10.00   clock wbs_clk_i (rise edge)
+                          0.00   10.00   clock source latency
+                  0.87    0.00   10.00 ^ mprj/u_wb_host/output291/X (sky130_fd_sc_hd__clkbuf_1)
+    12    0.08                           mprj/wbd_clk_int (net)
+                  0.87    0.00   10.00 ^ mprj/u_intercon/input2/A (sky130_fd_sc_hd__dlymetal6s2s_1)
+                  0.37    0.41   10.41 ^ mprj/u_intercon/input2/X (sky130_fd_sc_hd__dlymetal6s2s_1)
+     2    0.04                           mprj/u_intercon/net2 (net)
+                  0.37    0.00   10.41 ^ mprj/u_intercon/_4690_/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.13    0.19   10.60 ^ mprj/u_intercon/_4690_/X (sky130_fd_sc_hd__clkbuf_1)
+     1    0.01                           mprj/u_intercon/net319 (net)
+                  0.13    0.00   10.60 ^ mprj/u_intercon/output319/A (sky130_fd_sc_hd__buf_2)
+                  0.15    0.21   10.81 ^ mprj/u_intercon/output319/X (sky130_fd_sc_hd__buf_2)
+     2    0.03                           mprj/wbd_clk_mbist0_rp (net)
+                  0.15    0.00   10.81 ^ mprj/u_mbist0/input198/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.15   10.97 ^ mprj/u_mbist0/input198/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/net198 (net)
+                  0.12    0.00   10.97 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.08    0.12   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_1/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d1 (net)
+                  0.08    0.00   11.09 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.10   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_2/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.00                           mprj/u_mbist0/u_skew_mbist.clk_d2 (net)
+                  0.07    0.00   11.19 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.12    0.13   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_3/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d3 (net)
+                  0.12    0.00   11.32 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/A (sky130_fd_sc_hd__clkbuf_1)
+                  0.07    0.11   11.44 ^ mprj/u_mbist0/u_skew_mbist.clkbuf_4/X (sky130_fd_sc_hd__clkbuf_1)
+     2    0.01                           mprj/u_mbist0/u_skew_mbist.clk_d4 (net)
+                  0.07    0.00   11.44 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.12   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_02/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d02 (net)
+                  0.05    0.00   11.56 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.04    0.11   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_11/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/u_skew_mbist.d11 (net)
+                  0.04    0.00   11.67 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/A1 (sky130_fd_sc_hd__mux2_1)
+                  0.07    0.13   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_20/X (sky130_fd_sc_hd__mux2_1)
+     1    0.01                           mprj/u_mbist0/u_skew_mbist.d20 (net)
+                  0.07    0.00   11.80 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/A0 (sky130_fd_sc_hd__mux2_1)
+                  0.05    0.13   11.93 ^ mprj/u_mbist0/u_skew_mbist.u_mux_level_30/X (sky130_fd_sc_hd__mux2_1)
+     1    0.00                           mprj/u_mbist0/net427 (net)
+                  0.05    0.00   11.93 ^ mprj/u_mbist0/output427/A (sky130_fd_sc_hd__buf_2)
+                  0.22    0.23   12.16 ^ mprj/u_mbist0/output427/X (sky130_fd_sc_hd__buf_2)
+     4    0.05                           mprj/wbd_clk_mbist0_skew (net)
+                  0.22    0.00   12.16 ^ mprj/u_mbist0/wire3/A (sky130_fd_sc_hd__clkbuf_2)
+                  0.16    0.23   12.39 ^ mprj/u_mbist0/wire3/X (sky130_fd_sc_hd__clkbuf_2)
+     2    0.03                           mprj/u_mbist0/net2096 (net)
+                  0.16    0.00   12.40 ^ mprj/u_mbist0/wire2/A (sky130_fd_sc_hd__buf_4)
+                  0.11    0.19   12.59 ^ mprj/u_mbist0/wire2/X (sky130_fd_sc_hd__buf_4)
+     2    0.04                           mprj/u_mbist0/net2095 (net)
+                  0.11    0.00   12.59 ^ mprj/u_mbist0/wire1/A (sky130_fd_sc_hd__buf_6)
+                  0.11    0.16   12.75 ^ mprj/u_mbist0/wire1/X (sky130_fd_sc_hd__buf_6)
+     2    0.05                           mprj/u_mbist0/net2094 (net)
+                  0.11    0.00   12.75 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.06    0.16   12.92 ^ mprj/u_mbist0/clkbuf_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+     4    0.04                           mprj/u_mbist0/clknet_0_wb_clk_i (net)
+                  0.06    0.00   12.92 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.13   13.04 ^ mprj/u_mbist0/clkbuf_1_1_0_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.02                           mprj/u_mbist0/clknet_1_1_0_wb_clk_i (net)
+                  0.04    0.00   13.04 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.04    0.12   13.16 ^ mprj/u_mbist0/clkbuf_1_1_1_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_1_wb_clk_i (net)
+                  0.04    0.00   13.16 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.03    0.11   13.27 ^ mprj/u_mbist0/clkbuf_1_1_2_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     1    0.01                           mprj/u_mbist0/clknet_1_1_2_wb_clk_i (net)
+                  0.03    0.00   13.27 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_8)
+                  0.13    0.18   13.45 ^ mprj/u_mbist0/clkbuf_1_1_3_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_8)
+     8    0.07                           mprj/u_mbist0/clknet_1_1_3_wb_clk_i (net)
+                  0.13    0.00   13.45 ^ mprj/u_mbist0/wire7/A (sky130_fd_sc_hd__buf_8)
+                  0.20    0.22   13.67 ^ mprj/u_mbist0/wire7/X (sky130_fd_sc_hd__buf_8)
+    18    0.12                           mprj/u_mbist0/net2100 (net)
+                  0.20    0.01   13.69 ^ mprj/u_mbist0/wire6/A (sky130_fd_sc_hd__buf_4)
+                  0.21    0.27   13.95 ^ mprj/u_mbist0/wire6/X (sky130_fd_sc_hd__buf_4)
+     8    0.07                           mprj/u_mbist0/net2099 (net)
+                  0.21    0.00   13.96 ^ mprj/u_mbist0/clkbuf_leaf_11_wb_clk_i/A (sky130_fd_sc_hd__clkbuf_16)
+                  0.12    0.24   14.20 ^ mprj/u_mbist0/clkbuf_leaf_11_wb_clk_i/X (sky130_fd_sc_hd__clkbuf_16)
+    32    0.11                           mprj/u_mbist0/clknet_leaf_11_wb_clk_i (net)
+                  0.12    0.00   14.20 ^ mprj/u_mbist0/_4559_/CLK (sky130_fd_sc_hd__dfrtp_4)
+                         -0.25   13.95   clock uncertainty
+                          0.17   14.12   clock reconvergence pessimism
+                         -0.09   14.03   library setup time
+                                 14.03   data required time
+-----------------------------------------------------------------------------
+                                 14.03   data required time
+                                -12.80   data arrival time
+-----------------------------------------------------------------------------
+                                  1.23   slack (MET)
 
 
-% Startpoint: mprj/u_intercon/_4197_
-            (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Endpoint: mprj/u_mbist1/_4582_
-          (rising edge-triggered flip-flop clocked by wbs_clk_i)
-Path Group: wbs_clk_i
-Path Type: min
-
-  Delay    Time   Description
----------------------------------------------------------
-   0.00    0.00   clock wbs_clk_i (rise edge)
-   3.90    3.90   clock network delay (propagated)
-   0.00    3.90 ^ mprj/u_intercon/_4197_/CLK (sky130_fd_sc_hd__dfxtp_1)
-   0.34    4.24 ^ mprj/u_intercon/_4197_/Q (sky130_fd_sc_hd__dfxtp_1)
-   0.38    4.62 ^ mprj/u_intercon/_2137_/X (sky130_fd_sc_hd__mux4_2)
-   0.35    4.96 ^ mprj/u_intercon/_2138_/X (sky130_fd_sc_hd__mux2_2)
-   0.26    5.22 ^ mprj/u_intercon/output588/X (sky130_fd_sc_hd__buf_2)
-   0.12    5.35 ^ mprj/u_mbist1/input162/X (sky130_fd_sc_hd__clkbuf_1)
-   0.12    5.46 ^ mprj/u_mbist1/_3898_/X (sky130_fd_sc_hd__mux2_1)
-   0.00    5.46 ^ mprj/u_mbist1/_4582_/D (sky130_fd_sc_hd__dfrtp_1)
-           5.46   data arrival time
-
-   0.00    0.00   clock wbs_clk_i (rise edge)
-   5.50    5.50   clock network delay (propagated)
-   0.10    5.60   clock uncertainty
-   0.00    5.60   clock reconvergence pessimism
-           5.60 ^ mprj/u_mbist1/_4582_/CLK (sky130_fd_sc_hd__dfrtp_1)
-  -0.02    5.58   library hold time
-           5.58   data required time
----------------------------------------------------------
-           5.58   data required time
-          -5.46   data arrival time
----------------------------------------------------------
-          -0.12   slack (VIOLATED)
-
-
-% delete_net net
-get_nets [-hierarchical] [-hsc separator] [-regexp] [-nocase] [-quiet]
-   [-of_objects objects] [patterns]
-make_net
-report_net [-connections] [-verbose] [-corner corner] [-digits digits]
-   [-hier_pins] net_path [> filename] [>> filename]
-set_ideal_net nets
-set_ideal_network [-no_propagation] objects
-% Net mprj/wbd_clk_mbist1_skew
-Driver pins
- mprj/u_mbist1/output427/X output (sky130_fd_sc_hd__buf_2)
-
-Load pins
- mprj/u_mbist1/ANTENNA_clkbuf_0_wb_clk2_i_A/DIODE input (sky130_fd_sc_hd__diode_2)
- mprj/u_mbist1/ANTENNA_clkbuf_0_wb_clk_i_A/DIODE input (sky130_fd_sc_hd__diode_2)
- mprj/u_mbist1/clkbuf_0_wb_clk2_i/A input (sky130_fd_sc_hd__clkbuf_16)
- mprj/u_mbist1/clkbuf_0_wb_clk_i/A input (sky130_fd_sc_hd__clkbuf_16)
-
+worst slack -0.20
+worst slack 0.18
+No paths found.
 % 
\ No newline at end of file
diff --git a/sta/unconstraints.rpt b/sta/unconstraints.rpt
index 1195916..32e66e7 100644
--- a/sta/unconstraints.rpt
+++ b/sta/unconstraints.rpt
@@ -23,11 +23,9 @@
   vssd2
   vssio
   vssio_2
-Warning: There are 57 output ports missing set_output_delay.
+Warning: There are 51 output ports missing set_output_delay.
   gpio
   mprj_io[0]
-  mprj_io[10]
-  mprj_io[11]
   mprj_io[12]
   mprj_io[13]
   mprj_io[14]
@@ -59,10 +57,6 @@
   mprj_io[3]
   mprj_io[4]
   mprj_io[5]
-  mprj_io[6]
-  mprj_io[7]
-  mprj_io[8]
-  mprj_io[9]
   vccd
   vccd1
   vccd2
@@ -81,7 +75,7 @@
   vssd2
   vssio
   vssio_2
-Warning: There are 151 unclocked register/latch pins.
+Warning: There are 93 unclocked register/latch pins.
   clock_ctrl/_412_/CLK
   clock_ctrl/_413_/CLK
   clock_ctrl/_414_/CLK
@@ -136,64 +130,6 @@
   clock_ctrl/_463_/CLK
   clock_ctrl/_464_/CLK
   clock_ctrl/_465_/CLK
-  mprj/u_mac_wrap/_08461_/CLK
-  mprj/u_mac_wrap/_08462_/CLK
-  mprj/u_mac_wrap/_08463_/CLK
-  mprj/u_mac_wrap/_08464_/CLK
-  mprj/u_mac_wrap/_08465_/CLK
-  mprj/u_mac_wrap/_08466_/CLK
-  mprj/u_mac_wrap/_08467_/CLK
-  mprj/u_mac_wrap/_08468_/CLK
-  mprj/u_mac_wrap/_08469_/CLK
-  mprj/u_mac_wrap/_08470_/CLK
-  mprj/u_mac_wrap/_08471_/CLK
-  mprj/u_mac_wrap/_08472_/CLK
-  mprj/u_mac_wrap/_08473_/CLK
-  mprj/u_mac_wrap/_08474_/CLK
-  mprj/u_mac_wrap/_08475_/CLK
-  mprj/u_mac_wrap/_08725_/CLK
-  mprj/u_mac_wrap/_08726_/CLK
-  mprj/u_mac_wrap/_08727_/CLK
-  mprj/u_mac_wrap/_08728_/CLK
-  mprj/u_mac_wrap/_08729_/CLK
-  mprj/u_mac_wrap/_08730_/CLK
-  mprj/u_mac_wrap/_08731_/CLK
-  mprj/u_mac_wrap/_08732_/CLK
-  mprj/u_mac_wrap/_09100_/CLK
-  mprj/u_mac_wrap/_09101_/CLK
-  mprj/u_mac_wrap/_09102_/CLK
-  mprj/u_mac_wrap/_09103_/CLK
-  mprj/u_mac_wrap/_09104_/CLK
-  mprj/u_mac_wrap/_09105_/CLK
-  mprj/u_mac_wrap/_09106_/CLK
-  mprj/u_mac_wrap/_09107_/CLK
-  mprj/u_mac_wrap/_09108_/CLK
-  mprj/u_mac_wrap/_09109_/CLK
-  mprj/u_mac_wrap/_09110_/CLK
-  mprj/u_mac_wrap/_09111_/CLK
-  mprj/u_mac_wrap/_09112_/CLK
-  mprj/u_mac_wrap/_09113_/CLK
-  mprj/u_mac_wrap/_09114_/CLK
-  mprj/u_mac_wrap/_09115_/CLK
-  mprj/u_mac_wrap/_09116_/CLK
-  mprj/u_mac_wrap/_09117_/CLK
-  mprj/u_mac_wrap/_09239_/CLK
-  mprj/u_mac_wrap/_09240_/CLK
-  mprj/u_mac_wrap/_09241_/CLK
-  mprj/u_mac_wrap/_09242_/CLK
-  mprj/u_mac_wrap/_09243_/CLK
-  mprj/u_mac_wrap/_09244_/CLK
-  mprj/u_mac_wrap/_09245_/CLK
-  mprj/u_mac_wrap/_09246_/CLK
-  mprj/u_mac_wrap/_09247_/CLK
-  mprj/u_mac_wrap/_09248_/CLK
-  mprj/u_mac_wrap/_09249_/CLK
-  mprj/u_mac_wrap/_09250_/CLK
-  mprj/u_mac_wrap/_09251_/CLK
-  mprj/u_mac_wrap/_09252_/CLK
-  mprj/u_mac_wrap/_09253_/CLK
-  mprj/u_mac_wrap/_09254_/CLK
-  mprj/u_mac_wrap/_09853_/CLK
   mprj/u_sram0_2kb/clk1
   mprj/u_sram1_2kb/clk1
   mprj/u_sram2_2kb/clk1
@@ -233,12 +169,10 @@
   spare_logic[2]/spare_logic_flop[1]/CLK
   spare_logic[3]/spare_logic_flop[0]/CLK
   spare_logic[3]/spare_logic_flop[1]/CLK
-Warning: There are 422 unconstrained endpoints.
+Warning: There are 357 unconstrained endpoints.
   flash_io1
   gpio
   mprj_io[0]
-  mprj_io[10]
-  mprj_io[11]
   mprj_io[12]
   mprj_io[13]
   mprj_io[14]
@@ -270,10 +204,6 @@
   mprj_io[3]
   mprj_io[4]
   mprj_io[5]
-  mprj_io[6]
-  mprj_io[7]
-  mprj_io[8]
-  mprj_io[9]
   vccd
   vccd1
   vccd2
@@ -348,65 +278,6 @@
   clock_ctrl/_464_/D
   clock_ctrl/_465_/D
   housekeeping/_7103_/D
-  mprj/u_mac_wrap/_08461_/D
-  mprj/u_mac_wrap/_08462_/D
-  mprj/u_mac_wrap/_08463_/D
-  mprj/u_mac_wrap/_08464_/D
-  mprj/u_mac_wrap/_08465_/D
-  mprj/u_mac_wrap/_08466_/D
-  mprj/u_mac_wrap/_08467_/D
-  mprj/u_mac_wrap/_08468_/D
-  mprj/u_mac_wrap/_08469_/D
-  mprj/u_mac_wrap/_08470_/D
-  mprj/u_mac_wrap/_08471_/D
-  mprj/u_mac_wrap/_08472_/D
-  mprj/u_mac_wrap/_08473_/D
-  mprj/u_mac_wrap/_08474_/D
-  mprj/u_mac_wrap/_08475_/D
-  mprj/u_mac_wrap/_08725_/D
-  mprj/u_mac_wrap/_08726_/D
-  mprj/u_mac_wrap/_08727_/D
-  mprj/u_mac_wrap/_08728_/D
-  mprj/u_mac_wrap/_08729_/D
-  mprj/u_mac_wrap/_08730_/D
-  mprj/u_mac_wrap/_08731_/D
-  mprj/u_mac_wrap/_08732_/D
-  mprj/u_mac_wrap/_09100_/D
-  mprj/u_mac_wrap/_09101_/D
-  mprj/u_mac_wrap/_09102_/D
-  mprj/u_mac_wrap/_09103_/D
-  mprj/u_mac_wrap/_09104_/D
-  mprj/u_mac_wrap/_09105_/D
-  mprj/u_mac_wrap/_09106_/D
-  mprj/u_mac_wrap/_09107_/D
-  mprj/u_mac_wrap/_09108_/D
-  mprj/u_mac_wrap/_09109_/D
-  mprj/u_mac_wrap/_09110_/D
-  mprj/u_mac_wrap/_09111_/D
-  mprj/u_mac_wrap/_09112_/D
-  mprj/u_mac_wrap/_09113_/D
-  mprj/u_mac_wrap/_09114_/D
-  mprj/u_mac_wrap/_09115_/D
-  mprj/u_mac_wrap/_09116_/D
-  mprj/u_mac_wrap/_09117_/D
-  mprj/u_mac_wrap/_09239_/D
-  mprj/u_mac_wrap/_09240_/D
-  mprj/u_mac_wrap/_09241_/D
-  mprj/u_mac_wrap/_09242_/D
-  mprj/u_mac_wrap/_09243_/D
-  mprj/u_mac_wrap/_09244_/D
-  mprj/u_mac_wrap/_09245_/D
-  mprj/u_mac_wrap/_09246_/D
-  mprj/u_mac_wrap/_09247_/D
-  mprj/u_mac_wrap/_09248_/D
-  mprj/u_mac_wrap/_09249_/D
-  mprj/u_mac_wrap/_09250_/D
-  mprj/u_mac_wrap/_09251_/D
-  mprj/u_mac_wrap/_09252_/D
-  mprj/u_mac_wrap/_09253_/D
-  mprj/u_mac_wrap/_09254_/D
-  mprj/u_mac_wrap/_09774_/D
-  mprj/u_mac_wrap/_09853_/D
   mprj/u_mbist0/_4497_/D
   mprj/u_mbist1/_4497_/D
   mprj/u_sram0_2kb/addr1[0]
@@ -489,10 +360,10 @@
   mprj/u_sram7_2kb/addr1[7]
   mprj/u_sram7_2kb/addr1[8]
   mprj/u_sram7_2kb/csb1
-  mprj/u_wb_host/_5882_/D
-  mprj/u_wb_host/_5917_/D
-  mprj/u_wb_host/_5958_/D
-  mprj/u_wb_host/_6421_/D
+  mprj/u_wb_host/_5876_/D
+  mprj/u_wb_host/_5950_/D
+  mprj/u_wb_host/_5952_/D
+  mprj/u_wb_host/_6415_/D
   mprj/u_wb_host/u_lbist.u_lbist_core.u_scan_gate.u_clk_gate/SCE
   pll/_455_/D
   pll/_456_/D
diff --git a/verilog/gl/user_project_wrapper.v.gz b/verilog/gl/user_project_wrapper.v.gz
index 4fcc9be..20b9f44 100644
--- a/verilog/gl/user_project_wrapper.v.gz
+++ b/verilog/gl/user_project_wrapper.v.gz
Binary files differ
diff --git a/verilog/rtl/bus_repeater.sv b/verilog/rtl/bus_repeater.sv
index 361b389..a6bd5bb 100644
--- a/verilog/rtl/bus_repeater.sv
+++ b/verilog/rtl/bus_repeater.sv
@@ -384,6 +384,7 @@
                     la_data_out[38],
                     la_data_out[37],
                     la_data_out[36],
+                    la_data_out[35],
                     la_data_in_rp[35],
                     la_data_out[34],
                     la_data_in_rp[34],
diff --git a/verilog/rtl/glbl/src/glbl_cfg.sv b/verilog/rtl/glbl/src/glbl_cfg.sv
index ebc3d50..c14a95c 100644
--- a/verilog/rtl/glbl/src/glbl_cfg.sv
+++ b/verilog/rtl/glbl/src/glbl_cfg.sv
@@ -295,9 +295,9 @@
 assign cfg_mac_clk_ctrl = reg_8;
 
 //-----------------------------------------
-// Software Reg-1 : ASCI Representation of LBST = 32'h4C66_8354
+// Software Reg-1 : Signature
 // ----------------------------------------
-gen_32b_reg  #(32'h4C66_8354) u_reg_9	(
+gen_32b_reg  #(CHIP_SIGNATURE) u_reg_9	(
 	      //List of Inputs
 	      .reset_n    (reset_n       ),
 	      .clk        (mclk          ),
@@ -312,7 +312,7 @@
 //-----------------------------------------
 // Software Reg-2, Release date: <DAY><MONTH><YEAR>
 // ----------------------------------------
-gen_32b_reg  #(32'h1603_2022) u_reg_10	(
+gen_32b_reg  #(CHIP_RELEASE_DATE) u_reg_10	(
 	      //List of Inputs
 	      .reset_n    (reset_n       ),
 	      .clk        (mclk          ),
@@ -327,7 +327,7 @@
 //-----------------------------------------
 // Software Reg-3: Poject Revison 1.6 = 0001600
 // ----------------------------------------
-gen_32b_reg  #(32'h0001_6000) u_reg_11	(
+gen_32b_reg  #(CHIP_REVISION) u_reg_11	(
 	      //List of Inputs
 	      .reset_n    (reset_n       ),
 	      .clk        (mclk          ),
diff --git a/verilog/rtl/pinmux/src/pinmux_top.sv b/verilog/rtl/pinmux/src/pinmux_top.sv
index 8835ac6..fa12ac9 100644
--- a/verilog/rtl/pinmux/src/pinmux_top.sv
+++ b/verilog/rtl/pinmux/src/pinmux_top.sv
@@ -1,4 +1,39 @@
-
+//////////////////////////////////////////////////////////////////////////////
+// SPDX-FileCopyrightText: 2021 , Dinesh Annayya                          
+// 
+// Licensed under the Apache License, Version 2.0 (the "License");
+// you may not use this file except in compliance with the License.
+// You may obtain a copy of the License at
+//
+//      http://www.apache.org/licenses/LICENSE-2.0
+//
+// Unless required by applicable law or agreed to in writing, software
+// distributed under the License is distributed on an "AS IS" BASIS,
+// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+// See the License for the specific language governing permissions and
+// limitations under the License.
+// SPDX-License-Identifier: Apache-2.0
+// SPDX-FileContributor: Created by Dinesh Annayya <dinesha@opencores.org>
+//
+//////////////////////////////////////////////////////////////////////
+////                                                              ////
+////  Pinmux                                                      ////
+////                                                              ////
+////                                                              ////
+////  Description                                                 ////
+////      PinMux Manages all the pin multiplexing                 ////
+////                                                              ////
+////  To Do:                                                      ////
+////    nothing                                                   ////
+////                                                              ////
+////  Author(s):                                                  ////
+////      - Dinesh Annayya, dinesha@opencores.org                 ////
+////                                                              ////
+////  Revision :                                                  ////
+////    0.1 - 31th Dec 2022, Dinesh A                             ////
+////          initial version                                     ////
+//////////////////////////////////////////////////////////////////////
+`include "user_params.svh"
 
 module pinmux_top #(parameter SCW = 8   // SCAN CHAIN WIDTH
      ) (
diff --git a/verilog/rtl/user_params.svh b/verilog/rtl/user_params.svh
new file mode 100644
index 0000000..4e868bb
--- /dev/null
+++ b/verilog/rtl/user_params.svh
@@ -0,0 +1,15 @@
+`ifndef USER_PARMS
+`define USER_PARMS
+
+// ASCI Representation of RPT1 = 32'h5250_5331
+parameter CHIP_SIGNATURE = 32'h5250_5331;
+// Software Reg-1, Release date: <DAY><MONTH><YEAR>
+parameter CHIP_RELEASE_DATE = 32'h3112_2022;
+// Software Reg-2: Poject Revison 5.1 = 0005200
+parameter CHIP_REVISION   = 32'h0006_5000;
+
+parameter CLK_SKEW1_RESET_VAL = 32'b0110_0000_0100_0111_1001_1100_1000_0101;
+parameter CLK_SKEW2_RESET_VAL = 32'b1000_1000_1000_1000_1000_0110_1000_1110;
+
+`endif // USER_PARMS
+
diff --git a/verilog/rtl/user_project_wrapper.v b/verilog/rtl/user_project_wrapper.v
index e0b7809..1778ccf 100644
--- a/verilog/rtl/user_project_wrapper.v
+++ b/verilog/rtl/user_project_wrapper.v
@@ -45,9 +45,14 @@
 ////          A. MAC core is integrated                           ////
 ////          B. glbl block moved inside the pinmux               ////
 ////          C. All the Pinmux are manged pinmux block           ////
+////    1.0 - 31th Dec 2022, Dinesh A                             ////
+////          A. Bus repeater added                               ////
+////          B. Glbl Register added with signature/revison       ////
 //////////////////////////////////////////////////////////////////////
 `default_nettype none
 
+`include "user_params.svh"
+
 module user_project_wrapper   (
 `ifdef USE_POWER_PINS
     inout vdda1,	// User area 1 3.3V supply
diff --git a/verilog/rtl/wb_host/src/wb_host.sv b/verilog/rtl/wb_host/src/wb_host.sv
index 20e3865..92f7a90 100644
--- a/verilog/rtl/wb_host/src/wb_host.sv
+++ b/verilog/rtl/wb_host/src/wb_host.sv
@@ -73,6 +73,7 @@
 //// from http://www.opencores.org/lgpl.shtml                     ////
 ////                                                              ////
 //////////////////////////////////////////////////////////////////////
+`include "user_params.svh"
 
 module wb_host 
    #(parameter SCW = 8   // SCAN CHAIN WIDTH
@@ -462,7 +463,7 @@
           );
 
 
-generic_register #(32,0  ) u_clk_ctrl1 (
+generic_register #(32,CLK_SKEW1_RESET_VAL  ) u_clk_ctrl1 (
 	      .we            ({32{sw_wr_en_2}}   ),		 
 	      .data_in       (wb_dat_i[31:0]    ),
 	      .reset_n       (wbm_rst_n          ),
@@ -472,7 +473,7 @@
 	      .data_out      (cfg_clk_ctrl1[31:0])
           );
 
-generic_register #(32,0  ) u_clk_ctrl2 (
+generic_register #(32,CLK_SKEW2_RESET_VAL  ) u_clk_ctrl2 (
 	      .we            ({32{sw_wr_en_3}}  ),		 
 	      .data_in       (wb_dat_i[31:0]   ),
 	      .reset_n       (wbm_rst_n         ),